<<

28F010 1024K (128K x 8) CMOS

Y Flash Electrical Chip-Erase Y Command Register Architecture for Ð 1 Second Typical Chip-Erase Microprocessor/ Compatible Write Interface Y Quick Pulse Programming Algorithm Ð10ms Typical -Program Y Noise Immunity Features Ð 2 Second Chip-Program Ð g10% VCC Tolerance Ð Maximum Latch-Up Immunity Y 100,000 Erase/Program Cycles through EPI Processing Y 12.0V g5% VPP Y ETOXTM Nonvolatile Flash Technology Y High-Performance Read Ð EPROM-Compatible Process Base Ð 65 ns Maximum Access Time Ð High- Manufacturing Y CMOS Low Power Consumption Experience Ð 10 mA Typical Active Current Y JEDEC-Standard Pinouts Ð50mA Typical Standby Current Ð 32-Pin Plastic Dip Ð 0 Watts Retention Power Ð 32-Lead PLCC Y Integrated Program/Erase Stop Timer Ð 32-Lead TSOP (See Packaging Spec., Order Ý231369)

Y Extended Temperature Options

Intel’s 28F010 CMOS flash memory offers the most cost-effective and reliable alternative for read/write nonvolatile memory. The 28F010 adds electrical -erasure and reprogramming to familiar EPROM technology. Memory contents can be rewritten: in a test socket; in a PROM- socket; on- board during subassembly test; in-system during final test; and in-system after-sale. The 28F010 increases memory flexibility, while contributing to time and cost savings.

The 28F010 is a 1024 kilobit nonvolatile memory organized as 131,072 of 8 . ’s 28F010 is offered in 32-pin plastic dip or 32-lead PLCC and TSOP packages. Pin assignments conform to JEDEC standards for byte-wide .

Extended erase and program cycling capability is designed into Intel’s ETOX (EPROM Tunnel Oxide) process technology. Advanced oxide processing, an optimized tunneling structure, and lower electric field combine to extend reliable cycling beyond that of traditional . With the 12.0V VPP supply, the 28F010 performs 100,000 erase and program cycles well within the time limits of the Quick Pulse Programming and Quick Erase algorithms.

Intel’s 28F010 employs advanced CMOS circuitry for systems requiring high-performance access speeds, low power consumption, and immunity to noise. Its 65 nanosecond access time provides no-WAIT-state perform- ance for a wide range of microprocessors and . Maximum standby current of 100 mA trans- lates into power savings when the device is deselected. Finally, the highest degree of latch-up protection is achieved through Intel’s unique EPI processing. Prevention of latch-up is provided for stresses up to 100 mA on address and data pins, from b1V to VCC a 1V.

With Intel’s ETOX process base, the 28F010 builds on years of EPROM experience to yield the highest levels of quality, reliability, and cost-effectiveness.

*Other brands and names are the property of their respective owners. Information in this document is provided in connection with Intel products. Intel assumes no liability whatsoever, including infringement of any patent or copyright, for sale and use of Intel products except as provided in Intel’s Terms and Conditions of Sale for such products. Intel retains the right to make changes to these specifications at any time, without notice. Microcomputer Products may have minor variations to this specification known as errata. COPYRIGHT © INTEL CORPORATION, 1995 November 1995 Order Number: 290207-010 28F010

290207–1

Figure 1. 28F010 Diagram

Table 1. Pin Description Symbol Type Name and Function

A0–A16 INPUT ADDRESS INPUTS for memory addresses. Addresses are internally latched during a write cycle.

DQ0–DQ7 INPUT/OUTPUT DATA INPUT/OUTPUT: Inputs data during memory write cycles; outputs data during memory read cycles. The data pins are active high and float to tri-state OFF when the chip is deselected or the outputs are disabled. Data is internally latched during a write cycle. CEÝ INPUT CHIP ENABLE: Activates the device’s control logic, input buffers, decoders and sense amplifiers. CEÝ is active low; CEÝ high deselects the memory device and reduces power consumption to standby levels. OEÝ INPUT OUTPUT ENABLE: Gates the devices output through the data buffers during a read cycle. OEÝ is active low. WEÝ INPUT WRITE ENABLE: Controls writes to the control register and the array. Write enable is active low. Addresses are latched on the falling edge and data is latched on the rising edge of the WEÝ pulse. Note: With VPP s 6.5V, memory contents cannot be altered.

VPP ERASE/PROGRAM POWER SUPPLY for writing the command register, erasing the entire array, or programming bytes in the array.

VCC DEVICE POWER SUPPLY (5V g10%)

VSS GROUND NC NO INTERNAL CONNECTION to device. Pin may be driven or left floating.

2 28F010

28F010

290207–3

290207–2

290207–17

290207–18

Figure 2. 28F010 Pin Configurations

3 28F010

APPLICATIONS Material and labor costs associated with code changes increases at higher levels of system inte- The 28F010 flash memory provides nonvolatility gration Ð the most costly being code updates after along with the capability to perform over 100,000 sale. Code ‘‘bugs’’, or the desire to augment system electrical chip-erasure/reprogram cycles. These fea- functionality, prompt after-sale code updates. Field tures make the 28F010 an innovative alternative to revisions to EPROM-based code requires the re- disk, EEPROM, and battery-backed static RAM. moval of EPROM components or entire boards. With Where periodic updates of code and data-tables are the 28F010, code updates are implemented locally required, the 28F010’s reprogrammability and non- via an edge-connector, or remotely over a commun- volatility make it the obvious and ideal replacement cation link. for EPROM. For systems currently using a high-density static Primary applications and operating systems stored RAM/battery configuration for data accumulation, in flash eliminate the slow disk-to-DRAM download flash memory’s inherent nonvolatility eliminates the process. This results in dramatic enhancement of need for battery . The concern for battery performance and substantial reduction of power failure no longer exists, an important consideration consumption Ð a consideration particularly impor- for portable equipment and medical instruments, tant in portable equipment. Flash memory increases both requiring continuous performance. In addition, flexibility with electrical chip erasure and in-system flash memory offers a considerable cost advantage update capability of operating systems and applica- over static RAM. tion code. With updatable code, system manufactur- ers can easily accommodate last-minute changes as Flash memory’s electrical chip erasure, byte pro- revisions are made. grammability and complete nonvolatility fit well with data accumulation and recording needs. Electrical In diskless workstations and terminals, network traf- chip-erasure gives the designer a ‘‘blank slate’’ in fic reduces to a minimum and systems are instant- which to log or record data. Data can be periodically on. Reliability exceeds that of electromechanical off-loaded for analysis and the flash memory erased media. Often in these environments, power interrup- producing a new ‘‘blank slate’’. tions force extended re-boot periods for all net- worked terminals. This mishap is no longer an issue A high degree of on-chip feature integration simpli- if boot code, operating systems, communication pro- fies memory-to- interfacing. Figure 4 de- tocols and primary applications are flash-resident in picts two 28F010s tied to the 80C186 system . each terminal. The 28F010’s architecture minimizes interface cir- cuitry needed for complete in-circuit updates of For embedded systems that rely on dynamic RAM/ memory contents. disk for main system memory or nonvolatile backup storage, the 28F010 flash memory offers a solid The outstanding feature of the TSOP (Thin Small state alternative in a minimal form factor. The Outline Package) is the 1.2 mm thickness. With stan- 28F010 provides higher performance, lower power dard and reverse pin configurations, TSOP reduces consumption, instant-on capability, and allows an the number of board layers and overall volume - ‘‘’’ for code and essary to layout multiple 28F010s. TSOP is particu- data table . Additionally, the flash memory is larly suited for portable equipment and applications more rugged and reliable in harsh environments requiring large amounts of flash memory. Figure 3 where extreme temperatures and shock can cause illustrates the TSOP Serpentine layout. disk-based systems to fail. With cost-effective in-system reprogramming, ex- The need for code updates pervades all phases of a tended cycling capability, and true nonvolatility, system’s life Ð from prototyping to system manufac- the 28F010 offers advantages to the alternatives: ture to after-sale service. The electrical chip-erasure EPROMs, EEPROMs, battery backed static RAM, and reprogramming ability of the 28F010 allows in- or disk. EPROM-compatible read specifications, circuit alterability; this eliminates unnecessary han- straight-forward interfacing, and in-circuit alterability dling and less-reliable socketed connections, while offers designers unlimited flexibility to meet the high adding greater test, manufacture, and update flexi- standards of today’s designs. bility.

4 28F010 290207–21

Figure 3. TSOP Serpentine Layout

5 28F010

290207–4

Figure 4. 28F010 in a 80C186 System

PRINCIPLES OF OPERATION needed for programming or erase operations. With the appropriate command written to the register, Flash-memory augments EPROM functionality with standard microprocessor read timings output array in-circuit electrical erasure and reprogramming. The data, access the Intelligent Identifier codes, or out- 28F010 introduces a command register to manage put data for erase and program verification. this new functionality. The command register allows for: 100% TTL-level control inputs; fixed power sup- plies during erasure and programming; and maxi- Integrated Stop Timer mum EPROM compatibility. Successive command write cycles define the dura- tions of program and erase operations; specifically, In the absence of high voltage on the VPP pin, the 28F010 is a read-only memory. Manipulation of the the program or erase time durations are normally external memory-control pins yields the standard terminated by associated program or erase verify EPROM read, standby, output disable, and Intelli- commands. An integrated stop timer provides simpli- gent Identifier operations. fied timing control over these operations; thus elimi- nating the need for maximum program/erase timing The same EPROM read, standby, and output disable specifications. Programming and erase pulse dura- operations are available when high voltage is ap- tions are minimums only. When the stop timer termi- nates a program or erase operation, the device en- plied to the VPP pin. In addition, high voltage on VPP enables erasure and programming of the device. All ters an inactive state and remains inactive until re- functions associated with altering memory con- ceiving the appropriate verify or reset command. tentsÐIntelligent Identifier, erase, erase verify, pro- gram, and program verifyÐare accessed via the command register. Write Protection

Commands are written to the register using standard The command register is only active when VPP is at microprocessor write timings. Register contents high voltage. Depending upon the application, the serve as input to an internal state-machine which system designer may choose to make the VPP pow- controls the erase and programming circuitry. Write er supply switchableÐavailable only when memory cycles also internally latch addresses and data updates are desired. When VPP e VPPL, the con-

6 28F010

Table 2. 28F010 Bus Operations

Mode VPP(1) A0 A9 CEÝ OEÝ WEÝ DQ0–DQ7 Read VPPL A0 A9 VIL VIL VIH Data Out Output Disable VPPL XXVIL VIH VIH Tri-State READ-ONLY Standby VPPL XXVIH X X Tri-State Intelligent Identifier (Mfr)(2) VPPL VIL VID(3) VIL VIL VIH Data e 89H (2) Intelligent Identifier (Device) VPPL VIH VID(3) VIL VIL VIH Data e B4H Read VPPH A0 A9 VIL VIL VIH Data Out(4) Output Disable V XXV V V Tri-State READ/WRITE PPH IL IH IH Standby(5) VPPH XXVIH X X Tri-State Write VPPH A0 A9 VIL VIH VIL Data In(6)

NOTES: 1. Refer to DC Characteristics. When VPP e VPPL memory contents can be read but not written or erased. 2. Manufacturer and device codes may also be accessed via a command register write sequence. Refer to Table 3. All other addresses low. 3. VID is the Intelligent Identifier high voltage. Refer to DC Characteristics. 4. Read operations with VPP e VPPH may access array data or the Intelligent Identifier codes. 5. With VPP at high voltage, the standby current equals ICC a IPP (standby). 6. Refer to Table 3 for valid Data-In during a write operation. 7. X can be VIL or VIH. tents of the register default to the read command, erase verification. When VPP is low (VPPL), the read making the 28F010 a read-only memory. In this operation can only access the array data. mode, the memory contents cannot be altered.

Or, the system designer may choose to ‘‘hardwire’’ Output Disable VPP, making the high voltage supply constantly With OEÝ at a logic-high level (VIH), output from the available. In this case, all Command Register func- device is disabled. Output pins are placed in a high- tions are inhibited whenever VCC is below the write impedance state. lockout voltage VLKO. (See Power Up/Down Protec- tion) The 28F010 is designed to accommodate ei- ther design practice, and to encourage optimization Standby of the processor-memory interface. With CEÝ at a logic-high level, the standby opera- The two-step program/erase write sequence to the tion disables most of the 28F010’s circuitry and sub- Command Register provides additional software stantially reduces device power consumption. The write protections. outputs are placed in a high-impedance state, inde- pendent of the OEÝ signal. If the 28F010 is dese- lected during erasure, programming, or program/ BUS OPERATIONS erase verification, the device draws active current until the operation is terminated. Read

The 28F010 has two control functions, both of which Intelligent Identifier Operation must be logically active, to obtain data at the out- The Intelligent Identifier operation outputs the manu- puts. Chip-Enable (CEÝ ) is the power control and facturer code (89H) and device code (B4H). Pro- should be used for device selection. Output-Enable gramming equipment automatically matches the de- Ý (OE ) is the output control and should be used to vice with its proper erase and programming algo- gate data from the output pins, independent of de- rithms. vice selection. Refer to AC read timing waveforms.

When VPP is high (VPPH), the read operation can be used to access array data, to output the Intelligent Identifier codes, and to access data for program/

7 28F010

With CEÝ and OEÝ at a logic low level, raising A9 used to store the command, along with address and to high voltage VID (see DC Characteristics) acti- data information needed to execute the command. vates the operation. Data read from locations 0000H and 0001H represent the manufacturer’s code and The command register is written by bringing WEÝ to the device code, respectively. a logic-low level (VIL), while CEÝ is low. Addresses are latched on the falling edge of WEÝ, while data is The manufacturer- and device-codes can also be latched on the rising edge of the WEÝ pulse. Stan- read via the command register, for instances where dard microprocessor write timings are used. the 28F010 is erased and reprogrammed in the tar- get system. Following a write of 90H to the com- Refer to AC Write Characteristics and the Erase/ mand register, a read from address location 0000H Programming Waveforms for specific timing outputs the manufacturer code (89H). A read from parameters. address 0001H outputs the device code (B4H). COMMAND DEFINITIONS Write When low voltage is applied to the VPP pin, the con- Device erasure and programming are accomplished tents of the command register default to 00H, en- via the command register, when high voltage is ap- abling read-only operations. plied to the VPP pin. The contents of the register serve as input to the internal state-machine. The Placing high voltage on the VPP pin enables read/ state-machine outputs dictate the function of the write operations. Device operations are selected by device. writing specific data patterns into the command reg- ister. Table 3 defines these 28F010 register The command register itself does not occupy an ad- commands. dressable memory location. The register is a latch

Table 3. Command Definitions

Bus First Bus Cycle Second Bus Cycle Command Cycles Req’d Operation(1) Address(2) Data(3) Operation(1) Address(2) Data(3) Read Memory 1 Write X 00H Read Intelligent Identifier 3 Write IA 90H Read IA ID Codes(4) Set-up Erase/Erase(5) 2 Write X 20H Write X 20H Erase Verify(5) 2 Write EA A0H Read X EVD Set-up Program/Program(6) 2 Write X 40H Write PA PD Program Verify(6) 2 Write X C0H Read X PVD Reset(7) 2 Write X FFH Write X FFH

NOTES: 1. Bus operations are defined in Table 2. 2. IA e Identifier address: 00H for manufacturer code, 01H for device code. EA e Erase Address: Address of memory location to be read during erase verify. PA e Program Address: Address of memory location to be programmed. Addresses are latched on the falling edge of the WEÝ pulse. 3. ID e Identifier Data: Data read from location IA during device identification (Mfr e 89H, Device e B4H). EVD e Erase Verify Data: Data read from location EA during erase verify. PD e Program Data: Data to be programmed at location PA. Data is latched on the rising edge of WEÝ. PVD e Program Verify Data: Data read from location PA during program verify. PA is latched on the Program command. 4. Following the Read inteligent ID command, two read operations access manufacturer and device codes. 5. Figure 6 illustrates the Quick Erase Algorithm. 6. Figure 5 illustrates the Quick Pulse Programming Algorithm. 7. The second bus cycle must be followed by the desired command register write.

8 28F010

Read Command of this high voltage, memory contents are protected against erasure. Refer to AC Erase Characteristics While VPP is high, for erasure and programming, and Waveforms for specific timing parameters. memory contents can be accessed via the read command. The read operation is initiated by writing 00H into the command register. Microprocessor Erase-Verify Command read cycles retrieve array data. The device remains The erase command erases all bytes of the array in enabled for reads until the command register con- parallel. After each erase operation, all bytes must tents are altered. be verified. The erase verify operation is initiated by writing A0H into the command register. The address The default contents of the register upon V pow- PP for the byte to be verified must be supplied as it is er-up is 00H. This default value ensures that no spu- latched on the falling edge of the WEÝ pulse. The rious alteration of memory contents occurs during register write terminates the erase operation with the the V power transition. Where the V supply is PP PP rising edge of its WEÝ pulse. hard-wired to the 28F010, the device powers-up and remains enabled for reads until the command-regis- The 28F010 applies an internally-generated margin ter contents are changed. Refer to the AC Read voltage to the addressed byte. Reading FFH from Characteristics and Waveforms for specific timing the addressed byte indicates that all bits in the byte parameters. are erased.

Intelligent Identifier Command The erase-verify command must be written to the command register prior to each byte verification to Flash memories are intended for use in applications latch its address. The process continues for each where the local CPU alters memory contents. As byte in the array until a byte does not return FFH such, manufacturer- and device-codes must be ac- data, or the last address is accessed. cessible while the device resides in the target sys- tem. PROM programmers typically access signature In the case where the data read is not FFH, another codes by raising A9 to a high voltage. However, mul- erase operation is performed. (Refer to Set-up tiplexing high voltage onto address lines is not a de- Erase/Erase). Verification then resumes from the sired system-design practice. address of the last-verified byte. Once all bytes in the array have been verified, the erase step is com- The 28F010 contains an Intelligent Identifier opera- plete. The device can be programmed. At this point, tion to supplement traditional PROM-programming the verify operation is terminated by writing a valid methodology. The operation is initiated by writing command (e.g. Program Set-up) to the command 90H into the command register. Following the com- register. Figure 6, the Quick Erase algorithm, illus- mand write, a read cycle from address 0000H re- trates how commands and bus operations are com- trieves the manufacturer code of 89H. A read cycle bined to perform electrical erasure of the 28F010. from address 0001H returns the device code of Refer to AC Erase Characteristics and Waveforms B4H. To terminate the operation, it is necessary to for specific timing parameters. write another valid command into the register. Set-up Program/Program Commands Set-up Erase/Erase Commands Set-up program is a command-only operation that Set-up Erase is a command-only operation that stages the device for byte programming. Writing 40H stages the device for electrical erasure of all bytes in into the command register performs the set-up the array. The set-up erase operation is performed operation. by writing 20H to the command register. Once the program set-up operation is performed, To commence chip-erasure, the erase command the next WEÝ pulse causes a transition to an active (20H) must again be written to the register. The programming operation. Addresses are internally erase operation begins with the rising edge of the latched on the falling edge of the WEÝ pulse. Data WEÝ pulse and terminates with the rising edge of is internally latched on the rising edge of the WEÝ the next WEÝ pulse (i.e., Erase-Verify Command). pulse. The rising edge of WEÝ also begins the pro- gramming operation. The programming operation This two-step sequence of set-up followed by execu- terminates with the next rising edge of WEÝ, used tion ensures that memory contents are not acciden- to write the program-verify command. Refer to AC tally erased. Also, chip-erasure can only occur when Programming Characteristics and Waveforms for high voltage is applied to the VPP pin. In the absence specific timing parameters.

9 28F010

Program-Verify Command 2 MV/cm lower than EEPROM. The lower electric field greatly reduces oxide stress and the probability The 28F010 is programmed on a byte-by-byte basis. of failure. Byte programming may occur sequentially or at ran- dom. Following each programming operation, the The 28F010 is capable or 100,000 program/erase byte just programmed must be verified. cycles. The device is programmed and erased using Intel’s Quick Pulse Programming and Quick Erase The program-verify operation is initiated by writing algorithms. Intel’s algorithmic approach uses a se- C0H into the command register. The register write ries of operations (pulses), along with byte verifica- terminates the programming operation with the ris- tion, to completely and reliably erase and program ing edge of its WEÝ pulse. The program-verify oper- the device. ation stages the device for verification of the byte last programmed. No new address information is For further information, see Reliability Report RR-60. latched.

The 28F010 applies an internally-generated margin QUICK PULSE PROGRAMMING ALGORITHM voltage to the byte. A microprocessor read cycle The Quick Pulse Programming algorithm uses pro- outputs the data. A successful comparison between gramming operations of 10 ms duration. Each opera- the programmed byte and true data means that the tion is followed by a byte verification to determine byte is successfully programmed. Programming then when the addressed byte has been successfully pro- proceeds to the next desired byte location. Figure 5, grammed. The algorithm allows for up to 25 pro- the 28F010 Quick Pulse Programming algorithm, il- gramming operations per byte, although most bytes lustrates how commands are combined with bus op- verify on the first or second operation. The entire erations to perform byte programming. Refer to AC sequence of programming and byte verification is Programming Characteristics and Waveforms for performed with V at high voltage. Figure 5 illus- specific timing parameters. PP trates the Quick Pulse Programming algorithm.

Reset Command QUICK ERASE ALGORITHM A reset command is provided as a means to safely Intel’s Quick Erase algorithm yields fast and reliable abort the erase- or program-command sequences. electrical erasure of memory contents. The algo- Following either set-up command (erase or program) rithm employs a closed-loop flow, similar to the with two consecutive writes of FFH will safely abort Quick Pulse Programming algorithm, to simulta- the operation. Memory contents will not be altered. neously remove charge from all bits in the array. A valid command must then be written to place the device in the desired state. Erasure begins with a read of memory contents. The 28F010 is erased when shipped from the factory. EXTENDED ERASE/PROGRAM CYCLING Reading FFH data from the device would immedi- ately be followed by device programming. EEPROM cycling failures have always concerned users. The high electrical field required by thin oxide For devices being erased and reprogrammed, uni- EEPROMs for tunneling can literally tear apart the form and reliable erasure is ensured by first pro- oxide at defect regions. To combat this, some sup- gramming all bits in the device to their charged state pliers have implemented redundancy schemes, re- (Data e 00H). This is accomplished, using the Quick ducing cycling failures to insignificant levels. Howev- Pulse Programming algorithm, in approximately two er, redundancy requires that cell size be doubledÐ seconds. an expensive solution. Erase execution then continues with an initial erase Intel has designed extended cycling capability into operation. Erase verification (data e FFH) begins at its ETOX flash memory technology. Resulting im- address 0000H and continues through the array to provements in cycling reliability come without in- the last address, or until data other than FFH is en- creasing size or complexity. First, an countered. With each erase operation, an increasing advanced tunnel oxide increases the charge carry- number of bytes verify to the erased state. Erase ing ability ten-fold. Second, the oxide area per cell efficiency may be improved by storing the address of subjected to the tunneling electric field is one-tenth the last byte verified in a register. Following the next that of common EEPROMs, minimizing the probabili- erase operation, verification starts at that stored ad- ty of oxide defects in the region. Finally, the peak dress location. Erasure typically occurs in one sec- electric field during erasure is approximately ond. Figure 6 illustrates the Quick Erase algorithm.

10 28F010

Bus Command Comments Operation

Standby Wait for VPP Ramp to VPPH(1)

Initialize Pulse-Count

Write Set-up Data e 40H Program

Write Program Valid Address/Data

Standby Duration of Program Operation (tWHWH1) Write Program(2) Data e C0H; Stops Program Verify Operation(3)

Standby tWHGL

Read Read Byte to Verify Programming

Standby Compare Data Output to Data Expected

Write Read Data e 00H, Resets the Register for Read Operations

Standby Wait for VPP Ramp to VPPL(1)

290207–5 NOTES: 3. Refer to principles of operation. 1. See DC Characteristics for the value of VPPH and 4. CAUTION: The algorithm MUST BE FOLLOWED VPPL. 2. Program Verify is only performed after byte program- to ensure proper and reliable operation of the de- ming. A final read/compare may be performed (option- vice. al) after the register is written with the Read command.

Figure 5. 28F010 Quick Pulse Programming Algorithm

11 28F010

Bus Command Comments Operation

Entire Memory Must e 00H Before Erasure

Use Quick Pulse Programming Algorithm (Figure 5)

Standby Wait for VPP Ramp to VPPH(1)

Initialize Addresses and Pulse-Count

Write Set-up Data e 20H Erase

Write Erase Data e 20H

Standby Duration of Erase Operation (tWHWH2)

Write Erase(2) Addr e Byte to Verify; Verify Data e A0H; Stops Erase Operation(3) Standby tWHGL

Read Read Byte to Verify Erasure

Standby Compare Output to FFH Increment Pulse-Count

Write Read Data e 00H, Resets the Register for Read Operations

Standby Wait for VPP Ramp to VPPL(1)

290207–6 1. See DC Characteristics for the value of VPPH and 3. Refer to principles of operation. VPPL. 2. Erase Verify is performed only after chip-erasure. A 4. CAUTION: The algorithm MUST BE FOLLOWED final read/compare may be performed (optional) after to ensure proper and reliable operation of the de- the register is written with the read command. vice.

Figure 6. 28F010 Quick Erase Algorithm

12 28F010

DESIGN CONSIDERATIONS circuit-board trace inductance, and will supply charge to the smaller capacitors as needed. Two-Line Output Control V Trace on Printed Circuit Boards Flash-memories are often used in larger memory ar- PP rays. Intel provides two read-control inputs to ac- Programming flash-memories, while they reside in commodate multiple memory connections. Two-line the target system, requires that the printed circuit control provides for: board designer pay attention to the VPP power sup- a. the lowest possible memory power dissipation ply trace. The VPP pin supplies the memory cell cur- and, rent for programming. Use similar trace widths and b. complete assurance that output bus contention layout considerations given the VCC power bus. Ad- will not occur. equate VPP supply traces and decoupling will de- crease VPP voltage spikes and overshoots. To efficiently use these two control inputs, an ad- dress-decoder output should drive chip-enable, Power Up/Down Protection while the system’s read signal controls all flash- The 28F010 is designed to offer protection against memories and other parallel memories. This assures accidental erasure or programming during power that only enabled memory devices have active out- transitions. Upon power-up, the 28F010 is indifferent puts, while deselected devices maintain the low as to which power supply, VPP or VCC, powers up power standby condition. first. Power supply sequencing is not required. Inter- nal circuitry in the 28F010 ensures that the com- Power Supply Decoupling mand register is reset to the read mode on power Flash-memory power-switching characteristics re- up. quire careful device decoupling. System designers A system designer must guard against active writes are interested in three supply current (I CC) issuesÐ for V voltages above V when V is active. standby, active, and transient current peaks pro- CC LKO PP Since both WEÝ and CEÝ must be low for a com- duced by falling and rising edges of chip-enable. The mand write, driving either to VIH will inhibit writes. capacitive and inductive loads on the device outputs The control register architecture provides an added determine the magnitudes of these peaks. level of protection since alteration of memory con- Two-line control and proper decoupling capacitor tents only occurs after successful completion of the selection will suppress transient voltage peaks. two-step command sequences. Each device should have a 0.1 mF ceramic capacitor connected between VCC and VSS, and between VPP 28F010 Power Dissipation and VSS. When designing portable systems, designers must Place the high-frequency, low-inherent-inductance consider battery power consumption not only during capacitors as close as possible to the devices. Also, device operation, but also for data retention during for every eight devices, a 4.7 mF electrolytic capaci- system idle time. Flash nonvolatility increases the tor should be placed at the array’s power supply usable battery life of your system because the 28F010 does not consume any power to retain code connection, between V and V . The bulk capaci- CC SS or data when the system is off. Table 4 illustrates the tor will overcome voltage slumps caused by printed- power dissipated when updating the 28F010. Table 4. 28F010 Typical Update Power Dissipation(4) Power Dissipation Operation Notes (Watt-Seconds) Array Program/Program Verify 1 0.171 Array Erase/Erase Verify 2 0.136 One Complete Cycle 3 0.478 NOTES: 1. Formula to calculate typical Program/Program Verify Power e [VPP c Ý Bytes c typical Ý Prog Pulses (tWHWH1 c IPP2 typical a tWHGL c IPP4 typical)] a [VCC c Ý Bytes c typical Ý Prog Pulses (tWHWH1 c ICC2 typical a tWHGL c ICC4 typical]. 2. Formula to calculate typical Erase/Erase Verify Power e [VPP (VPP3 typical c tERASE typical a IPP5 typical c tWHGL c Ý Bytes)] a [VCC (ICC3 typical c tERASE typical a ICC5 typical c tWHGL c Ý Bytes)]. 3. One Complete Cycle e Array Preprogram a Array Erase a Program. 4. ‘‘Typicals’’ are not guaranteed, but based on a limited number of samples from production lots.

13 28F010

ABSOLUTE MAXIMUM RATINGS* NOTICE: This is a production data sheet. The specifi- cations are subject to change without notice. Operating Temperature During Read ÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ0 Cto 70 C(1) *WARNING: Stressing the device beyond the ‘‘Absolute § a § Maximum Ratings’’ may cause permanent damage. During Erase/Program ÀÀÀÀÀÀÀÀÀ0 Cto 70 C(1) § a § These are stress ratings only. Operation beyond the Operating Temperature ‘‘Operating Conditions’’ is not recommended and ex- (2) During Read ÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀb40§Ctoa85§C tended exposure beyond the ‘‘Operating Conditions’’ (2) During Erase/Program ÀÀÀÀÀÀb40§Ctoa85§C may affect device reliability. Temperature Under BiasÀÀÀÀÀÀÀb10§Ctoa80§C(1) Temperature Under BiasÀÀÀÀÀÀÀb50§Ctoa95§C(2) Storage Temperature ÀÀÀÀÀÀÀÀÀÀb65§Ctoa125§C Voltage on Any Pin with Respect to Ground ÀÀÀÀÀÀÀÀÀÀb2.0V to a7.0V(3) Voltage on Pin A9 with Respect to Ground ÀÀÀÀÀÀÀb2.0V to a13.5V(3, 4) VPP Supply Voltage with Respect to Ground During Erase/ProgramÀÀÀÀb2.0V to a14.0V(3, 4) VCC Supply Voltage with Respect to Ground ÀÀÀÀÀÀÀÀÀÀb2.0V to a7.0V(3) Output Short Circuit CurrentÀÀÀÀÀÀÀÀÀÀÀÀÀ100 mA(5)

OPERATING CONDITIONS Limits Symbol Parameter Unit Min Max

TA Operating Temperature(1) 070§C (2) TA Operating Temperature b40 a85 §C VCC VCC Supply Voltage (10%)(6) 4.50 5.50 V VCC VCC Supply Voltage (5%)(7) 4.75 5.25 V

NOTES: 1. Operating Temperature is for commercial product as defined by this specification. 2. Operating Temperature is for extended temperature products as defined by this specification. 3. Minimum DC input voltage is b0.5V. During transitions, inputs may undershoot to b2.0V for periods less than 20 ns. Maximum DC voltage on output pins is VCC a 0.5V, which may overshoot to VCC a 2.0V for periods less than 20 ns. 4. Maximum DC voltage on A9 or VPP may overshoot to a14.0V for periods less than 20 ns. 5. Output shorted for no more than one second. No more than one output shorted at a time. 6. See High Speed AC Input/Output reference Waveforms and High Speed AC Testing Load Circuits for testing characteristics. 7. See AC Input/Output reference Waveforms and AC Testing Load Circuits for testing characteristics.

DC CHARACTERISTICSÐTTL/NMOS COMPATIBLEÐCommercial Products Limits Symbol Parameter Notes Unit Test Conditions Min Typical(4) Max

ILI Input Leakage Current 1 g1.0 mAVCC e VCC Max VIN e VCC or VSS ILO Output Leakage Current 1 g10 mAVCC e VCC Max VOUT e VCC or VSS ICCS VCC Standby Current 1 0.3 1.0 mA VCC e VCC Max CEÝ e VIH ICC1 VCC Active Read Current 1 10 30 mA VCC e VCC Max, CEÝ e VIL f e 6 MHz, IOUT e 0mA

14 28F010

DC CHARACTERISTICSÐTTL/NMOS COMPATIBLEÐCommercial Products (Continued) Limits Symbol Parameter Notes Unit Test Conditions Min Typical(4) Max

ICC2 VCC Programming Current 1, 2 1.0 10 mA Programming in Progress ICC3 VCC Erase Current 1, 2 5.0 15 mA Erasure in Progress ICC4 VCC Program Verify Current 1, 2 5.0 15 mA VPP e VPPH Program Verify in Progress

ICC5 VCC Erase Verify Current 1, 2 5.0 15 mA VPP e VPPH Erase Verify in Progress

IPPS VPP Leakage Current 1 g10 mAVPP s VCC IPP1 VPPRead Current 1 90 200 mAVPP l VCC or Standby Current g10.0 VPP s VCC IPP2 VPP Programming Current 1, 2 8.0 30 mA VPP e VPPH Programming in Progress

IPP3 VPP Erase Current 1, 2 6.0 30 mA VPP e VPPH Erasure in Progress

IPP4 VPP Program Verify Current 1, 2 2.0 5.0 mA VPP e VPPH Program Verify in Progress

IPP5 VPP Erase Verify Current 1, 2 2.0 5.0 mA VPP e VPPH Erase Verify in Progress

VIL Input Low Voltage b0.5 0.8 V VIH Input High Voltage 2.0 VCC a 0.5 V VOL Output Low Voltage 0.45 V VCC e VCC Min IOL e 5.8 mA VOH1 Output High Voltage 2.4 V VCC e VCC Min IOH eb2.5 mA VID A9 Intelligent Identifer Voltage 11.50 13.00 V IID A9 Intelligent Identifier Current 1, 2 90 200 mAA9eVID VPPL VPP during Read-Only 0.00 6.5 V NOTE: Erase/Program are Operations Inhibited when VPP e VPPL VPPH VPP during Read/Write 11.40 12.60 V Operations

VLKO VCC Erase/Write Lock Voltage 2.5 V

DC CHARACTERISTICSÐCMOS COMPATIBLEÐCommercial Products Limits Symbol Parameter Notes Unit Test Conditions Min Typical(4) Max

ILI Input Leakage Current 1 g1.0 mAVCC e VCC Max VIN e VCC or VSS ILO Output Leakage Current 1 g10 mAVCC e VCC Max VOUT e VCC or VSS ICCS VCC Standby Current 1 50 100 mAVCC e VCC Max CEÝ e VCC g0.2V ICC1 VCC Active Read Current 1 10 30 mA VCC e VCC Max, CEÝ e VIL f e 6 MHz, IOUT e 0mA

15 28F010

DC CHARACTERISTICSÐCMOS COMPATIBLEÐCommercial Products (Continued) Limits Symbol Parameter Notes Unit Test Conditions Min Typical(4) Max

ICC2 VCC Programming Current 1, 2 1.0 10 mA Programming in Progress

ICC3 VCC Erase Current 1, 2 5.0 15 mA Erasure in Progress

ICC4 VCC Program Verify Current 1, 2 5.0 15 mA VPP e VPPH, Program Verify in Progress

ICC5 VCC Erase Verify Current 1, 2 5.0 15 mA VPP e VPPH, Erase Verify in Progress

IPPS VPP Leakage Current 1 g10 mAVPP s VCC

IPP1 VPP Read Current, ID 1 90 200 mAVPP l VCC Current or Standby Current g10 VPP s VCC

IPP2 VPP Programming 1, 2 8.0 30 mA VPP e VPPH Current Programming in Progress

IPP3 VPP Erase Current 1, 2 6.0 30 mA VPP e VPPH Erasure in Progress

IPP4 VPP Program Verify 1, 2 2.0 5.0 mA VPP e VPPH, Program Current Verify in Progress

IPP5 VPP Erase Verify 1, 2 2.0 5.0 mA VPP e VPPH, Erase Current Verify in Progress

VIL Input Low Voltage b0.5 0.8 V

VIH Input High Voltage 0.7 VCC VCC a 0.5 V

VOL Output Low Voltage 0.45 V VCC e VCC Min IOL e 5.8 mA

V 0.85 V V e V Min, I eb2.5 mA OH1 Output High Voltage CC V CC CC OH VOH2 VCC b 0.4 VCC e VCC Min, IOH eb100 mA

VID A9 Intelligent Identifier 11.50 13.00 V Voltage

IID A9 Intelligent Identifier 1, 2 90 200 mAA9eVID Current

VPPL VPP during Read-Only 0.00 6.5 V NOTE: Erase/Programs are Operations Inhibited when VPP e VPPL

VPPH VPP during Read/Write 11.40 12.60 V Operations

VLKO VCC Erase/Write Lock 2.5 V Voltage

16 28F010

DC CHARACTERISTICSÐTTL/NMOS COMPATIBLEÐExtended Temperature Products Limits Symbol Parameter Notes Unit Test Conditions Min Typical(4) Max

ILI Input Leakage Current 1 g1.0 mAVCC e VCC Max VIN e VCC or VSS ILO Output Leakage Current 1 g10 mAVCC e VCC Max VOUT e VCC or VSS ICCS VCC Standby Current 1 0.3 1.0 mA VCC e VCC Max CEÝ e VIH ICC1 VCC Active Read Current 1 10 30 mA VCC e VCC Max, CEÝ e VIL f e 6 MHz, IOUT e 0mA ICC2 VCC Programming Current 1, 2 1.0 30 mA Programming in Progress ICC3 VCC Erase Current 1, 2 5.0 30 mA Erasure in Progress ICC4 VCC Program Verify Current 1, 2 5.0 30 mA VPP e VPPH Program Verify in Progress

ICC5 VCC Erase Verify Current 1, 2 5.0 30 mA VPP e VPPH Erase Verify in Progress

IPPS VPP Leakage Current 1 g10 mAVPP s VCC IPP1 VPP Read Current 1 90 200 mAVPP l VCC or Standby Current g10.0 VPP s VCC IPP2 VPP Programming Current 1, 2 8.0 30 mA VPP e VPPH Programming in Progress

IPP3 VPP Erase Current 1, 2 6.0 30 mA VPP e VPPH Erasure in Progress

IPP4 VPP Program Verify Current 1, 2 2.0 5.0 mA VPP e VPPH Program Verify in Progress

IPP5 VPP Erase Verify Current 1, 2 2.0 5.0 mA VPP e VPPH Erase Verify in Progress

VIL Input Low Voltage b0.5 0.8 V VIH Input High Voltage 2.0 VCC a 0.5 V VOL Output Low Voltage 0.45 V VCC e VCC Min IOL e 5.8 mA VOH1 Output High Voltage 2.4 V VCC e VCC Min IOH eb2.5 mA VID A9 Intelligent Identifer Voltage 11.50 13.00 V IID A9 Intelligent Identifier Current 1, 2 90 500 mAA9eVID VPPL VPP during Read-Only 0.00 6.5 V NOTE: Erase/Program are Operations Inhibited when VPP e VPPL VPPH VPP during Read/Write 11.40 12.60 V Operations

VLKO VCC Erase/Write Lock Voltage 2.5 V

17 28F010

DC CHARACTERISTICSÐCMOS COMPATIBLEÐExtended Temperature Products Limits Symbol Parameter Notes Unit Test Conditions Min Typical(4) Max

ILI Input Leakage 1 g1.0 mAVCC e VCC Max Current VIN e VCC or VSS ILO Output Leakage 1 g10 mAVCC e VCC Max Current VOUT e VCC or VSS ICCS VCC Standby 1 50 100 mAVCC e VCC Max Current CEÝ e VCC g0.2V ICC1 VCC Active Read 1 10 30 mA VCC e VCC Max, CEÝ e VIL Current f e 10 MHz, IOUT e 0mA ICC2 VCC Programming 1, 2 1.0 10 mA Programming in Progress Current

ICC3 VCC Erase Current 1, 2 5.0 30 mA Erasure in Progress ICC4 VCC Program Verify 1, 2 5.0 30 mA VPP e VPPH Current Program Verify in Progress

ICC5 VCC Erase Verify 1, 2 5.0 30 mA VPP e VPPH Current Erase Verify in Progress

IPPS VPP Leakage Current 1 g10 mAVPP s VCC IPP1 VPP Read Current, 1 90 200 mAVPP l VCC ID Current or 10 V s V Standby Current g PP CC

IPP2 VPP Programming 1, 2 8.0 30 mA VPP e VPPH Current Programming in Progress

IPP3 VPP Erase Current 1, 2 6.0 30 mA VPP e VPPH Erasure in Progress

IPP4 VPP Program Verify 1, 2 2.0 5.0 mA VPP e VPPH Current Program Verify in Progress

IPP5 VPP Erase Verify 1, 2 2.0 5.0 mA VPP e VPPH Current Erase Verify in Progress

VIL Input Low Voltage b0.5 0.8 V VIH Input High Voltage 0.7 VCC VCC a 0.5 V VOL Output Low Voltage 0.45 V VCC e VCC Min IOL e 5.8 mA V 0.85 V V V Min OH1 Output High Voltage CC CC e CC IOH eb2.5 mA V VOH2 VCC b 0.4 VCC e VCC Min IOH eb100 mA VID A9 Intelligent Identifer 11.50 13.00 V Voltage

IID A9 Intelligent Identifier 1, 2 90 500 mAA9eVID Current

18 28F010

DC CHARACTERISTICSÐCMOS COMPATIBLEÐExtended Temperature Products (Continued) Limits Symbol Parameter Notes Unit Test Conditions Min Typical(4) Max

VPPL VPP during Read-Only 0.00 6.5 V NOTE: Erase/Programs are Operations Inhibited when VPP e VPPL

VPPH VPP during Read/Write 11.40 12.60 V Operations

VLKO VCC Erase/Write Lock 2.5 V Voltage

CAPACITANCE TA e 25§C, f e 1.0 MHz Limits Symbol Parameter Notes Unit Conditions Min Max

CIN Address/Control Capacitance 3 8 pF VIN e 0V

COUT Output Capacitance 3 12 pF VOUT e 0V

NOTES: 1. All currents are in RMS unless otherwise noted. Typical values at VCC e 5.0V, VPP e 12.0V, T e 25§C. These currents are valid for all product versions (packages and speeds). 2. Not 100% tested: characterization data available. 3. Sampled, not 100% tested. 4. ‘‘Typicals’’ are not guaranteed, but based on a limited number of samples from production lots.

19 28F010

AC TESTING INPUT/OUTPUT HIGH SPEED AC TESTING INPUT/OUTPUT WAVEFORM(1) WAVEFORM(2)

290207–7 290207–8

AC test inputs are driven at VOH (2.4 VTTL) for a Logic AC test inputs are driven at 3.0V for a Logic ‘‘1’’ and ‘‘1’’ and VOL (0.45 VTTL) for a Logic ‘‘0’’. Input timing 0.0V for a Logic ‘‘0’’. Input timing begins, and output begins at VIH (2.0 VTTL) and VIL (0.8 VTTL). Output tim- timing ends, at 1.5V. Input rise and fall times (10% to ing ends at VIH and VIL. Input rise and fall times (10% 90%) k10 ns. to 90%) k10 ns.

AC TESTING LOAD CIRCUIT(1) HIGH SPEED AC TESTING LOAD CIRCUIT(2)

CL e 100 pF CL e 30 pF CL includes Jig Capacitance 290207–22 CL includes Jig Capacitance 290207–23 RL e 3.3 KX RL e 3.3 KX

AC TEST CONDITIONS(1) HIGH-SPEED AC TEST CONDITIONS(2)

Input Rise and Fall Times (10% to 90%)ÀÀÀÀÀÀ10 ns Input Rise and Fall Times (10% to 90%)ÀÀÀÀÀÀ10 ns Input Pulse Levels ÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ0.45V and 2.4V Input Pulse Levels ÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ0.0V and 3.0V Input Timing Reference Level ÀÀÀÀÀÀÀ0.8V and 2.0V Input Timing Reference Level ÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ1.5V Output Timing Reference Level ÀÀÀÀÀÀ0.8V and 2.0V Output Timing Reference Level ÀÀÀÀÀÀÀÀÀÀÀÀÀÀ1.5V Capacitive LoadÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ100 pF Capacitive LoadÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀÀ30 pF NOTES: 1. Testing characteristics for 28F010-65 in standard configuration, and 28F010-90, 28F010-120, and 28F010-150. 2. Testing characteristics for 28F010-65 in high speed configuration.

20 28F010

AC CHARACTERISTICSÐRead Only OperationsÐCommercial and Extended Temperature Products s m Unit (5) 28F010-150 (5) 28F010-120 (5) 28F010-90 (5) (4) 5% 28F010-65 10% 28F010-65 g g CC CC V V Change Ý Access Timeto Output 2, 3 25 0 28 0 35 0 50 0 55 ns 0 ns Access Timeto Low Z 2, 3 65 0 70 0 90 0 120 0 150 ns 0 ns ,orOE Ý Ý Ý Ý Ý Versions in Low Z Read Cycle TimeCE Address Access TimeOE CE Chip Disable to Outputin High Z OE 65Output 2 Disable to Outputin High Z Output Hold from Address,CE 65 2Write Recovery Time 1, 70 2 35 0 70 30 90 40 6 0 90 30 120 45 6 120 0 150 30 55 6 150 ns 0 ns 30 55 6 ns 0 35 ns 6 ns before Read DF OE ACC OLZ RC CE LZ /t /t /t /t /t /t /t Symbol Characteristic Notes Min Max Min Max Min Max Min Max Min Max AVAV ELQV AVQV GLQV ELQX EHQZ GLQX GHQZ OH WHGL t t t t t t t t t t NOTES: 1. Whichever occurs first. 2. Sampled, not 100%3. tested. Guaranteed by design. 4. See High Speed5. AC See Input/Output AC reference Input/Output Waveforms reference and Waveforms High and Speed AC AC Testing Testing Load Load Circuits Circuits for for testing testing characteristics. characteristics.

21 28F010 290207–9

Figure 7. AC Waveforms for Read Operations

22 28F010

AC CHARACTERISTICSÐWrite/Erase/Program Only Operations(1)Ð Commercial and Extended Temperature Products s s s ns ns ns m m m Unit (5) 28F010-150 (5) 28F010-120 (5) 28F010-90 (5) (4) 5% 28F010-65 10% 28F010-65 g 655 655 655 g CC CC V V Set-Up Time to 2 1 1 1 1 1 PP Versions Write Cycle TimeAddress Set-Up TimeAddress Hold TimeData Set-Up TimeData Hold TimeWrite Recovery Time 65 0Read Recovery Time 40before Write Chip Enable Set-Up Timebefore Write 40 70Chip 2 Enable Hold 0 TimeWrite Pulse Width 40 6 10 0Write Pulse Width High 40 15Duration of Programming 90 0Duration of 40 Erase 10 0 6 3Operation V 0Chip 40 Enable 40 15 Low 120 10 20 0 3 40 10 0 6 0 40 9.5 40 15 150 10 20 0 40 10 0 6 ns 9.5 40 40 0 15 10 20 ns 10 0 6 9.5 60 15 0 10 20 ns 0 9.5 ns 60 ns 10 20 ns 9.5 ns ms before Read Operation WP WPH CH DS DH AS AH CS WC /t /t /t /t /t /t /t /t /t Symbol Characteristic Notes Min Max Min Max Min Max Min Max Min Max AVAV AVWL WLAX DVWH WHDX WHGL GHWL ELWL WHEH WLWH WHWL WHWH1 WHWH2 VPEL t t t t t t t t t t t t t t NOTES: 1. Read timing characteristics2. during Guaranteed read/write by operations design. 3. are The the integrated same stop as4. timer during See terminates read-only High the operations. Speed5. programming/erase Refer AC See operations, to Input/Output AC thus AC reference Input/Output eliminating Characteristics6. Waveforms reference the for Minimum and Waveforms need Read-Only specification High and for Operations. for Speed AC a Extended AC Testing maximum Temperature Testing Load specification. product. Load Circuits Circuits for for testing testing characteristics. characteristics.

23 28F010

290207–13 290207–15

Figure 8. Typical Programming Capability Figure 10. Typical Erase Capability

290207–14 290207–16

Figure 9. Typical Program Time at 12V Figure 11. Typical Erase Time at 12V

24 28F010 290207–10

Figure 12. AC Waveforms for Programming Operations

25 28F010 290207–11

Figure 13. AC Waveforms for Erase Operations

26 28F010

AC CHARACTERISTICSÐAlternative CEÝ-Controlled WritesÐCommercial and Extended Temperature s s s ns ns ns m m m Unit (5) 28F010-150 (5) 28F010-120 (5) 28F010-90 (5) (2, 4) 5% 28F010-65 10% 28F010-65 g 660 650 660 g CC CC V V Set-Up Time to Chip 2 1 1 1 1 1 Versions PP Write Cycle TimeAddress Set-Up TimeAddress Hold TimeData Set-Up TimeData Hold TimeWrite Recovery Time 65 0Read Recovery Time 45before Write Write Enable Set-Up Timebefore Chip Enable 35Write Enable 2 Hold Time 70 0Write Pulse Width 6 45 10Write Pulse 0 Width HighDuration of 0 35 Programming 90Duration 0 of EraseOperation 0 45 3 10 6V Enable 0 Low 45 35 0 10 20 120 3 0 55 10 0 6 9.5 0 45 45 150 10 0 20 0 55 10 0 6 9.5 45 ns 45 0 10 20 0 ns 10 0 6 9.5 70 0 10 20 0 ns 0 9.5 70 ns 10 20 ns ns 9.5 ns ms before Read Operation AVAV AVEL ELAX DVEH EHDX EHGL GHWL WLEL EHWH ELEH EHEL EHEH1 EHEH2 VPEL Symbol Characteristic Notes Min Max Min Max Min Max Min Max Min Max t t t t t t t t t t t t t t NOTE: 1. Read timing characteristics2. during Guaranteed read/write by operations design. 3. are The the integrated same stop as4. timer during See terminates read-only High the operations. Speed5. programming/erase Refer AC See operations, to Input/Output AC thus AC reference Input/Output eliminating Characteristics6. Waveforms reference the for Minimum and Waveforms need Read-Only specification High and for Operations. for Speed AC a Extended AC Testing maximum Temperature Testing Load specification. product. Load Circuits Circuits for for testing testing characteristics. characteristics.

27 28F010

ERASE AND PROGRAMMING PERFORMANCE Parameter Notes Min Typical Max Unit Chip Erase Time 1, 3, 4 1 10 Sec Chip Program Time 1, 2, 4 2 12.5 Sec

NOTES: 1. ‘‘Typicals’’ are not guaranteed, but based on samples from production lots. Data taken at 25§C, 12.0V VPP. 2. Minimum byte programming time excluding system overhead is 16 msec (10 msec program a 6 msec write recovery), while maximum is 400 msec/byte (16 msec x 25 loops allowed by algorithm). Max chip programming time is specified lower than the worst case allowed by the programming algorithm since most bytes program significantly faster than the worst case byte. 3. Excludes 00H programming prior to erasure. 4. Excludes system level overhead.

28 28F010 290207–19

NOTE: Alternative CEÝ-Controlled Write Timings also apply to erase operations.

Figure 14. Alternate AC Waveforms for Programming Operations

29 28F010

ORDERING INFORMATION

290207–20

VALID COMBINATIONS: P28F010-65 N28F010-65 TN28F010-90 P28F010-90 N28F010-90 P28F010-120 N28F010-120 P28F010-150 N28F010-150

E28F010-65 F28F010-65 TE28F010-90 E28F010-90 F28F010-90 TF28F010-90 E28F010-120 F28F010-120 E28F010-150 F28F010-150

ADDITIONAL INFORMATION Order Number ER-20, ‘‘ETOX Flash Memory Technology’’ 294005 ER-24, ‘‘Intel Flash Memory’’ 294008 ER-28, ‘‘ETOX III Flash Memory Technology’’ 294012 RR-60, ‘‘ETOX Flash Memory Reliability Data Summary’’ 293002 AP-316, ‘‘Using Flash Memory for In-System Reprogrammable Nonvolatile Storage’’ 292046 AP-325 ‘‘Guide to Flash Memory Reprogramming’’ 292059

REVISION HISTORY

Number Description 007 Removed 200 ns Speed Bin Revised Erase Maximum Pulse Count for Figure 5 from 3000 to 1000 Clarified AC and DC Test Conditions Added ‘‘dimple’’ to F TSOP Package Corrected Serpentine Layout 008 Corrected AC Waveforms Added Extended Temperature Options 009 Added 28F010-65 and 28F010-90 speeds Revised Symbols, i.e., CE,OE, etc. to CEÝ,OEÝ, etc. 010 Completion of Read Operation Table Labelling of Program Time in Erase/Program Table Textual Changes or Edits Corrected Erase/Program Times

30