<<

Testing at MultiGbps Rates Jitter Models for the Design and Test of Gbps-Speed Serial Interconnects

Nelson Ou, Touraj Farahmand, Andy Kuo, Sassan Tabatabaei, and André Ivanov University of British Columbia

valid for describing a Gaussian distribu- Editor’s note: tion such as RJ. Moreover, a simple RMS Gigabit data rates in high-speed interconnects require careful modeling of or peak-to-peak number cannot suffi- jitter and its effect on the bit error rates. This article presents a comprehensive analysis of jitter causes and types, and develops accurate jitter models for ciently describe the characteristics of dif- design and test of high-speed interconnects. ferent types of jitter. Overall, we need —Dimitris Gizopoulos, University of Piraeus more-accurate jitter and models to allow better predictions and characteri- zations of devices subject to jitter effects. THE RECENT DEPLOYMENT of gigabit-per-second One difficulty with jitter analysis is identifying the dif- (Gbps) serial I/O interconnects aims at overcoming data ferent jitter components contributing to TJ. transfer bottlenecks resulting from the limited ability to Deconvolution algorithms such as the TailFit algorithm increase chip pin counts in parallel bus architectures. can separate TJ into its random and deterministic com- Gigabit-per-second data rates in today’s asynchronous I/O ponents.2-4 Another method uses a real-time sampling interconnects introduce new signal integrity issues. The oscilloscope to capture the timing information of each traditional measure of a communication link’s perfor- edge transition in a data stream. Proper techniques can mance has been its associated (BER), which then extract jitter parameters directly from the acquired is the ratio of the number of bits received in error to the data set. We can further decompose DJ to model the dif- total number of bits transmitted. When data rates ferent impacts of its subcomponents on link perfor- increase, jitter magnitude and signal noise mance. This article presents models that allow for such must decrease to maintain the same BER. As data rates further jitter decomposition. exceed 1 Gbps, a slight increase in jitter or amplitude noise has a far greater effect on the BER. Jitter definition Specifying jitter and noise simply through peak-to-peak Jitter is the deviation of a signal’s timing event from or root--square (RMS) values is inadequate and its intended (ideal) occurrence in time, as shown in insufficiently accurate.1 Peak-to-peak value is sample-size Figure 1a. Traditionally, an eye diagram, like that shown dependent and is inaccurate in the presence of random in Figure 1b, has served to specify signal integrity limits, noise because, by definition, random noise is unbound- including jitter. It’s possible to express jitter in absolute ed. A peak-to-peak random jitter (RJ) measurement is time or normalized to a unit interval (UI). A UI is the ambiguous without an established boundary condition. ideal or average time duration of a single bit or the rec- Conversely, describing total jitter (TJ) simply by an RMS iprocal of the average data rate. An eye diagram is a value is inaccurate in the presence of nonrandom noise. composite of all the bit periods of the captured bits This is because a deterministic jitter (DJ) probability den- superimposed on each other relative to a bit clock sity function (PDF) can take any form and might have lit- (recovered or available from the source). We call the tle correlation with a DJ RMS value. An RMS value is only area within the eye the eye opening.

302 0740-7475/04/$20.00 © 2004 IEEE Copublished by the IEEE CS and the IEEE CASS IEEE Design & Test of Computers

Authorized licensed use limited to: University of Illinois. Downloaded on January 16, 2009 at 10:49 from IEEE Xplore. Restrictions apply. Ideal Total jitter (TJ) timing event Deterministic jitter (DJ) Random jitter (RJ)

Periodic jitter Data-dependent Bounded uncorrelated (PJ) jitter (DDJ) jitter (BUJ)

Jitter (a) Duty-cycle Intersymbol (DCD) interference (ISI) Left eye crossing Right eye crossing

Figure 2. Subcomponents of total jitter.

Eye opening crossing the eye mask is violating the specification. A transmitter and receiver 1 unit interval would normally have different specifica- tions, and thus different eye masks. tUI = 0 tUI = 1 (b) Figure 1c shows combined transmitter and receiver eye diagrams with corre- sponding eye masks fitted over the eye openings. Overlapping the transmitter and receiver eye masks, as Figure 1d shows, gives a measure of signal ampli- 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 tude attenuation budget and jitter budget for the interconnect.5 TJ’s two subcategories are DJ and RJ.3,6-8 Figure 2 shows TJ’s various sub- components.3

0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 A serial communication link’s jitter specifications normally indicate TJ and (c) either RJ or DJ—or both. When RJ Attenuation appears as a peak-to-peak value, some Transmitter budget eye mask I/O standards define TJ as equal to the sum of RJ and DJ when these are Receiver expressed in time units.2 When jitter is eye mask Jitter budget expressed through a PDF, the TJ’s PDF is (d) equal to the convolution of its RJ and DJ components.9 Figure 1. Jitter (a) and eye diagram (b). Examples of DJ in turn comprises several subcom- transmitter (top) and receiver (bottom) eye diagrams with ponents. Sinusoidal jitter/periodic jitter masks (c) specify signal integrity limits. Overlapping the (PJ) refers to periodic variations of signal masks gives an indication of attenuation and jitter budgets (d). edge positions over time. Possible caus- es of PJ are electromagnetic interference sources such as power supplies. Bounded Specific protocol AC and DC specifications can con- uncorrelated jitter (BUJ) is typically due to coupling— struct so-called eye masks. Such eye masks can repre- for example, from adjacent data-carrying links or on- sent the minimum signal requirements at the transmitter chip random logic switching.8 BUJ is bounded owing to output or at the receiver input. In device characteriza- the finite coupling strength, and the exact model tion stages, fitting an eye mask over an eye opening depends on the data pattern, coupling signal, and cou- shows signal compliance with a protocol. Any signal pling mechanism. Because generally applicable mod-

July–August 2004 303

Authorized licensed use limited to: University of Illinois. Downloaded on January 16, 2009 at 10:49 from IEEE Xplore. Restrictions apply. Testing at MultiGbps Rates

DJ components is a deconvolution process.9 x s Convolution and deconvolution processes both require the description of RJ and DJ components by mathe- matical functions rather than through simple peak-to- peak values. Because jitter is generally a stochastic process, PDFs can effectively characterize it. In most PDF PDF left right practical cases, a Gaussian distribution can character- ize RJ.3,7-9 We assume DJ is bounded; it can have a vari- ety of PDFs describing its subcomponents. 0.5 0.5 Engineers can use the TJ PDF to estimate the BER.1 Bit error rate (cumulative That is, the BER is essentially the cumulative distribu- distribution tion function (CDF) of the TJ PDFs of the left and right xs function) 01 eye crossings over the time interval in which a bit error occurs. In Figure 3, the time interval of interest is that to

Figure 3. Obtaining the bit error rate from the total jitter the right of sampling instant xs for the left eye crossing probability density function. and that to the left of xs for the right eye crossing. Integrating the PDFs of both eye crossings over their respective time intervals produces the BER function:1 els aren’t available, we do not further discuss BUJ in this

article. Data-dependent jitter (DDJ) corresponds to a  xs   −+∆∆ variable jitter that depends on the bit pattern transmit- 1 ∫ PDFLeft ()() x d x 1   == −∞  ted on the link under test. DDJ does not describe jitter BER() xss CDF () x 2  xs  induced by resulting from coupling with other ∆∆  ∫ PDDFRight()() x d x  signal paths. −∞  DDJ in turn has two subcomponents. The first DDJ subcomponent, duty-cycle distortion (DCD), describes Figure 3 illustrates the relationships between the TJ PDF a jitter amounting to a signal having unequal pulse and the BER function. The BER at the bottom of the fig- widths for high and low logic values. Causes of DCD can ure is also known as a bathtub curve. be voltage offsets between the differential inputs, and differences between the system’s rise and fall times.8 Random jitter The second DDJ subcomponent, intersymbol inter- The following subsections discuss the types of noise ference (ISI), is jitter that depends on the transmitted pat- that cause RJ and how engineers model RJ. terns. ISI has three main causes: Causes Bandwidth limitation of the transmission medium RJ comes from device noise sources—for example, can result in effects on a single bit that come from thermal effects and flicker.3,4 An example of device the sequence of preceding bits. noise is , which is related to a transistor’s fluc- The nonlinear phase response of the transmission tuation in current flow. Thermal noise is a component media can cause frequency-dependent group delay. of device noise. Electron scattering causes thermal This nonlinear response causes edge shifts that noise when electrons move through a conducting medi- depend on the transition density within the data um and collide with silicon atoms or impurities in the stream. lattice. Higher temperatures result in greater atom vibra- Reflections can arise from imperfect transmission tion and increased chances of collisions. , line terminations, resulting in effects on a single bit or 1/frequency noise, results from the random capture that come from the sequence of preceding bits. and emission of carriers from oxide interface traps, which affects carrier density in a transistor.3 Jitter probability density functions and bit error rate Modeling random jitter As mentioned earlier, TJ’s PDF is the convolution of Engineers commonly model RJ by the Gaussian dis- its RJ and DJ components. Separating TJ into its RJ and tribution function

304 IEEE Design & Test of Computers

Authorized licensed use limited to: University of Illinois. Downloaded on January 16, 2009 at 10:49 from IEEE Xplore. Restrictions apply.   ()x 2 1 −   nal’s impact depends on the transmitted data pattern, we = σ 2 JxRJ() e  2  σπ2 can assume signal reflection is a cause of DDJ. Above a certain frequency, transmitting conductors experience a skin effect. This is a phenomenon where- σ where JRJ(x) denotes the RJ PDF, is the standard devi- by at high frequencies conductor self-inductance caus- ation of the Gaussian distribution, and x is the time dis- es the current flow to concentrate on the surface of a placement relative to the ideal time position. Hence, a conducting medium. The onset frequency is a function Gaussian RJ is completely specified by a single para- of the conductor’s cross-sectional area, impedance, and meter—its . other material physical parameters.10,11 The skin effect increases the conductor’s resistance because of the Deterministic jitter reduction in effective cross-sectional area and leads to The next subsection discusses how system compo- increased attenuation of a signal’s high-frequency con- nent interaction causes DJ, and the subsequent ones tents. The results are longer rise and fall times, and present a model for each DJ subclass. degraded signal . Dielectric loss results from the delay of polarization in Causes the dielectric material when it is subject to a changing DJ arises from the interaction of different system com- electric field. In an ideal lossless material, the current ponents. Its major causes include electromagnetic inter- leads the voltage by 90 degrees. But in real material, the ference, crosstalk, signal reflection, driver slew rate, skin delay in polarization creates a phase lag between the effects, and dielectric loss.3,10 Electromagnetic interference external electric field and the resonating molecules, is the interference from radiated or conducted energy that which leads to a phase difference in current, thus amount- comes from other devices or systems. Such radiation can ing to power loss. Above some frequencies, dielectric loss- induce currents on signal wires and power rails, and alter es dominate skin effect losses because dielectric losses the signal voltage biases or the reference voltages. are proportional to the frequency, while skin effect losses Impedance mismatch between the cables or traces are proportional to the frequency’s square root.10 and a terminating resistor contributes to signal reflec- The frequency dependency of skin effect and dielec- tions. As a signal propagates and reaches the receiver, tric losses makes them causes of DDJ. Attenuations due part of the signal energy reflects back toward the trans- to skin effect and dielectric losses contribute to the ver- mitter. It’s possible to estimate the percentage of reflect- tical closure of the signal eye. The attenuations also con- ed energy relative to signal energy.11 tribute to slower rise and fall rates, which reduce the ωω− horizontal eye opening. = ZZL ()ο ()× %reflect 100% The signal slew rate depends on the signal driver’s ZZ()ωω+ ο () L ability to drive its load. A strong driver can provide a fast slew rate and drive higher-frequency signals. When a where ZL is the load impedance, Zo is the wire impedance, high-frequency signal’s driver is weak, the signal at the and ω is the angular frequency of the transmitter signal. opposite end of the wire might not have enough time to Mismatches in the terminating resistance cause electrons rise or fall to the desired signal high or low value. Using to literally bounce back to the transmitter. This corrupts a linear phase finite-length impulse response filter with the succeeding bits and reduces the signal-to-noise ratio. a cut-off frequency of 1 GHz to emulate a driver, Figure The reflected signal energy bounces back and forth until 4 illustrates the slew rate limitation when transmitting a it dissipates completely. As it bounces, it adds to the orig- data pattern at 3 GHz. inal signal out of the phase, resulting in jitter. If a source 1 side termination resistor is used at the receiving end 0 and has matching resis- −1 tance, it will absorb the 012345678910 Signal value (V) reflected signal, and no Time (ns) data corruption will occur. Because a reflected sig- Figure 4. Signal driver slew rate limitation.

July–August 2004 305

Authorized licensed use limited to: University of Illinois. Downloaded on January 16, 2009 at 10:49 from IEEE Xplore. Restrictions apply. Testing at MultiGbps Rates

Modeling Duty-cycle distortion model. The sum of two δ func- PDF deterministic jitter tions can represent the jitter due to DCD.2 0.5 We assume that DJ W W magnitude is bounded. δδ()()x − x + The following subsections Jx()= 2 + 2 x DCD 2 2 δ (x − W/2) δ (x − W/2) present a jitter model for each DJ subclass. We

Figure 5. Probability density have written a MatLab where JDCD(x) is the DCD PDF, W is the peak-to-peak DCD function for duty-cycle distortion. program that simulates magnitude, and x is the time displacement relative to the signal behavior through a ideal time position. The two δ functions represent the ris- hypothetical transmission ing and falling edges of the signal. The magnitude of medium modeled by a linear filter with a nonlinear each δ function is 1/2 because the equation assumes that phase response. For our study, the filter contributes a there are equal numbers of rising and falling transitions signal amplitude distortion, thereby simulating the in the transmitted signal. This is the typical case, for amplitude noise and frequency-dependent phase delay example, with DC-balanced encoding schemes such as generally encountered in a transmission system. For this 8b/10b encoding. This characteristic also holds for non- reason, the specific accuracy of the filter model and the 8b/10b encoding schemes, as long as the data pattern model’s effects on the transmission medium are not crit- has sufficient transitions—that is, no long sequences of ical. The filter we used in our study has a cut-off fre- ones or zeros. Figure 5 shows the DCD PDF. quency of 2.1 GHz and rise and fall times of 0.25 ns. Figure 6 illustrates the simulation of a 2-Gbps clock- like data signal (Figure 6a) passed through our 1 transmission path model. 0 The data signal has a 60% −1 duty cycle in this case. 0 1 2 3 4 5 6 7 8 910 Figure 6b shows the signal (a) Time (ns) at the output of the trans- mission path model, dis- 1 playing the effect of that 0 model on the transmitted

−1 data pattern. Figure 6c dis- plays the eye. The his- 0 1 2 3 4 5 6 7 8 910 Signal value (V) Signal value (V) (b) Time (ns) togram, shown in Figure 6d, clearly shows the jitter 1 distribution as two delta 0 functions. This observa- tion validates our assump- −1 tion for a DCD model.

Signal value (V) 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0 (c) Time (ns) Intersymbol interfer- ence model. ISI depends on the transmitted bit pat- tern. With ISI, the timing of each edge of the transmit- 0.15 0.20 0.25 0.30 0.35 0.40 0.45 0.50 0.55 0.60 ted signal depends on the No. of occurrences Time (ns) (d) bit pattern preceding this edge, which in this article Figure 6. Example duty-cycle distortion simulation: transmitted bit pattern (a), we refer to as the edge pat- transmitted pattern at the output of the transmission path model (b), eye diagram (c), tern. Different edge pat- and jitter histogram (d). terns have different

306 IEEE Design & Test of Computers

Authorized licensed use limited to: University of Illinois. Downloaded on January 16, 2009 at 10:49 from IEEE Xplore. Restrictions apply. PDF Repeat abcd pattern P3 P2 P4 P1 Bit time x t0 t2 t4 t6 t8 x2

x1

Figure 8. Four distinct edge patterns in a 7-bit pattern. Figure 7. model probability density function. This information lets us calculate the occurrence prob- ability of all edge patterns, which in this case is 1/4. frequency components. Fast-changing edge patterns Figure 9 is the simulation result for transmitting the behave as high-frequency signals; slow-changing edge bit pattern in Figure 8 over the same transmission path patterns behave as low-frequency signals. Because of model as in the previous cases. Figure 9a shows the the conductors’ filtering effects, different edge patterns transmitted signal, and Figure 9b shows the signal at the propagate at different speeds through the conductors. output of the transmission channel. Figure 9b shows the This difference in propagation speeds causes bits to distortion introduced by the transmission path model smear into adjacent bits, resulting in ISI. To calculate onto the transmitted data pattern, where the amount of total ISI, we must know the probability of occurrence of distortion is frequency dependent—that is, dependent each edge pattern and the corresponding jitter magni- on the data pattern. The eye diagram in Figure 9c dis- δ tude. We use Pi to denote the probability that given bit plays ISI jitter. The simulation results illustrate four lines pattern i will occur; xi is the magnitude of the bit pat- in Figure 9d, derived from the results shown in Figure 9b, tern, as illustrated in Figure 7. Assuming that the jitter thereby supporting our assumptions about adequately magnitude of each distinct edge pattern remains con- modeling ISI through a summation of δ functions. stant over time (it is time invariant2), then a weighted sum of δ functions can represent the PDF for each edge, Periodic jitter model. PJ causes periodic deviation of with the weights corresponding to the edge pattern transitions from their ideal values over time, as shown probabilities. Thus, the following equation can express in Figure 10a. The square wave represents a transmitted the jitter due to ISI: signal, and the sine wave represents the periodic edge

N deviations. A summation of cosine functions with dif- =×−δ JxISI()∑ Pii ( xx ) ferent phases and amplitudes provides a model for PJ: i=1 where JISI(x) is the ISI jitter PDF, N is the number of dis- N =+ωθ tinct edge patterns, Pi is the probability of occurrence PJTotal() t∑ Aiii cos( t ) i=0 of edge pattern i, xi is the jitter magnitude for the ith edge pattern, and x is the time displacement relative to the ideal time position. Measurements can provide the where PJTotal(t) denotes the total periodic jitter, N is the jitter magnitude xi of edge pattern i. number of cosine components (tones), Ai is the corre- ω Figure 8 shows a repeating 7-bit pattern with four dis- sponding amplitude, i is the corresponding angular fre- θ tinct edge patterns, labeled a, b, c, and d. The bit-time quency, t is the time, and i is the corresponding phase. axis labels t0 through t8 designate the beginning of each The following equation describes the PDF of a single- 12 bit period. To calculate Pi, it’s necessary to find the total tone PJ: number of occurrences of each edge pattern i over a given time period. Our simulation repeatedly transmits  1  22 the 7-bit pattern in Figure 8 and records edge shifts for π Ax− = ∞ each pattern edge. In practice, the number of sampled JxPJ () 0 repetitions depends on the per-edge-shift averaging  required to reduce RJ and PJ effects to negligible levels. 

July–August 2004 307

Authorized licensed use limited to: University of Illinois. Downloaded on January 16, 2009 at 10:49 from IEEE Xplore. Restrictions apply. Testing at MultiGbps Rates

where A is the amplitude of 1 the PJ sinusoidal compo- 0 nent and x is the time dis- placement relative to the −1 ideal position. Let’s assume

Signal value (V) 0 1 2 3 4 5 6 7 8 910 Time (ns) there is only PJ in the sig- (a) nal. The resulting jitter PDF 1 will then have a concave shape because there will 0 be a higher proportion of − 1 samples having jitter mag- 0 1 2 3 4 5 6 7 8 910

Signal value (V) nitudes closer to the sinu- Time (ns) (b) soidal peaks than those with smaller jitter magni- 1 tudes. Measurements can 0 determine the jitter fre- −1 quencies and phases—for

Signal value (V) 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0 example, from Fourier (c) Time (ns) transformation with peak detection on edge data acquired using a fast real- time oscilloscope.13 The PDF in Figure 10b is for a 0 single-tone PJ. If the fre- No. of occurrences 0.245 0.250 0.255 0.260 0.265 0.270 0.275 0.280 (d) Time (ns) quencies of the cosine components for a multi- tone PJ are not harmoni- Figure 9. Example intersymbol interference simulation: transmitted bit pattern (a), cally related to each other, transmitted pattern at the output of the transmission path model (b), eye diagram (c), it’s possible to estimate the and jitter histogram (d). total PJ PDF by convolving the PDFs of individual components. Figure 11 illustrates PJ’s effect on an eye diagram. Figure 11a shows a clocklike data pattern with a 50% duty cycle, assumed to be transmitted at 2 Gbps over Transmitted the same transmission path model used in previous sim- signal PJ(t) t ulations. Figure 11b shows the signal at the transmission path model’s output. We set the PJ peak amplitude to −A A 100 ps. Figure 11c clearly shows the eye closure caused (a) by PJ. Figure 11d illustrates that the histogram corre- PDF sponds to the PJ PDF, which is as expected from the model, as in Figure 10. Thus, our simulation results rein- force our PJ model assumptions.

1/πA t Total jitter −A A We presented RJ and DJ as separate jitter compo- (b) nents. In actuality, however, jitter doesn’t exist as sepa- rate entities but rather as a combination of different jitter Figure 10. Illustration of periodic jitter (a) and the components resulting in TJ. In the time domain, TJ is periodic jitter probability density function (b). simply the sum of its RJ and DJ components. However,

308 IEEE Design & Test of Computers

Authorized licensed use limited to: University of Illinois. Downloaded on January 16, 2009 at 10:49 from IEEE Xplore. Restrictions apply. when a PDF describes TJ, 1 the TJ PDF is the convolu- tion of its RJ and DJ com- 0 ponents’ PDFs. −1

Signal value (V) 0 1 2 3 4 5 6 7 8 910 (a) Time (ns) TJPDF = RJPDF * DJPDF where * denotes convolu- 1 tion. 0 −1 Figure 12 shows simula- Signal value (V) 0 1 2 3 4 5 6 7 8 910 tion results from various (b) Time (ns) combinations of jitter com- ponents. We assume a 1 transmission rate of 2 Gbps 0 as well as the same trans- −1 mission path model used

Signal value (V) 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0 in the previous simulations. (c) Time (ns) Figure 12a shows the com- bined result of PJ and DCD. The injected PJ has an amplitude of 40 ps and a frequency of 5 MHz, while the DCD component has a No. of occurrences 0.10 0.15 0.20 0.25 0.30 0.35 0.40 0.45 0.50 0.55 Time (ns) 70% duty cycle. A clocklike (d) signal inhibits ISI jitter and RJ. The histogram Figure Figure 11. Example periodic jitter simulation: transmitted bit pattern (a), transmitted 12a exhibits two apparent pattern at the output of the transmission path model (b), eye diagram (c), and jitter concave curves that indi- histogram (d). cate the convolution of the PJ PDF and the DCD PDF. The 40-ps PJ amplitude is obvious from the figure as well. Jitter model design implications We derive Figure 12b by injecting RJ and PJ onto a Jitter models break down jitter to its subcomponents clocklike data pattern with no DCD and assuming the for better estimation of jitter impact on an I/O link’s BER same transmission channel as in all other cases. In this performance. These models also have design implica- case, RJ has an amplitude of 10 ps, and we set the PJ tions because different jitter components correlate with magnitude to 100 ps. The RJ PDF convoluted with the different sources in devices and systems. For example, PJ PDF will theoretically result in a double-peaked TJ device noise arises when active devices in the transmit- PDF. This histogram clearly illustrates the RJ curves at ter or receiver impact the unbounded RJ. Design tech- its outlying portions and the concave curve character- niques to limit RJ include increasing output stage istic of the PJ PDF in its center portion. currents, reducing the number of stages from sampling Figure 12c is the histogram that results from com- or source clocks to samplers, and using narrow-band bining RJ and DCD only. RJ, in this case, has an RMS phase-locked loops (PLLs) to reduce power supply noise. value of 20 ps, and DCD has a 70% duty cycle. The fig- PJ also has model design implications. PJ typically ure also shows the convolution of the RJ PDF with the results from coupling different clock sources to the DCD PDF. Figure 12d is the combined result of RJ, PJ, main transmitting or receiving block. One way to deal and DCD. The transmitted pattern in this case is a clock- with PJ is to route or move clock sources away from sen- like signal. The histograms in Figure 12 demonstrate the sitive circuit parts. Shielding and power-supply bypass capabilities of our jitter models in capturing the char- are extremely important for combating PJ. acteristics of TJ PDFs. Not all PJ components affect link performance in the

July–August 2004 309

Authorized licensed use limited to: University of Illinois. Downloaded on January 16, 2009 at 10:49 from IEEE Xplore. Restrictions apply. Testing at MultiGbps Rates

quency range that cannot be tracked by the receiver PLL. There are multiple strategies for reducing No. of occurrences 0.15 0.20 0.25 0.30 0.35 0.40 0.45 0.50 0.55 DDJ: (a) Time (ns)

Use proper termina- tions and avoid dis- continuities to reduce reflections. Balance the rise and No. of occurrences 0.10 0.15 0.20 0.25 0.30 0.35 0.40 0.45 0.50 (b) Time (ns) fall time of the drivers to reduce DCD. Some devices have program- mable rise and fall times, thereby allowing adjustments to make

No. of occurrences 0.15 0.20 0.25 0.30 0.35 0.40 0.45 0.50 0.55 these times match (c) Time (ns) each other. Use transmission paths with very high band- width and highly linear phase response. How- ever, such paths are a No. of occurrences (d) 0.1 0.2 0.3 0.4 0.5 0.6 major constraint in Time (ns) many systems; there- Figure 12. Example total jitter simulations: duty-cycle distortion and periodic jitter (a), fore, using pre- random jitter and periodic jitter (b), random jitter and duty-cycle distortion (c), and emphasis in transmit- random jitter, periodic jitter, and duty-cycle distortion (d). ters and equalization in receivers can com- pensate for the trans- same way. Therefore, a golden PLL can replace the mission paths’ nonideal frequency response. These receiver clock recovery circuit. This permits synthesis techniques in particular can drastically reduce DDJ of the jitter timing reference from the data stream, as and improve link performance. Figure 13 shows, and the PLL can serve as the timing ref- erence for the measurement instrument. Jitter measurement methods and Because the golden PLL extracts, or rejects, the low- applications frequency PJ, its effect on jitter modeling is to reduce Although designers can ignore certain jitter compo- the importance of the low-frequency PJ components in nents in some applications, they cannot ignore most jit- jitter characterization. Failure to implement the low-fre- ter components, which therefore require careful quency tracking function in the golden PLL results in measurement and characterization. Many jitter mea- greater signal degradation because of the otherwise surement methodologies are in use or have been pro- trackable low-frequency jitters in the data stream.2 posed in the literature. The various methods use time Two additional design implications for jitter models interval analyzers (TIAs), oscilloscopes, and bit-error- concern BUJ and DDJ. BUJ comes from crosstalk. Good rate testers (BERTs). Describing all such methods is isolation techniques, such as shielding and power-sup- beyond the scope of this article. Table 1, however, lists ply bypass, can reduce this type of jitter. DDJ is a main some key jitter model characteristics that help in mea- source of jitter in systems and must be minimized suring jitter components, thereby illustrating the appli- because its frequency contents often lie in the high-fre- cation of jitter models in test and measurement. We

310 IEEE Design & Test of Computers

Authorized licensed use limited to: University of Illinois. Downloaded on January 16, 2009 at 10:49 from IEEE Xplore. Restrictions apply. present these jitter measurement methods to show how they use jitter models in general. Interested readers can Data Data in Measurement seek out the relevant references for more information. instrument Trigger There are several RJ measurement methods. Golden PLL Assuming the signal is a simple clocklike pattern and there are no DJ components, engineers can estimate RJ from captured histograms. In the presence of other jitter Figure 13. Golden phase-locked loop in the jitter components or when the transmission is a non-clocklike measurement setup. Possible measurement data pattern, engineers need other methods for measur- instruments include bit-error-rate testers and ing RJ. One such method entails curve-fitting algorithms. oscilloscopes. Because a jitter histogram’s tails contain Gaussian RJ components even when in the presence of DJ, curve-fit- ting algorithms try to find the best Gaussian fit to the tail curves represent the jitter’s cumulative distribution func- regions. The standard deviation of the matched Gaussian tion. However, such jitter estimates based on BERT mea- distribution provides the RJ estimate.2 surements tend to overstate RJ.6 Another method for measuring RJ requires a spectral Transmission of a clocklike data pattern permits direct analysis, which uses a Fourier transform of the captured measurement of DCD by measuring the periods of logic data to reveal the spectral content of the jitter signal. high and logic low. ISI doesn’t exist in this case, and RJ Because RJ is stochastic, it appears on the spectral can be averaged out with a large number of samples. graph as a small-amplitude across all fre- Using the same clocklike data pattern lets us estimate quencies. The noise floor’s RMS value is the RJ RMS the peak-to-peak PJ on the histogram. The histogram, value.13 With BERT measurements, RJ can be calculat- captured by an oscilloscope or a TIA, contains both RJ ed using the slope of the BER bathtub curves; these and PJ components. Because the tail portions are the RJ

Table 1. Measurement methods and equipment.

Jitter type Model properties Measurement methods Equipment Random jitter Gaussian distribution Time interval error (TIE) Real-time sampling measurement and PDF or oscilloscope, TIA histogram tail fit BER bathtub curve BERT Random nature Frequency domain (any distribution) TIE measurement and Real-time sampling frequency domain analysis oscilloscope, TIA Undersampled TIE Oscilloscope, TIA measurement and frequency domain analysis Data-dependent jitter Discrete δ lines in PDF TIE measurement, histogram Real-time sampling oscilloscope, BERT Deterministic TIE variation TIE measurement with edge Real-time sampling from edge to edge lock method and averaging in oscilloscope, TIA the time domain Repetitive nature when the pattern is repeated TIE measurement and Real-time sampling oscilloscope frequency domain analysis Periodic jitter PDF or histogram shape TIE or time interval histogram Real-time sampling oscilloscope Periodic nature TIE measurement and Oscilloscope, TIA autocorrelation estimation method

July–August 2004 311

Authorized licensed use limited to: University of Illinois. Downloaded on January 16, 2009 at 10:49 from IEEE Xplore. Restrictions apply. Testing at MultiGbps Rates

components, simply measuring the peak-to-peak sepa- type of oscilloscope provides very low intrinsic jitter, ration in the histogram provides a PJ estimate.6 which is helpful for measuring RJ accurately. It also pro- It’s possible to measure ISI jitter by transmitting a data vides the highest front-end bandwidth available in pattern containing both long and short bit runs. The ideal today’s instruments, which minimizes the instrument timing event for the ith edge in the pattern relative to a impact on DDJ measurement accuracy. The equivalent- reference edge would occur at n × UI, while an actual time sampling oscilloscope, however, requires a repeat- timing event can contain deviations expressed as n × UI ing signal pattern and a triggering signal to control the

+ Xi, where Xi denotes the displacement of the ith edge. sampling process. This oscilloscope can measure sig- Devices such as a TIA, which can accurately measure the nals running at frequencies higher than its sample rate

time between two timing events, let us measure Xi for but has the disadvantage of low acquisition speed and

each edge. The measured Xi values contain random and difficulty in acquiring noncoherent . An equiva- periodic components, which averaging can remove. The lent-time oscilloscope can also construct waveform eye

distribution of averaged Xi is the ISI PDF. The need for a diagrams. Unlike real-time oscilloscopes, equivalent- repeating pattern limits the use of this method. time oscilloscopes suffer from trigger jitter because they Spectral analysis offers another way to measure PJ, use multiple triggers.2 DCD, and ISI. Because a PJ component has fixed-fre- A TIA can operate with or without a clock (generat- quency components, it will appear in the spectral graph ed from a golden PLL) or a pattern marker. Rather than as a large-magnitude peak. An inverse Fourier transform extrapolating acquired signal samples to get the timing lets us compute the PJ magnitude after isolating it from all information, a TIA uses many single-shot edge-to-edge other jitter components in the spectral graph. Because time measurements. Engineers can perform spectral DCD and ISI are pattern dependent, they must appear in analysis on the TIA-acquired data set. Using a TIA is fast the spectral graph at multiples of 0.5/N, where N is the data because it collects only edge-timing data that carries jit- pattern length.13 The application note in the previous cita- ter information. tion describes a method that amounts to first performing A BERT measures a signal’s BER at a certain point in an inverse transform of the combined components, then the transmission link, and it should be clocked by a constructing one histogram for each of the rising and golden CDR circuit driven by the signal under test. A falling edges. The difference between the two histograms’ BERT varies the sampling instant with respect to the mean values is the DCD, while the difference between the clock edges over the entire bit time and measures the histogram’s peak-to-peak values corresponds to the ISI. BER. The resulting plot of BER versus time (a bathtub These measurement methods let us specify jitter PDFs plot) provides a direct measurement of TJ. A longer according to the models we presented earlier. TJ for the measurement time yields a lower BER. However, the serial communication system under measurement is then apparent constraint on test time limits the BERs achiev- a convolution of all the jitter PDFs. able in practice. Some curve extrapolation techniques Jitter measurement instruments have characteristics use statistical jitter models to extend the measured BER that make some instruments better for certain types of to lower values without incurring unfeasible test times. applications. A fast real-time sampling oscilloscope There are methods that use jitter models to separate the acquires as many samples of a signal as possible in one RJ and DJ components from the bathtub curve.6 pass and interpolates to reconstruct the signal waveform for display. In such cases, we can recover the clock using a golden clock data recovery (CDR) circuit, work- THE RAPIDLY GROWING POPULARITY of Gbps-speed ing on the signal bitstream. Comparing the recovered serial I/O interconnects such as PCI-Express in elec- clock with the acquired data determines each edge’s tronic devices and systems makes jitter analysis and jit- timing error. Spectral analysis then uses the resulting set ter modeling increasingly important in reducing test of error values.2 time and cost. The University of British Columbia’s SoC A real-time oscilloscope can also construct a wave- Lab is using the jitter models presented here in ongoing form eye diagram and fit waveform eye masks. Another research on jitter—in particular for building jitter type of oscilloscope, the equivalent-time sampling oscil- decomposition algorithms. Further research will help loscope, acquires signal samples in many passes and us understand the behavior of BUJ and develop mod- reconstructs the signal waveform by overlaying differ- els that can represent its behavior and impact on sys- ent samples captured over the multiple passes.2 This tem performance.

312 IEEE Design & Test of Computers

Authorized licensed use limited to: University of Illinois. Downloaded on January 16, 2009 at 10:49 from IEEE Xplore. Restrictions apply. Acknowledgments We thank the reviewers for their valuable comments Nelson Ou is an ASIC design engi- and suggestions and extend our very special thanks to neer with VIA Optical Solutions in Tai- Reviewer 2 and Reviewer 4 for the extremely detailed wan and a former member of the SoC and constructive review. We also acknowledge research group at the University of University of British Columbia SoC Lab members British Columbia. His research inter- A.K.M. Kamruzzaman Mollah and Roberto Rosales for ests include jitter measurement, SoC design method- their valuable discussions and suggestions. ologies, and DFT. He has a BS in applied science and an ME in electrical and computer engineering from the References University of British Columbia. 1. M. Li and J. Wilstrup, “Paradigm Shift for Jitter and Noise in Design and Test > 1Gb/s Communication Systems,” Touraj Farahmand is a research Proc. Int’l Conf. Computer Design (ICCD 03), IEEE CS engineer at the University of British Press, 2003, pp. 467-472. Columbia’s SoC Lab. His research 2. Secretariat Int’l Committee for Information Technology interests include high-speed signal Standardization (INCITS), T11.2/Project 1316 DT/Rev timing measurement, signal process- 10.0, “Fiber Channel—Methodology for Jitter and Signal ing, jitter measurement, and serial communication and Quality Specification-MJSQ,” Mar. 2003. control. Farahmand has a BS in electrical engineering 3. J. Patrin and M. Li, “Comparison and Correlation of Sig- from Esfahan University of Technology, Esfahan, Iran, nal Integrity Measurement Techniques,” DesignCon and an MS in control engineering from Sharif Univer- 2002; http://www.wavecrest.com/technical/pdf/ sity of Technology, Tehran, Iran. Designcon2002.PDF. 4. “Jitter Analysis Techniques for High Data Rates,” Agilent Andy Kuo is a master of applied sci- Technology, application note 1432, Feb. 2003. ence student at the University of 5. Y. Cai, B. Laquai, and K. Luehman, “Jitter Testing for British Columbia’s SoC Lab. His Gigabit Serial Communication Transceivers,” IEEE research interests include high-speed Design & Test of Computers, vol. 9, no. 1, Jan. 2002, pp. signal integrity issues, jitter measure- 66-74. ment, serial communications, and design for testabil- 6. Y. Cai et al., “Jitter Testing for Multi-Gigabit Backplane ity. Kuo has a BA in computer engineering from the SerDes,” Proc. Int’l Test Conf. (ITC 02), IEEE CS Press, University of Toronto. 2002, pp. 700-710. 7. “Understanding Jitter,” Wavecrest Corp., application Sassan Tabatabaei is the chief note, 2001; http://www.wavecrest.com/technical/ scientist at Guide Technology and VISI_6_Getting_Started_Guides/6understanding.PDF. cofounder of Vector12 Corp., where he 8. “Jitter in Digital Communication Systems, Part 1,” was chief technical officer. His profes- Maxim Integrated Products, application note HFAN- sional and research interests involve 04.0.3, Rev0, Sept. 2001. mixed-signal design and test, including signal integri- 9. J. Sun, M. Lee, and J. Wilstrup, “A Demonstration of ty and jitter measurement and test methodologies for Deterministic Jitter (DJ) Deconvolution,” Proc. 19th IEEE serial interfaces. Tabatabaei has a PhD in electrical Instrumentation and Measurement Technology Conf. engineering from the University of British Columbia. (IMTC 02), IEEE Press, 2002, pp. 293-298. 10. H.W. Johnson and M. Graham, High-Speed Signal Prop- The biography of André Ivanov appears on p. 276 agation: Advanced Black Magic, Prentice Hall, 2003. of this issue. 11. H.W. Johnson and M. Graham, High-Speed Digital Design: A Handbook of Black Magic, Prentice Hall, 1993. 12. A. Papoulis and S.U. Pillai, Probability, Random Direct questions and comments about this article Variables and Stochastic Processes, McGraw-Hill, 2002. to Andy Kuo, SoC Research Group, University of 13. “Understanding and Characterizing Timing Jitter,” Tek- British Columbia, 2356 Main Mall, Vancouver, BC, V6T tronix application note 55W-16146-0, Sept. 2002. 1Z4, Canada; [email protected].

July–August 2004 313

Authorized licensed use limited to: University of Illinois. Downloaded on January 16, 2009 at 10:49 from IEEE Xplore. Restrictions apply.