<<

Freescale Embedded Solutions Based on ARM Technology

Kinetis MCUs i.MX applications processors QorIQ communications processors Vybrid controller solutions

freescale.com/ARM Without intelligence, it doesn’t matter how well connected you are.

Bringing intelligence to the Internet of Things

Cars communicating with highways to avoid accidents and traffic congestion. Home hubs talking to the smart grid to reduce energy consumption. Healthcare monitoring from across town. The billions of intelligent connections that make our world smarter, greener and safer. This is Freescale Connected Intelligence. Make it work for you. Visit www.freescale.com/intelligence

Freescale and the Freescale logo are trademarks of , Inc., Reg. U.S. Pat. & Tm. Off. All other product or service names are the property of their respective owners. © 2013 Freescale Semiconductor, Inc.

Freescale and the Freescale logo are trademarks of Freescale Semiconductor, Inc., Reg. U.S. Pat. & Tm. Off. All other product or service names are the property of their respective owners. © 2013 Freescale Semiconductor, Inc Contents

ARM Solutions Portfolio 2 QorIQ Communications Processors 20 Kinetis MCUs 4 QorIQ LS1 family 21 Kinetis K series MCUs 5 Vybrid Conroller Solutions 23 Kinetis L series MCUs 7 Vybrid VF3xx family 26 Kinetis E series MCUs 9 Vybrid VF5xx family 28 Kinetis M series MCUs 10 Vybrid VF6xx family 30 Kinetis W series MCUs 11

i.MX Applications Design Resources 32 Processors 12 Freescale enablement solutions 33 i.MX 6 series of applications processors 14 Partner enablement solutions 44 i.MX53 applications processors 16 i.MX50 application processors 18 i.MX28 applications processors 19 Freescale Embedded Solutions Based on ARM Technology

Scalable. Innovative. Leading. Your Number One Choice for ARM Solutions

Freescale is the leader in 32-bit embedded control, offering the market’s broadest and best-enabled portfolio of solutions based on ARM® technology. Our end-to-end portfolio of high-performance, power-efficient MCUs and digital networking processors help realize the potential of the Internet of Things, reflecting our unique ability to deliver scalable, systems- focused processing and connectivity.

Our large ARM-powered portfolio includes scalable by industry-leading enablement ( and tool) MCU and MPU families from small ultra-low- bundles from Freescale and the extensive ARM power Kinetis MCUs to i.MX and Vybrid multimedia ecosystem. Combined, our Kinetis, i.MX, QorIQ and processors with advanced performance and feature Vybrid solutions offer the highest level of integration, integration and QorIQ communications processors the most comprehensive software and hardware that deliver industry-leading power and performance. enablement, and the broadest range of performance Each family has been designed to offer a broad available within the ARM community. Whether you range of performance, peripheral and packaging are a consumer, industrial, automotive or networking options, providing migration paths for end-product product designer, our ARM-based product families platform development. All families are supported offer a solution that meets your requirements.

Kinetis MCUs i.MX Applications QorIQ Vybrid Controller Processors Communications Solutions Hardware- and software- Processors compatible ARM Ultra-versatile solutions Real-time, highly Cortex®-M0+ and ARM for multimedia and Next-generation QorIQ integrated solutions Cortex®-M4 MCU families display applications processors are based on with dual-display with exceptional low- with multicore scalability Layerscape architecture— capability to enable power performance, and market-leading the industry’s first your system to control, feature integration and power, performance and software-aware, core- interface, connect, Freescale enablement integration agnostic architecture that secure and scale support delivers unprecedented efficiency and scale for the smarter, more capable networks of tomorrow— end to end

2 Combined, our Kinetis, i.MX, QorIQ and Vybrid solutions offer the highest level of integration, the most comprehensive software and hardware enablement and the broadest range of performance available within the ARM community.

freescale.com/ARM 3 Kinetis MCUs

Scalable, ultra-low-power, mixed-signal MCUs

Our Kinetis portfolio of ARM® Cortex® MCUs consists of multiple hardware- and software- compatible ARM Cortex®-M0+ and Cortex®-M4 MCU families, including exceptional low-power performance, scalability and feature integration. All Kinetis MCUs are supported by a comprehensive Freescale and third-party hardware and software enablement system that reduces development costs and time to market. Kinetis MCUs Series Overview Kinetis Series Overview

Kinetis High Voltage/Robust With 2.7 V–5.5 V supply and a focus ME on exceptional EMC/ESD robustness, High Performance and Kinetis Series this series is well suited to a wide Rich Integration range of applications in electrically harsh environments and optimized for This extensive portfolio covers K cost-sensitive applications with low a broad range of applications. Series pin-count options. Seven MCU families within the Kinetis K series are based on

the ARM Cortex-M4 processor Kinetis and surrounded by a Metrology/High Accuracy performance-oriented range The integration of highly accurate of connectivity, mixed-signal, M 24-bit sigma delta converters and display and security options. Series low-noise amplifiers make the Kinetis M series particularly well suited for precision measurement in electricity meters, plus test and measurement.

Energy Efficiency Kinetis Kinetis Ultra-low power is achieved Wireless Communications by these ARM Cortex-M0+ The Kinetis W series of MCUs L is optimized for low-power MCUs in low pin-count Series W packages. Series wireless communication and integrates class-leading sub-1 GHz and 2.4 GHz RF transceivers, providing the right mix of performance, integration, connectivity and security.

4 Kinetis MCUs

Kinetis K Series MCUs Built on the ARM Cortex-M4 Core High performance and rich integration

Kinetis K Series MCUs Kinetis K Series: Industrial Applications

The 32-bit Kinetis K series MCU family is • Up to 1 MB of ® based on the highest performance ARM • Single cycle to flash Cortex®-M-class core: the ARM Cortex®-M4. • Optional EEPROM Memory The K series includes 300 variants, spanning • MPU and flash swap controller to enable robust updates from 32 KB of flash up to 1 MB, along with • CRC module a broad range of peripheral combinations for • DRAM and NAND flash I/F Kinetis MCUs measurement and control, connectivity and • M4 core with FPU, MAC and SIMD security. Design teams looking for an MCU to • 1.71–3.6 V voltage supply with full access to analog and memory across full range Power provide the right ratio of price, performance • Powerful DMA and X-bar switch enabling parallel processing Efficiency and features are sure to find what they’re • 200 µA/MHZ in run modes, fast wake-up times, 690 to 2µA in lower power looking for within this family. Stop and 10 modes of operation to balance performance and power

• Up to 4x configurable 16-bit ADCs supporting on-chip PGAs Kinetis K Series: Rich Mixed • High-speed analog comparator with integrated 6-bit DAC Less on the Outside Signal • 12-bit DAC Freescale has developed the K series to • FlexTimers for ICAP, OCOMP and PWM

be a highly integrated solution that enables • 10/100 BaseT Ethernet MAC with stacks supporting IPv6 developers to harness on-chip features • USB 2.0 OTG module in order to reduce the need for external • Up to 2x FlexCAN, 2.0B compliant components. For example, the analog • Up to 6 UART, support available for smart card plus Manchester encoding Connectivity integration in which 16-bit ADCs enable • Up to 3 SPI with chip selects 2 greater resolution minimizes the need for • I S or serial audio I/F 2 expensive external analog circuitry. In • I • SDIO I/F addition, there are integrated PGAs onboard. The analog comparators integrate built-in • Hardware encryption accelerator 6-bit DACs. The Kinetis K50 specifically has • Random number generator Security op-amps and tri-amps. The capacitive touch- • Anti-tamper circuitry to monitor and help prevent application tampering and sensing interface allows for direct connection protect your IP

with the external capacitive touchpads. A • Graphics controller supporting SVGA resolution UART can support connections to a smart HMI • Parallel bus for camera I/F card or can support Manchester encoding. • Capacitive touch-sensing module Additionally, there is a built-in regulator on the USB.

To learn more, visit freescale.com/Kinetis/Kseries.

freescale.com/ARM 5 Kinetis MCUs

Kinetis L/K Series Compatibility Kinetis K Series K20 Seven hardware and software compatible +USB +LCD ARM Cortex-M4 MCU families with exceptional low-power performance, memory scalability +USB KL2 +LCD including on-chip FlexMemory/EEPROM and peripheral integration. Devices range from 50 to 150 MHz, 32 KB to 1 MB and include analog, human-machine interface, connectivity, K10 KL1 KL0 KL4 K40 safety and security features.

S08Px Family

+LCD KL3 +USB

+LCD +USB K30

Kinetis K Series Kinetis L Series S08Px Family 8-bit ARM® Cortex™-M4 ARM CorteFreescalex™-M0+ Embedded Solutions Based on ARM Technology

KinetisKinetis KK Series Families

Common Features Optional Features CPU Internal MemoryCommunication HMISecurityMemory/Expansion Analog System ARM Cortex-M4 Core + DSP K7x Family: Graphics LCD Multiple Low-Power Operation Modes, USB OTG Ethernet Clock Gating, 1.71–3.6 V, 120 to Floating- 512 KB to 128 KB Graphics Hardware Tamper NAND Flash DRAM (FS and CAN (IEEE® — 5 V T olerant I/O [1] 150 MHz Point Unit 1 MB Flash SRAM LCD Encryption Detection Controller Controller HS) [6] 1588) DMA, Memory Protection Unit [2], Crossbar Switch Operating Temp: –40 °C to +105 °C [3] K6x Family: Ethernet, Security 64 KB to USB OTG Ethernet 100 to Floating- 256 KB to Hardware Tamper NAND Flash DRAM 128 KB (FS and CAN (IEEE — — Memory 150 MHz Point Unit 1 MB Flash Encryption Detection Controller Controller SRAM HS) 1588) 90 nm TFS Flash Memory (High Reliability, Fast Access) FlexMemory (EEPROM) [4] K5x Family: Analog Measurement Engine SRAM 128 KB 32 KB to Ethernet 72 to USB OTG Segment Hardware Measurement — to 512 KB 128 KB — (IEEE ——— Internal Memory Security/Protection 100 MHz (FS) LCD Encryption Engine Flash SRAM 1588) Analog Peripherals 16-bit ADC K4x Family: USB, Segment LCD [1] Programmable Gain Amplifiers 64 KB to 16 KB to [5] 72 to USB OTG Segment 12-bit DAC — 512 KB 128 KB CAN— — ———— 100 MHz (FS) LCD High-Speed Comparators Flash SRAM Low-Power Touch-Sensing Interface [7] K3x Family: Segment LCD Serial Interfaces 64 KB to 16 KB to UART, SPI, I2C 72 to Segment — 512 KB 128 KB —CAN — —— ——— SAI (I2S) 100 MHz LCD Flash SRAM Timers RTC K2x Family: USB Motor Control Timers 32 KB to 8 KB to USB OTG 50 to Floating- Hardware Tamper NAND Flash 1 MB 128 KB (FS and CAN— — —— Low-Power Timers 120 MHz Point Unit Encryption Detection Controller Programmable Delay Block Flash SRAM HS) System Timers K1x Family: General Purpose Other Peripherals 32 KB to 8 KB to 50 to Floating- Hardware Tamper NAND Flash CRC 1 MB 128 KB —CAN —— —— 120 MHz Point Unit Encryption Detection Controller eSDHC [4] Flash SRAM External Bus Interface [4] [1] Feature not available on 50 MHz MCUs [4] Feature not available on all K10, K20, K30, K40, K50, K60 and K70 MCUs [2] Feature not available on 50 MHz and 72 MHz MCUs [5] Feature not available on K10 and K20 50 MHz MCUs [3] Feature not available on K50 MCUs and CSP packages [6] HS USB on 120/150 MHz MCUs only (K50 and CSP packages are –40 °C to +85 °C) [7] Feature not available on K11/12/21/22 MCUs freescale.com/ARM 7

Freescale Development Tools for the Kinetis K Series

Development Tool Kinetis Family Support MSRP Extended Hardware Support Options Part Number

Arduino™ footprint-compatible with support for a rich set FRDM-K20D50M 50 MHz MK10 and MK20 $18 of third-party expansion boards (“shields”)

TWR-K20D50M 50 MHz MK10 and MK20 $99

TWR-K21D50M 50 MHz MK11, MK12, MK21 and MK22 $99

TWR-K20D72M 72 MHz MK10 and MK20 $119 Tower System peripheral modules can be added for enhanced TWR-K21F120M 120 MHz MK21 and MK22 $99 functionality, including 802.11.x, serial connectivity, TWR-K40D100M 100 MHz MK30 and MK40 $99 analog, sensors, graphics LCD, audio, MFi interface (iPad®, iPhone®, iPod®), general I/O, 2.4 GHz and TWR-K53N512 All MK50, MK51, MK52 & MK53 variants $109 sub-1 GHz RF, motor control, memory, etc. TWR-K60D100M 100 MHz MK10, MK20 and MK60 $99

TWR-K60F120M 120/150 MHz MK10, MK20 and MK60 $99

TWR-K70F120M 120/150 MHz MK61 and MK70 $109

Bundled kits with peripheral modules are available. For more information, visit freescale.com/Tower and freescale.com/Freedom.

6 Kinetis MCUs Kinetis L Series MCUs Built on the ARM Cortex-M0+ Core Energy efficiency

Kinetis L Series MCUs EvolutionEvolution of the of Entry-Level the Entry-Level MCU MCU Kinetis L series MCUs are the world’s most energy efficient, bringing new design 32-bit Kinetis L Series 8-/16-bit possibilities to entry-level applications that The Evolution of the Entry-Level MCU have previously been limited by 8-/16-bit • Energy Efficiency • Ultra-Low Static Current MCU capabilities. Class-Leading CoreMark/mW <1 µA Kinetis L series MCUs combine the enhanced • Scalability and • Low Cost Integration energy efficiency, performance and debug From <$0.50 Kinetis L to K Series features of the new 32-bit ARM® Cortex®-M0+ (ARM Cortex-M0+ to • Ease of Use ARM Cortex-M4) core with the scalability, feature integration Freescale Freedom and enablement of the Kinetis MCU portfolio. • Enablement Development Platform, Processor Expert Available with multiple flash memory options Freescale Bundle + ARM Ecosystem and MCU Solution and a rich selection of analog, connectivity Advisor and HMI peripherals, Kinetis L series MCUs are also hardware and software compatible Kinetis L and K Series Compatibility with Kinetis K series MCUs based on the Kinetis L/K Series MCUs: Compatibility ARM Cortex®-M4 core. Both are supported by an industry-leading Freescale enablement K20 package that includes the CodeWarrior IDE +USB +LCD with Processor Expert tool, Freescale Freedom development platform and MQX™ Lite RTOS, +USB KL2 +LCD as well as the ARM third-party ecosystem.

Energy Efficiency K10 KL1 KL0 KL4 K40 Kinetis L series MCUs supplement the low- power ARM Cortex-M0+ core with the latest in low-power 90 nm process technology, platform design, operating modes and energy-saving +LCD KL3 +USB peripherals. Combined, they increase the time +LCD +USB spent in deep sleep modes, minimizing CPU K30 activity and extending battery life.

Kinetis K Series MCUs Kinetis L Series MCUs ARM Cortex-M0+ Core ARM Cortex-M4 Core ARM Cortex-M0+ Core The World’s Most Energy- Efficient Processor • Kinetis L series MCUs based on the • Two-stage pipeline: Reduced cycles per • Linear 4 GB address space: No complex ARM Cortex-M0+ core deliver up to 2.42 instruction enables faster branch instruction paging, simpler software ® CoreMark /MHz*: 2x-40x more than 8- and ISR entry • Micro trace buffer: Lightweight trace and 16-bit competitors and approximately • Excellent code density vs. 8-bit and 16-bit solution for fast bug identification 10 percent more than the ARM Cortex-M0 MCUs: Reduced flash size, system cost To learn more visit • Single-cycle access to I/O: Up to and power consumption freescale.com/Kinetis/Lseries. 50 percent faster than standard I/O for • 56 instructions: Easy programming and improved reaction time to external events efficient packaging of 8-/16-/32-bit data in memory. One hundred percent instruction *NOTE: CoreMark: 1.0 : IAR 6.60, --endian=little --cpu=Cortex-M0+ -e --fpu=None set compatible with the ARM Cortex-M0 -Ohs --use_c++_inline --no_size_constraints, Code in processor internal Flash - Data in internal RAM freescale.com/ARM 7 Freescale Embedded Solutions Based on ARM Technology

Kinetis L Series

Common Features Optional Features Key Features System Family Flash SRAM Pin Count USB Seg DMA LLWU ADC DAC I2S TSI ARM Cortex-M0+ Core, 48 MHz OTG LCD Multiple Low-Power Modes and Peripherals, Low-Power Boot, KL46 128–256 KB 16–32 KB 64–121 √ √ √ √ 16-bit 12-bit √ √ Clock Gating 1.71–3.6 V, –40° C to +105° C [1] KL36 64–256 KB 8–32 KB 64–121 √ √ √ 16-bit 12-bit √ √

Memory KL34 64 KB 8 KB 64–00 √ √ √ 12-bit 90 nm TFS Flash, SRAM 32-256 KB 4–32 KB 32–121 16-bit 12-bit Internal Memory Security/Protection KL26 √ √ √ √ √ KL25 32–128 KB 4–16 KB 32–80 √ √ √ 16-bit 12-bit √ Analog Peripherals 12-/16-bit ADC, 12-bit DAC KL24 32–64 KB 4–8 KB 32–80 √ √ √ 12-bit High-Speed Comparator KL16 32–256 KB 4-32 KB 32-64 √ √ 16-bit 12-bit √ √ Serial Interfaces KL15 32–128 KB 4–16 KB 32–80 √ √ 16-bit 12-bit √ UART (including 1 LPUART) SPI, I2C KL14 32–64 KB 4–8 KB 32–80 √ √ 12-bit

Timers KL05 8–32 KB 1–4 KB 24–48 √ √ 12-bit 12-bit √ Real-Time Clock [2] KL04 8–32 KB 1–4 KB 24–48 √ √ 12-bit 16-bit Low-Power TPMs (GP Timer/PWM) KL02 8–32 KB 1–4 KB 16–32 12-bit Low-Power Timers [1] Feature not available on CSP packages 32-bit Periodic Interrupt Timer [2] For KL02, use software to support

Kinetis L Series: Energy Efficiency

• ARM Cortex-M0+ processor • 90 nm low-power flash technology Ultra-Efficient Processing • Bit manipulation engine • Peripheral bridge crossbar and zero wait state flash memory controller

• 90 nm low-leakage flash technology • Multiple run, wait and stop modes, fast wake-up from deep sleep modes Ultra-Low-Power Modes • Clock and power gating, low-power boot option • 2 μA typical sleep IDD power on reset (POR) active and 4.3 μS wake-up

• Smart peripherals function in deep sleep modes and can make intelligent decisions and process data Energy-Saving Peripherals without waking up the core: ADMA, UART, timers, ADC, segment LCD and touch-sensing

Freescale Development Tools for the Kinetis L Series

Development Tool Kinetis Family Support MSRP Extended Hardware Support Options Part Number

FRDM-KL02Z 48 MHz KL02Z $12.95 FRDM-KL05Z 48 MHz KL04Z/KL05Z $12.95 Arduino™ footprint-compatible with support for a rich set FRDM-KL25Z 48 MHz KL14Z/KL15Z/KL24Z/KL25Z $12.95 of third-party expansion boards (“shields”) FRDM-KL26Z 48 MHz KL16Z/KL26Z $15 FRDM-KL46Z 48 MHz KL16Z/KL26Z/KL34Z/KL36Z/KL46Z $15

Tower System peripheral modules can be added for enhanced TWR-KL25Z48M 48 MHz KL14Z/KL15Z/KL24Z/KL25Z $99 functionality, including 802.11.x, serial connectivity, analog, sensors, graphics LCD, audio, Tower dock (iPad®, iPhone®, ® TWR-KL46Z48M 48 MHz KL16Z/KL26Z/KL34Z/KL36Z/KL46Z $149 iPod ), general I/O, 2.4 GHz and sub-1 GHz RF, modules, motor control, memory modules, etc.

Bundled kits with peripheral modules are available. For more information, visit freescale.com/Tower and freescale.com/Freedom.

8 Kinetis MCUs Kinetis E Series MCUs Built on the ARM Cortex-M0+ Core High voltage and robust

Kinetis KE02 Block Diagram The Kinetis E series is the most scalable Kinetis KE02 Family Block Diagram portfolio of ARM® Cortex®-M0+ MCUs in the industry. Designed to maintain high robustness Timers System Core Complex Analog for complex electrical noise environments One 6-Channel Power Management ARM® Cortex®-M0+ 1x 12-bit ADC and high-reliability applications, the Kinetis E FlexTimer/PWM Module Up to 40 MHz series families offer a broad range of memory, 2 x Analog Two 2-Channel Watchdog FlexTimer/PWM Single-Cycle Comparator peripheral and package options. They 32-bit x 32-bit Multiplier share common peripherals and pin counts, One 2-Channel Low-Voltage Clocks Periodic Detection allowing developers to migrate easily within Interrupt Timer Single-Cycle I/O Access Port Internal Oscillator Cyclic Redundancy an MCU family or between MCU families to RTC Check take advantage of more memory or feature Internal Reference Clocks integration. This scalability allows developers to Serial Wire Debug Frequency Locked standardize on the Kinetis E series for their end- Loop Bit Manipulation product platforms, maximizing hardware and Engine software reuse and reducing time to market. Memory Interfaces HMI Security Communications Features Up to 57 GPIO Up to 64 KB Flash 64-bit Unique 3x UART • 32-bit ARM Cortex-M0+ core Identification (ID) 2x KBI Number • Scalable memory footprints from 8 KB 256 B EEPROM 2x SPI Up to 8 High flash/1 KB SRAM to 128 KB flash/16 KB Current Pins (20mA) 1x I2C SRAM Up to 4 KB RAM • Precision mixed-signal capability with on-chip analog comparator and 12-bit ADC • Powerful timers for a broad range of Freescale Development Tools for the Kinetis E Series applications, including motor control Development Tool Kinetis Family MSRP Extended Hardware Support Options • Serial communication interfaces such as Part Number Support UART, SPI, I²C, etc. FRDM-KE02Z 20 MHz KE02Z $12.95 Arduino™ footprint-compatible with • High security and safety with internal support for a rich set of third-party watchdog and programmable CRC module FRDM-KE02Z40M 40 MHz KE02Z $12.95 expansion boards (“shields”) • Single power supply (2.7–5.5 V) with For more information, visit freescale.com/Freedom. full functional flash program/erase/read operations • Ambient operation temperature range: efficient MCUs that provide the appropriate –40 °C to +105 °C Overview entry-level solution. It is the next-generation The Kinetis KE02 sub-family is the entry-point • Robust 5 V MCU with 8-bit S08 MCU solution, offering enhanced ESD/EMC into the Kinetis E series and is pin compatible compatibility performance for cost-sensitive, high-reliability across the E series and with the 8-bit S08P device applications used in high electrical family. This sub-family includes a powerful noise environments. array of analog, communication, timing and control peripherals with varying flash memory To learn more, visit size and pin count and offers a series of freescale.com/Kinetis/Eseries. highly robust, cost-effective and energy-

freescale.com/ARM 9 Freescale Embedded Solutions Based on ARM Technology Kinetis M Series Built on the ARM Cortex-M0+ Core Ultra-low-power, smart-metering MCUs with precision analog, security and HMI peripherals

Kinetis M series MCUs are based on the 32-bit Kinetis M Series Family ARM® Cortex®-M0+ core and provide a low- Kinetis M Series Metering MCUs Block Diagram

cost, highly integrated solution for one-, two- Core System Memory Measurement Front End and three -phase electricity meters that require Watchdog 2–4x 24-bit ARM® Cortex®-M0+ 64–128 KB Flash 2x HSCMP Sigma-Delta powerful 32-bit processing capability, precision 50 MHz ADC 4x DMA analog, security and HMI functionality. 12-ch., 16-bit Debug 16 KB RAM 1–2x PGA Interfaces Low-Leakage SAR ADC Wake-Up Unit Each MCU includes a powerful analog front Interrupt XBAR 1.2 V VRef Phase Shifter end that is configurable for different regions and Controller enables power calculations with 0.1 percent

accuracy. A high-accuracy real-time clock Security Display Timers Communication Interfaces Clocks delivers less than 5 ppm drift overtemperature. 16/32 Cyclic Up to 288 LCD 4x Quad Timer 2x SPI 2x I2C Phase-Locked Redundancy Loop Metrology firmware for calculating active, Check Segment Driver 1x Low-Power reactive and apparent power using a variety Timer 4x UART with Flow Control Frequency- MPU/AIPS Up to 2x ISO7816 Locked Loop 8 Backplane 2x Periodic 1x with HSCMP for IR of algorithms is provided free of charge by Interrupt Timers Low/High- Random Freescale. Precertified reference designs for IRTC on vBatt Frequency Number Up to 64 GPIO Oscillators with T° Comp Europe, , India, the U.S. and are Generator 3x Tamper Internal available for customer evaluation. The Kinetis M Detection Reference from Battery Clocks series is supported by the Tower System hardware development platform. Optional

Features Kinetis M Series Selector Guide • High-performance ultra-low-power ARM No. Memory Cortex-M0+ core Part Number Purpose Package LCD SD Flash RAM • 24-bit sigma-delta ADC and PGA MKM14Z64CHH5 Single Phase LGA 44 4 no 64 KB 16 KB achieving 94 dB SNR MKM14Z128CHH5 Two Phase LGA 44 4 no 128 KB 16 KB • High-accuracy RTC with +5 PPM MKM33Z64CLH5 Single Phase LQFP 64 3 8 x 17 64 KB 16 KB overtemperature MKM33Z128CLH5 Single Phase LQFP 64 3 8 x 17 128 KB 16 KB • Rich set of security: MPU, active tamper, MKM33Z64CLL5 Single Phase LQFP 100 3 8 x 38 64 KB 16 KB RNG for Welmac-compliant meters MKM33Z128CLL5 Single Phase LQFP 100 3 8 x 38 128 KB 16 KB • Precertified metrology software MKM34Z128CLL5 Two Phase LQFP 100 4 8 x 38 128 KB 16 KB

To learn more, visit freescale.com/Kinetis/Mseries. Freescale Development Tools for the Kinetis M Series

Development Tool Kinetis Family MSRP Extended Hardware Support Options Part Number Support

Tower System peripheral modules can be added for enhanced functionality, including 50 MHz 802.11.x, serial connectivity, analog, sensors, KM13Z/KM14Z/ TWR-KM34Z50M TBD graphics LCD, audio, Tower dock (iPad®, KM32Z/KM33Z/ iPhone®, iPod®), general I/O, 2.4 GHz and KM34Z/KM38Z sub-1 GHz RF, modules, motor control, memory modules, etc.

Bundled Tower System kits with peripheral boards are available. For more information, visit freescale.com/Tower.

10 Kinetis MCUs Kinetis W Series MCUs Built on ARM Cortex Cores Wireless communications

The Kinetis W series integrates class-leading Kinetis KW0x Wireless Block Diagram sub-1 GHz and 2.4 GHz RF transceivers Kinetis KW01 Wireless MCU with ARM® Cortex® cores, providing robust Core System Memory Sub-1 GHz Radio Transceiver

® ® DMA 128 KB Flash feature sets for reliable, secure and low-power ARM Cortex -M0+ RF I/O 48 MHz embedded wireless solutions. The Kinetis Low-Leakage 16 KB RAM Debug Interrupt Wake-Up Unit 32 MHz W series is optimized for wireless, providing Interfaces Controller Oscillator RF Boost the right mix of performance, integration, Packet Engine connectivity and security. The enablement (AES) 66 Byte FIFO packages include Freescale’s Tower System development platforms, MQX™ RTOS support Analog Timers Interfaces Clocks

2 and CodeWarrior IDE, as well as support from 6-bit DAC 2x 10-ch. Timer I C Phase-Locked Loop IAR Systems and other partners. Whether you 2x UART Periodic Frequency- 16-bit ADC Interrupt Locked Loop need sub-1 GHz or 2.4 GHz wireless, simple Timers point-to-point or full mesh networking, the Kinetis GPIOs Analog Low-Power Frequency Comparator Timer Oscillators W series has the solution to fit your needs. Xtrinsic Touch-Sensing Internal Reference Clocks The Kinetis KW0x Family of Sub-1 GHz MCUs Kinetis KW2x Wireless Block Diagram Overview Kinetis KW20 Wireless MCU Core System Memories RF Transceiver The Kinetis KW01 smart radio is an ARM Internal and ® ® External Program Flash ® ® ARM Cortex -M4 (Up to 512 KB) IEEE 802.15.4 2006 Cortex -M0+-based solution designed 50 MHz Watchdogs 2.4 GHz for wireless connectivity applications. The DMA FlexMemory 32 MHz OSC 128 Byte Debug DSP 32 KB RAM Buffers KW01 embeds a rich set of peripherals Interfaces Low-Leakage 4 KB EE Wake-Up Unit Packet Differential such as a high-performance bi-directional Processor Input/Output Interrupt SRAM Controller Antenna sub-1 GHz radio, capable of operating over (Up to 64 KB) Dual PAN Diversity a wide frequency range of 315, 433, 470, Security Analog Timers Communication Interfaces Clocks 868, 915, 928 and 960 MHz in the license- and Integrity Cyclic 16-bit FlexTimer I2C USB On-The-Go Phase-Locked free industrial, scientific and medical (ISM) Redundancy ADC (FS) Loop Check (CRC) Programmable frequency bands. The KW01 smart radio USB Device Frequency- Delay Block UART Charger Detect Tamper Detect CMP with (ISO 7816) Locked Loop 6-bit DAC Periodic (DCD) supports OOK, FSK, GFSK and MSK signal Interrupt Timers USB Voltage Low/High- Cryptography SPI Regulator Frequency modulation to transmit and receive information Authentication Oscillators Unit Low-Power from 1.2 to 600 Kb/s for addressing the Timer Random Independent Internal Number Reference different types of communications required in Real-Time Clocks Generator Clock (RTC) the industrial market. An embedded front-end radio integrates high-performance, low-noise Freescale Technology Optional amplifiers and power amplifiers to reach a sensitivity of –120 dBm at 1.2 Kb/s and an system to simultaneously participate in two output power adjustable from –18 to +17 dBm. Kinetis KW2x Family of ZigBee® networks, eliminating the need for The KW01 smart radio has 128 KB of on-chip, 2.4 GHz RF MCUs multiple radios. Software protocol stacks, tools, non-volatile flash memory and 16 KB of RAM Overview and IDE are compatible with Kinetis MCUs. for running various types of communication ZigBee® protocol software is seamlessly protocols, from proprietary protocols (simple The Kinetis KW2x integrates a class-leading integrated into Kinetis software development media access controller (SMAC) to globally 2.4 GHz RF transceiver, ARM Cortex-M4 tools for rapid creation of embedded systems. standardized protocols (IEEE® 802.15.4). core and a robust feature set for a reliable, The Freescale platform approach includes secure and low-power IEEE 802.15.4 wireless To learn more, visit hardware, software, tools and reference solution. These wireless MCUs offer up to 512 freescale.com/Kinetis/Wseries. designs to help simplify development. KB of flash, 64 KB of RAM and up to 64 KB of FlexMemory. Dual PAN support allows the freescale.com/ARM 11 i.MX Applications Processors

experience. The i.MX applications processor Scalable multicore platform with portfolio enables this experience by integrating separate graphics accelerators to support single-, dual- and quad-core families both 2D and 3D graphics in hardware that can create and deliver stunning, realistic images to The most versatile platform for multimedia and display applications, LCD panels ranging from QVGA up to WUXGA Freescale ARM®-based i.MX processors deliver an optimal balance resolution with integrated touchscreen of power, performance and integration to enable next-generation capabilities. By using on-chip acceleration, customers can easily add rich graphics, smart devices. i.MX solutions include processors based on ARM9™, font rendering and enhanced web browsing ARM11™, ARM Cortex®-A8 and Cortex®-A9 core technologies and with the provided device drivers and partner are powering applications across a rapidly growing number of application software. consumer, automotive and industrial markets. Our solutions bring Energy Efficiency interactivity to a whole new world of products. i.MX applications processors deliver an optimal balance of performance and long battery life Multimedia Powerhouse connectivity ports and performance- for rich multimedia experiences on the go. enhancing capabilities such as the ARM Plugged or unplugged, applications designed i.MX application processors have been NEON™ SIMD accelerometer and vector today must consider the total cost of using implementing leading-edge multimedia floating point coprocessors and i.MX energy and the impact any excess power will capabilities for almost a decade by applications processors become the ideal have on the environment. A mix of integrated providing direct interfaces to high-end choice for customers seeking a balanced power management and companion PMIC LCDs and cameras and integrating multimedia solution that offers best-in- solutions from Freescale ensure that the hardware acceleration for a number of class performance for power. overall solution is optimized for energy advanced video codecs and graphics efficiency and simplified implementation: standards, enabling up to full HD 1080p • Multiple independent power domains video playback and an amazing user Advanced HMI interface experience. Combine that with Display-centric devices across all • Dynamic voltage and frequency scaling on-chip features such as the image market segments require an increasingly • Dynamic process and temperature processing unit (IPU), camera interfaces, advanced and intuitive user interface compensation in order to deliver the richest customer • Proprietary power gating

12 i.MX Applications Processors

i.MX Processor Markets Reliability, Safety and Security The i.MX portfolio includes a variety of security features such as high-assurance boot, run- time integrity check, secure JTAG, secure storage, secure real-time clock and physical Smart Mobile Devices Automotive tamper detection. High-assurance boot with Portable navigation Automotive infotainment authenticity checking is used to ensure the Portable gaming Connectivity and telematics Portable media players Video and navigation Tablets Information clusters correct software is on the correct device and E-Readers Audio is run every time the chip is reset. When it comes to security, a hardware encryption unit supports several encryption and hashing algorithms for program validation as well as Advanced Performance authentication and securing data for transfer Simplified Development and storage. The tamper detection system Smooth Scalability Low Power has integrated sensors for voltage, frequency, temperature and external sensing for physical Smart attack detection. For fail-safe applications, Devices Industrial Audio accessories an independently clocked watchdog offers Home portable medical Smart meters Home energy management Smart energy protection against code runaway. Digital signage Printer/scanners Smart monitors Point-of-sale (POS) Media phones Industrial HMI Media hubs Healthcare Appliances Support for External Memory IPTV i.MX application processors can interface to a variety of memories for program and data storage. Depending on the specific processor, external memory support for DRAMs includes 16-bit and/or 32-bit SDRAM, DDR1, DDR2, DDR3 and DDR3L, Smart Processing Solutions Connectivity and mDDR, LP-DDR2 and LV-DDR2 for flexibility for the Connected World Communication in cost vs. performance and power.

The i.MX applications processor portfolio The i.MX portfolio features a number of For external flash support, i.MX processors provides solutions across multiple market options to support the continuously connected can support a variety of NAND flash segments. With long product longevity and world. These include Ethernet with IEEE® memories such as SLC, MLC or managed automotive qualification, i.MX processors are 1588 hardware time stamping for real-time NANDs, as well as NOR memories. i.MX used for infotainment, gateways, connected control, PCIe for connecting to external processors also provide error-correction radio and telematics systems. FPGAs or Wi-Fi®-based modules, SD/ functionality to improve the reliability of SDIO/MMC ports for external portable data The i.MX portfolio also has broad traction in raw NAND. A parallel bus is supported for storage and connectivity to wireless modules the embedded market by enabling multimedia interfacing to external memory mapped supporting protocols such as ZigBee®, Wi-Fi and connectivity everywhere. Example peripherals such as FPGAs and ASICs. and Bluetooth®, USB modules with PHY for applications include medical systems for field upgradability, portable data storage and patient monitoring and diagnostics and Intelligent Integration multiple serial ports to provide support for imaging, smart energy solutions to support i.MX processors offer extensive peripheral various network interfaces such as RS-232 the global smart grid transition with smart integration, including display, cameras, and RS485. Additional connectivity features thermostats, appliances and home energy connectivity, analog and security along with include I2S serial interfaces for connectivity management systems, industrial factory standard system features such as timers, to audio peripherals, dual CAN modules to automation for HMI and industrial control, pulse-width modulators, DMA and debug enable industrial and automotive network scanners/printers, building control, education support. Through this intelligent integration of bridging and interfaces for external mass devices and in-flight infotainment. optimized peripherals, along with exceptional storage, such as SATA. product scalability, i.MX processors reduce system-level discrete components, power consumption, board size, development effort and system cost.

freescale.com/ARM 13 Freescale Embedded Solutions Based on ARM Technology

i.MX 6 Series of Application Processors Scalable multicore platform with single-, dual- and quad-core families

The i.MX 6 series unleashes a scalable i.MXi.MX 6 Series 6 atSeries a Glance at a Glance multicore platform that includes single-, dual- Red indicates change from column to the left ® i.MX6Quad and quad-core families based on the ARM i.MX6Dual Cortex®-A9 architecture for next-generation i.MX6DualLite • Quad ARM i.MX6Solo • Dual ARM Cortex-A9 consumer, industrial and automotive i.MX6SoloLite • Dual ARM Cortex-A9 up to 1.2 GHz Cortex-A9 up to 1.2 GHz applications. By combining the power- • Single ARM • 1 MB L2 cache, • Single ARM up to 1.0 GHz Cortex-A9 • 1 MB L2 cache, ARM NEON, Cortex-A9 • 512 KB L2 cache, efficient processing capabilities of the ARM up to 1.0 GHz ARM NEON, VFPvd16 up to 1 GHz ARM NEON, • 512 KB L2 cache, VFPvd16 ARM TrustZone Cortex-A9 architecture with bleeding-edge VFPvd16 • 256 KB L2 cache, ARM NEON, ARM TrustZone ARM TrustZone • 3D graphics ARM NEONTM, VFPvd16 3D and 2D graphics, as well as high-definition • 3D graphics with four shaders VFPvd16 ARM TrustZone • 3D graphics with four shaders video, the i.MX 6 series provides a new level ® with one shader ARM TrustZone • 3D graphics • Two 2D graphics • Two 2D graphics • 2D graphics engines of multimedia performance to enable an • 2D graphics with one shader engines • 2D graphics • 64-bit DDR3 • 64-bit DDR3 • 64-bit DDR3 unbounded next-generation user experience. • 32-bit DDR3 and 2-channel • 32-bit DDR3 and 2-channel and 2-channel and LPDDR2 32-bit LPDDR2 and LPDDR2 32-bit LPDDR2 32-bit LPDDR2 at 400 MHz at 400 MHz at 400 MHz at 533 MHz at 533 MHz • Integrated Complementing the industry-leading scalability • Integrated • Integrated • Integrated SATA-II EPD controller • Integrated EPD controller SATA-II of the i.MX 6 single-, dual- and quad-core EPD controller • HDMIv1.4 • HDMIv1.4 • HDMIv1.4 • HDMIv1.4 controller plus controller plus offering is the PF series of power management controller plus controller plus PHY PHY ICs. Combined with the simplified power PHY PHY • LVDS controller • LVDS controller • LVDS controller • LVDS controller plus PHY plus PHY requirements of the i.MX 6 platforms, the PF plus PHY plus PHY • PCIe controller • PCIe controller • PCIe controller • PCIe controller series is capable of supplying all the voltage plus PHY plus PHY plus PHY plus PHY rails required by numerous applications, • MLB and • MLB and • MLB and • MLB and FlexCAN FlexCAN FlexCAN FlexCAN significantly reducing the bill of materials controllers controllers controllers controllers and simplifying the system design.

Target Applications • Media tablets • Education tablets Consumer Industrial Automotive • E-Readers • Automotive infotainment present data and user interface choices to Scalable Multicore Solutions • Aero infotainment the end user primarily through rich sound, The i.MX 6 series reaches a new level of • HMI video, voice, pictures and touch—rather power versus performance by providing a than keyboards and mice. And the need for • Portable healthcare scalable family of single-, dual- and quad- manufacturers to quickly provide multiple • IPTV core processor families based on the ARM devices to fit specific market segments or • IP phones Cortex-A9 architecture. Single- and dual-core niches and provide their customers with a designs provide cost-effective performance • Smart energy systems broader range of choices is increasing just scalability while the flagship i.MX 6Quad • Intelligent industrial control systems as quickly. processor provides more performance • Digital signage The i.MX 6 series was designed specifically at lower power for the most demanding The market for intelligent, multimedia- to enable this new market by bringing applications with constrained power centric, touch-based devices is increasing together high-performance, scalable budgets. The pin*- and software-compatible exponentially. Tomorrow’s battery-powered multimedia processing, a software- i.MX 6 series allows designers to create smart devices, auto infotainment and aero compatible family of five processors and a broad portfolio of products based on a infotainment systems, medical systems, pin-compatible processor solutions with common platform while providing compelling personal and enterprise-class intelligent integrated power management so that a performance advantages for systems with control and data systems and new classes manufacturer can deploy a full portfolio of constrained power budgets. of devices never before seen need to products with a single hardware design.

*i.MX 6SoloLite not pin compatible

14 i.MX Applications Processors

i.MX 6 Series Applications Processors Block Diagram instrumentation panels and auto and aero i.MX 6 Series Applications Processor Block Diagram infotainment with compelling features such

System Control Connectivity as augmented reality applications, content CPU Platform Secure JTAG MMC 4.4/ creation capabilities and multichannel HD ARM® Cortex®–A9 core USB2 HSIC SD 3.0 x3 Host x2 PLL, Osc 32 KB I Cache 32 KB D Cache video processing for a new level of user Per Core Per Core MMC 4.4/ MIPI HSI Clock and Reset SDXC experience. ARM NEONTM Per Core PTM Per Core Smart DMA S/PDIF UART x5, Tx/Rx IOMUX 256 KB–1 MB L2-cache 5 Mb/s Features Multimedia PCIe 2.0 Timer x3 I2C x3, (1-lane) Hardware Graphics Accelerators SPI x5 • Scalable single-, dual- and quad-core PWM x4 3D Vector Graphics FlexCAN x2 offerings based on ARM Cortex-A9 up to Watchdog x2 2D ESAI, I2S/SSI MLB150 + x3 DTCP 1.2 GHz, with ARMv7™, ARM NEON™, Power Management Video Codecs Audio 1080p30 Enc/Dec ASRC VFPv3 and ARM TrustZone® support Power Temperature 3.3 V GPIO 1 Gb Ethernet Supplies Monitor + IEEE® 1588 Imaging Processing Unit Keypad • 32 KB instruction and data L1 caches and Internal Memory Resizing and Blending Image Enhancement NAND Cntrl. 256 KB to 1 MB of L2 cache ROM RAM S-ATA and (BCH40) Inversion/Rotation PHY 3 Gb/s • Multi-stream-capable HD video engine Security Display and Camera Interface LP-DDR2, delivering 1080p60 decode, 1080p30 RNG Security Cntrl. USB2 OTG DDR3/ HDMI and PHY 24-bit RGB, LVDS (x2) and PHY LV-DDR3 encode and 3D video playback in HD in ARM TrustZone® Secure RTC MIPI DSI 20-bit CSI USB2 Host x32/64, and PHY 533 MHz high-performance families Ciphers eFuses MIPI CSI2 EPDC • Superior 3D graphics performance with up Available on certain product families to quad shaders performing 200 MT/s • Separate 2D and/or Vertex acceleration Five Scalable Families LVDS, MIPI display, MIPI camera port, HDMI engines for an optimal user interface v1.4, FlexCAN and MLB enables the i.MX experience The i.MX 6Quad family encompasses a quad- 6Solo to be a flexible platform for consumer, core platform running up to 1.2 GHz with • Stereoscopic image sensor support for automotive and industrial applications. 3D imaging 1 MB of L2 cache and 64-bit DDR3 or 2-ch., 32-bit LPDDR2 support. Integrated FlexCAN, The i.MX 6SoloLite family introduces a single • Integrated market-specific I/Os, which may MLB busses, PCIe and SATA-2 provide core running up to 1 GHz with 256 KB of include HDMI v1.4 with integrated PHY, SD3.0, multiple USB 2.0 ports, Gigabit broad connectivity options, while integration L2 cache and 32-bit DDR3/LPDDR2 support. Ethernet, SATA-II with integrated PHY, PCI of LVDS, MIPI display port, MIPI camera port Targeted integration of an electronic paper Express® with integrated PHY, MIPI CSI, and HDMI v1.4 makes it an ideal platform display (EPD) controller makes it an ideal MIPI DSI, MIPI HSI, MLB and FlexCAN for for consumer, automotive and industrial solution for next-generation e-readers and automotive applications multimedia applications. other emerging consumer and embedded • Comprehensive security features devices using EPD technology. The i.MX 6Dual family provides dual cores • Optional integration of an EPD display running up to 1.2 GHz with 1 MB of L2 cache, Unbound User Experience controller for e-reader and similar and 64-bit DDR3 or 2-ch., 32-bit LPDDR2 Next-generation graphics and high-definition applications support. Leveraging the same integration of video are central to the i.MX 6 series. The the i.MX 6Quad family, the i.MX 6Dual provides Software and Tools i.MX 6 series supports up to 1080p60 a scalable solution for consumer, automotive video playback, enabling exceptionally • i.MX 6 series Freescale supported and industrial applications. high-quality video with long battery life for SABRE for automotive infotainment The i.MX 6DualLite family introduces dual devices playing high-definition content. The SABRE platform for smart devices cores running up to 1 GHz with 512 KB of 3D graphics engine in the top-of-the-line SABRE board for smart devices L2 cache, and 64-bit DDR3 or 2-ch., 32-bit i.MX 6Quad and i.MX 6Dual processors are i.MX 6SoloLite EVK LPDDR2 support. With integrated FlexCAN capable of providing up to 200 Mt/s, which Android™ OS and MLB busses, PCI Express®, LVDS, and enables ultra-vivid, realistic graphics critical Linux® OS support for MIPI cameras and displays, as for gaming, applications that combine the well as HDMI v1.4, the device is a great power of the main cores with the until- • i.MX 6 series partner supported fit for consumer, automotive and industrial now-untapped potential of the 3D engine Microsoft® Windows Embedded CE multimedia-centric applications. to perform computational tasks. The Various RTOS, embedded boards, combined multimedia processing power of development tools, UI tools and The i.MX 6Solo family provides a single core the i.MX 6 series enables a new generation software and middleware offerings running up to 1 GHz with 512 KB of L2 cache of smart devices, digital displays, industrial (See Partner Enablement Solutions.) and 32-bit DDR3/LPDDR2 support. Integrated freescale.com/ARM 15 Freescale Embedded Solutions Based on ARM Technology

i.MX53 Applications Processors Take your multimedia experience to the max

The i.MX53 family of processors represents i.MX53 Family Block Diagram our advanced multimedia and power-efficient i.MX536 Block Diagram implementation of the ARM® Cortex®-A8 System Control Standard Connectivity core with core processing speeds up to 1.2 Core/Internal Memory Temp Clock Reset ARM® Cortex®-A8 Fast IrDA UART x5 GHz. It is optimized for both performance Monitor System Cache ETM CSPI Keypad and power to meet the demands of high-end, Smart DMA Buses advanced applications. Ideal for a broad range ARM NEONTM VFP I2C x3 GPIO Timers of applications in the consumer, automotive, Watchdog ROM RAM Advanced Connectivity GPT x2 medical and industrial markets, the i.MX53 HS USB OTG + PHY Ethernet + IEEE® 1588 Multimedia includes an integrated display controller, PWM x2 EPIT x2 GPU HS Host + PHY CAN x2/MLB 50 OpenGL® ES 2.0 OpenVGTM 1.1 HS ULPI Host x2 full HD capability, enhanced graphics and Power Mgmt. and Analog LDO Supply connectivity features. The i.MX53 family also 32 kHz Osc VPU External Memory I/F x2 Video Encode/ TV Out 2 GB DDR2/DDR3/LV-DDR2/LP-DDR2 boasts a companion power management IC Decode PLL x4 (PMIC)—MC34708—designed exclusively for IPU External Storage I/F i.MX processors. Security Resizing and Image Blending Enhancement SLC/MLC NAND SATA eFuses RTIC Inversion and Camera NOR eMMC/SD Sahara v4 SCC v2 Rotation Interface De-Interlacing/ Target Applications ARM TrustZoneTM SRTC Combining PATA • Smart mobile devices System Debug Audio Display I/F ESAI SPDIF Tx/Rx Analog VGA Out Parallel (from IPU) • Gaming devices Secure JTAG SSI/I2S x3 ASRC LVDS • Smart monitors

• Auto infotainment Note: Features vary depending on product selected. • Digital signage • Telehealth multiple connectivity options and a high level Industrial Applications • Video-enabled IP phones of system integration. These features are The i.MX537, with core processing speeds • HMI for appliances, building control, the building blocks to power the next great up to 800 MHz, is optimized for both factory/home automation, printers and applications at an approachable price target. security panels performance and power to meet the demands • Patient monitors Automotive Applications of high-end advanced industrial and medical • Point-of-sale terminals applications. Integrated display controller, Our automotive i.MX53 processors provide 1080p HD video decode and 720p video • Surveillance cameras the advanced performance required to encode, enhanced graphics and connectivity • Security drive the latest automotive systems. These features make the i.MX537 suitable for a wide • Barcode scanners processors are designed for applications range of applications such as human-machine Consumer Applications that require advanced user interfaces, interfaces (HMI) and patient monitors that sophisticated video processing, 2D and 3D require rich user interfaces with high-color The i.MX535 supports 1080p multi-standard graphics, multiple connectivity options and a displays and user interaction. video playback with industry-leading low high level of system integration. Building on The i.MX537 provides key environmental power consumption. With up to 1.2 GHz core the success of the i.MX515 and i.MX535 in differentiators for the industrial market. speed, a faster graphics core enabling a more the consumer market, the automotive family These include 3.3 V I/O support, a 0.8 responsive user experience plus a higher level of i.MX53 processors brings the consumer mm pitch package to reduce PCB and of system integration, i.MX535 applications electronics user experience and device manufacturing costs, extended temperature processors balance the performance, power connectivity into the vehicles of the future. consumption, connectivity and multimedia coverage for harsh environments, industrial capabilities necessary to drive the latest qualification for extended reliability and a consumer products. These processors are formal long product supply guarantee to ideal for products that require advanced user support product life spans. interfaces, sophisticated video processing,

16 i.MX Applications Processors

Software and Tools • Advanced power management • LP-DDR2, LV-DDR2, DDR2-800 and DDR3-800 SDRAM ready for greater • i.MX53 SABRE for tablets Multiple independent power domains design flexibility • i.MX53 quick start boards Dynamic voltage and frequency scaling • Optimized for low-power operation to give • Connectivity Development on the i.MX535 is made easy best performance for battery life High-Speed USB 2.0 OTG with PHY with a range of Freescale-provided board • Smartly integrated i.MX53 offers more on support packages (BSPs) optimized for High-Speed USB 2.0 host with PHY (3) chip, including LVDS, USB PHYs, Ethernet multimedia performance and low-power Two additional High-Speed USB 2.0 and SATA, reducing the need for external operation. BSPs are available for the following controllers components and passing on significant operating systems: Integrated LVDS display interface BOM savings • Android™ Wide array of serial interfaces, including 2 Multimedia Powerhouse • Linux® SDIO, SPI, I C and UART 2 The multimedia performance of the i.MX53 • Various RTOS, embedded boards, I S and S/PDIF audio interfaces processor is boosted by a multi-standard development tools, UI tools and software 10/100 Ethernet controller IEEE® 1588 hardware video codec, autonomous and middleware offerings (See Partner PATA Enablement Solutions.) image processing HD unit, ARM NEON™ SATA controller and PHY up to 1.5 Gb/s SIMD, accelerometer, vector floating-point Features CAN coprocessor and a programmable Smart • Security DMA (SDMA) controller. Powerful 3D • CPU complex Security controller, including secure graphics acceleration is the key to mobile • Up to 1.2 GHz ARM Cortex-A8 RAM and security monitor game designs. • 32 KB instruction and data caches High-assurance boot, JTAG controller i.MX53 processors provide an integrated 3D • Unified 256 KB L2 cache and real-time clock graphics processing unit with an incredible 33 • ARM NEON™ SIMD media accelerator Cipher and random number generator Mt/s and effective 800 Mp/s (with overdraw). • Vector floating-point coprocessor accelerators The 3D unit is provides an exceptional user • Multimedia Runtime integrity checker experience with hardware-accelerated Flash Independent OpenGL® ES 2.0 and Universal unique identification Player 10.x, gaming and advanced user OpenVG™ 1.1 hardware accelerators Tamper resistant interfaces. In addition, i.MX53 incorporates a Multi-format 1080p HD video decoder 2D graphics processing unit to accelerate the and 720p HD video encoder hardware General windowing system and fonts. engine • 19 x 19 mm, 0.8 mm pitch TEPBGA-2 24-bit primary display support up to package Smart Speed Technology WSXGA resolution • Industrial temperature grade offered Advanced power management features 18-bit secondary display support throughout the i.MX53 processor enable Analog 720p HD component TV output Benefits a rich suite of multimedia features and High-quality hardware video • Ultra-fast processing and high- peripherals while maintaining minimum de-interlacing performance multimedia capabilities system power consumption in active and Image and video resize, inversion and • Complete hardware and software package low-power modes. rotation hardware provided to enable faster time to market Alpha blending and color space and lower R&D investment conversion • Dedicated video and independent 2D/3D Video/graphics combines four planes graphics hardware acceleration engines and hardware cursor provides best-in-class performance for power Display quality enhancement includes color correction, gamut mapping and • Increased core speed for faster browsing gamma correction • Up to 2 GB external memory support • External memory interface prepares your end device for cloud computing applications and future OSs Up to 2 GB LP-DDR2, LV-DDR2, DDR2 and browsers and DDR3 SDRAM, 16-/32-bit SLC/MLC NAND flash, 8-/16-bit

freescale.com/ARM 17 Freescale Embedded Solutions Based on ARM Technology

i.MX50 Applications Processors Streamlined performance

The i.MX50 family of applications processors i.MX50 Family Block Diagram delivers a low-power, streamlined solution for customers seeking ARM® Cortex®-A8 System Control Core/Internal Memory Standard Connectivity

performance levels with flexible design Temp ® ® Clock Reset ARM Cortex -A8 UART x5 eSDHC x4 Monitor features. The family features four derivatives, Cache ETM System I2C x3 KPP (8 x 8) Smart DMA including the market-leading i.MX508 Buses ARM NEONTM VFP eCSPI x2 CSPI ROM RAM processor for e-readers, running at core Timers

speeds of 1 GHz and can be targeted GPT Watchdog Multimedia Advanced Connectivity GPU towards a variety of consumer applications USB OTG + PHY FEC 10/100 PWM x2 EPIT OpenVG™ 1.1 offering support for electronic paper display USB Host + PHY EPDC Analog (EPD) in addition to LCD. The i.MX50 Electronic Paper Display Controller 24 MHz, 32 kHz Source/Gate Driver I/F External Memory I/F PLL x3 family also boasts a companion power Osc ePxP 2 GB DDR2, mDDR, LP-DDR2 management IC (PMIC) designed exclusively Security CSC Combining for i.MX processors. RNG SRTC Rotation Gamma Mapping External Storage I/F E-Fuses ARM TrustZone® Target Applications eLCD I/F RAW NAND SD/MMC AES, SHA-1, SHA-2 8-, 16-, 24- and 32-bit DOTCK, RGB 32-bit ECC PSRAM • E-Readers System Debug Audio • Portable navigation devices Secure JTAG I2S/SSI/AC97 x2 OneNAND NOR Flash

• Outdoor and digital signage Note: Features vary depending on product selected. • Patient/client monitoring • Home and office automation Features Software and Tools • DECT phones • ARM Cortex-A8 800 MHz performance • i.MX50 evaluation kit (EVK) at 1 V with ARM NEON™ coprocessor Electronic Paper Displays • SABRE platform for e-readers • Enhanced LCD controller interface • i.MX50 quick start board (partner supported) The i.MX50 family consists of the first supporting up to 1400 x 1050 resolution Development on the i.MX50 is made easy ARM-based device with an integrated EPD • EPD controller for E Ink panels with a range of Freescale-provided board hardware interface. EPDs offer the following • Enhanced pixel processing pipeline support packages (BSPs) optimized for advantages: (ePxP) to handle post-display frame multimedia performance and low-power pre-processing • High resolution from any angle operation and multimedia software codecs. • OpenVG™ 2D graphics acceleration • Sunlight readability for enhanced user interfaces • Android™ • Mimics the appearance of ink on paper ® • LP-DDR2 support for next-generation, • Linux • Flexible low-power and high-speed memories, • Various RTOS, embedded boards, • Lightweight in addition to mDDR and DDR2 development tools, UI tools and software • Durable • Support for SD, SDIO and MMC-based and middleware offerings (See Partner Enablement Solutions.) • Extremely low power media • Replaces paper in many applications • Static bus interface (WEIM) to support Power Efficiency NOR and other memory-mapped devices Part of the i.MX50 family, the i.MX508 is the • Dual full duplex I2S interfaces for audio The MC34708 PMIC is optimized for use first system-on-chip (SoC) solution designed connectivity with the i.MX50 and helps maximize power specifically for e-readers that incorporates • Three I2C interfaces and three SPI efficiency and battery life while supporting an ARM Cortex-A8 CPU and an integrated interfaces for peripheral control higher levels of integration to minimize board ® E Ink -certified EPD controller to drive current • RAW NAND flash support with up to space and cost. and next-generation Pearl™ panels. The 32-bit ECC-level protection The i.MX50 family also includes power- i.MX508 enables reduced system cost, longer • Dual USB controller and PHYs (OTG/host) saving modes and techniques, such as state battery life and higher performance for faster • 10/100 Ethernet retention power gating and dynamic voltage page flips and a better reading experience. • Advanced security features such as high- frequency scaling, and has five independent assurance boot, DRM support and AES encryption/decryption power domains for low-power modes.

18 i.MX Applications Processors i.MX28 Applications Processors Intelligent integration, unmatched

The i.MX28 family of applications processors i.MX28 Family Block Diagram is part of our ARM9™ product portfolio. i.MX28 Family

The i.MX28 family integrates display, power Connectivity Advanced Connectivity Ext Memory I/F management and connectivity features I2C x2 10/100 Ethernet NAND ® L2 Switch SPI x4 IEEE 1588 x2 unmatched in ARM9-based devices, BCH 20-bit UART x6 CAN x2 HS USB PHY x2 DDR2 reducing system cost and complexity for GPIO mDDR MMC+/SD x4 cost-sensitive applications. With optimized i.MX28 ARM926EJ-S™ 454 MHz LV-DDR2 performance and power consumption, the Analog 12-bit ADC x8 Internal i.MX28 is an ideal fit for battery-operated 16 KB I Cache 32 KB D Cache Memory 2 MSPS ADC x1 or fanless equipment. Additionally, the LCD 128 KB SRAM Thermal Security controller with touchscreen capability makes Protection 128 KB ROM HAB4 OTP AES Key it possible to design creative and intuitive Power 128-bit AES SHA-2 Hashing User I/F Management user interfaces. The i.MX28 family reaches LCD Controller DC/DC 4.2 V Standard System new levels of integration in ARM9 devices Touchscreen LDO x4 Timer x4 PWM x8 and provides the enablement needed to help Scaling Battery Charger design differentiated industrial, automotive Watchdog DMA Alpha Blending Audio Rotation and consumer products in less time. I2S x2 System Debug Color Space S/PDIF Tx ETM JTAG Conversion Target Applications • Smart appliances Features Benefits • Human-machine interface for appliances, building contol, factory automation, • 454 MHz ARM926EJ-STM™-core with Industrial-strength integration printers and security panels 16 KB/32 KB I and D cache • Reduces system cost and complexity and • Industrial control • PMU to power the device and drive provides greater flexibility in system design • Media gateways/accessories external components supports li-ion • Industry-leading power management batteries and direct connection to 5 V • Portable medical eliminates external components supplies • Smart energy gateways/meters • High level of peripheral integration, • Dual IEEE® 1588 10/100 Ethernet with • Automotive audio systems including display, connectivity, real-time RMII support and L2 switch • Automotive connectivity modules control, security and networking • Dual CAN interfaces Industrial qualification and product longevity Industrial Needs • NAND support: SLC/MLC and eMMC 4.4 • Supports the full life of the product in (managed NAND) Like the rest of the i.MX portfolio, the the field • Hardware BCH (up to 20-bit correction) i.MX28 family provides key environmental Optimized performance and power differentiators for the industrial market. These • 200 MHz 16-bit DDR2, LV-DDR2, mDDR consumption include 3.3 V I/O support, a 0.8 mm pitch external memory support • Increased battery life for portable package to reduce PCB and manufacturing • Dual High-Speed USB with PHY equipment costs, extended temperature coverage for • Up to eight general-purpose 12-bit ADC • Improved energy efficiency for wall- harsh environments, industrial qualification channels and single 2 Ms/s ADC channel powered or fanless systems for extended reliability, a formal long product • Temperature sensor for thermal protection Software and Tools supply guarantee to support product life • Multiple connectivity ports (UARTs, SSP, spans and a strong ecosystem, including SDIO, SPI, I2C and I2S) • Linux® and Windows® Embedded CE BSPs module manufacturers, software integrators • Product family supports various • Multimedia codecs: Proven codecs enable and development tools. feature sets faster time to market • Various RTOS, embedded boards, development tools, UI tools and software and middleware offerings (See Partner Enablement Solutions.) freescale.com/ARM 19 QorIQ Communications Processors

Industry’s broadest portfolio, delivering Layerscape Architecture Layerscape is the underlying network system unprecedented efficiency and scale for architecture of the QorIQ LS series processors. The architecture enables next-generation smarter, more capable networks networks with up to 100 Gb/s performance and enhanced packet-processing capabilities. The Freescale QorIQ communications processor portfolio is unmatched Design effort is simplified with a standard, open programming model and a software- in depth and breadth. With the addition of the next-generation QorIQ aware architecture framework that enables LS1 product family based on Layerscape architecture, comprising customers to fully exploit the underlying the QorIQ LS1020A, LS1021A and LS1022A devices integrating hardware for maximum optimization, with the capability to easily adapt to network changes ® ® dual ARM Cortex -A7 cores, the portfolio extends performance to for real-time “soft” control over the network. the smallest form factor, power-constrained networking applications. A uniform hardware and software model Optimized performance and power efficiency are key advantages of this provides the compatibility and scalability required for customers designing end-to-end new family, which includes compatible features such as virtualization networking equipment from home- to carrier- and cache coherency that, together with pin compatibility, enables class products. The unique, core-agnostic architecture incorporates the optimum core customers to simply and smoothly migrate applications between these for the given application—ARM or Power next-generation QorIQ devices. Additionally, QorIQ LS1 processors Architecture® cores. built on Layerscape architecture deliver a unique combination of our unmatched networking expertise with ARM’s extensive ecosystem.

20 QorIQ Communications Processors

QorIQ LS1 Family Highlest level of integration under 3 W

The QorIQ LS1 family of processors is a QorIQ LS1 Family Features member of the value-performance tier, delivering extensive integration and power Dual ARM Cortex-A7 cores with Extreme power efficiency, delivering pre-silicon efficiency for fanless, small form factor frequencies up to 1 GHz performance at over 6,000 CoreMarks®. Typical Total networked applications. Incorporating dual System Power of 3 W for improved performance without increased power utilization. ARM® Cortex®-A7 cores running up to 1 GHz, the QorIQ LS1020A, LS1021A and LS1022A ECC-protected L1 and L2 cache Only processor family in its class with ECC-protected deliver pre-silicon CoreMark® performance of memories caches and coherent 512 KB L2, adding performance over 6,000, as well as virtualization support, and meeting networking requirements for high reliability advanced security features and the broadest Support for virtualized Gb Ethernet Enables partitioning of CPU resources on low-power array of high-speed interconnects and parts for increased system productivity optimized peripheral features ever offered in a sub-3 W processor. Rich connectivity and peripheral features High versatility that enables support for 802.11ac including PCI Express® Gen2, USB 3.0, modules and high bandwidth connectivity for ASICs, LS1021A Target Applications SATA3, IFC, QuadSPI, CAN 4G/LTE, SATA, low-cost NAND/NOR flash • Enterprise AP routers for 802.11ac/n LCD controller (DCU5) Touchscreen support adds integrated HMI features for • Multi-protocol IoT gateways enhanced ease of use and BOM savings. Similar IP as Freescale Vybrid controller solutions and i.MX applications • Industrial and factory automation processors to allow for simple software migration. • Mobile wireless routers QUICC Engine technology Proven support for required industrial, building and • Printing factory protocols such as PROFIBUS, HDLC, TDM • Building automation DDR3L/4 memory First in its class to offer support for DDR4 memory, • Smart energy ensuring continued performance efficiency LS1020A and LS1022A Target Applications QorIQ LS1021A Processor Block Diagram • Enterprise AP routers for 802.11ac/n QorIQ LS1021A Processor Block Diagram

• I/O line cards System Control ARM® ARM Internal Boot ROM Cortex®-A7 Cortex-A7 • Controllers FPU Core ARM FPU Core ARM Security Fuses NEONTM NEON • Security appliances Security Monitor 32 KB 32 KB 32 KB 32 KB • IoT gateways Power Management D Cache I Cache D Cache I Cache DDR3L/4 128 KB DMA Memory • Building automation SRAM Controller System Interfaces 512 KB Coherent L2 Cache • Smart energy IFC Flash Cache Coherent Interconnect (CCI 400) Core Complex QuadSPI Flash 1x SD/MMC uQE Security QorIQ LS1 processors integrate dual ARM 2x DUART, 6x LP UART (HDLC, (XoR, 2 TDM, et et et Cortex-A7 cores running up to 1 GHz (600 3x I C, 2x SPI, GPIO CRC) PB) A 3.0 MHz on the QorIQ LS1022A) with ECC- Audio Subsystem: T PCIe 2.0 PCIe 2.0 Ethe rn Ethe rn Ethe rn 4x I2S, ASRC, SPDIF SA protected L1 and L2 caches, and share 4x CAN, FlexTimer, PWM virtualization support and pin and software 1x USB 3.0 w/PHY compatibility. Both ARM Cortex-A7 cores 4-Lane 6 GHz SerDes USB 2.0 feature 32 KB of L1 instruction and data LCD Controller cache, share up to 512 KB of coherent L2 cache and feature the NEON SimD module Core Complex Basic Peripherals and Interconnect and dual precision floating-point unit (FPU). Accelerators and Memory Control Networking Elements The DDR memory controller supports 8-, 16- or 32-bit type 3L and 4 memory devices at up to 1600 MHz (QorIQ LS1022A limited to DDR3L at up to 1033 MHz).

freescale.com/ARM 21 Freescale Embedded Solutions Based on ARM Technology

System Interfaces QorIQQorIQ LS1020A LS1020A Processor Processor Block Diagram Block Diagram and Networking System Control ARM® ARM QorIQ LS1 processors feature a four- Cortex®-A7 Cortex-A7 Internal Boot ROM Core ARM Core ARM FPU TM FPU lane, 6 GHz multi-protocol SerDes that Security Fuses NEON NEON

provides support for high-speed interfaces, Security Monitor 32 KB 32 KB 32 KB 32 KB including up to three Gigabit Ethernet Power Management D Cache I Cache D Cache I Cache DDR3L/4 128 KB ® DMA Memory ports with IEEE 1588 support, dual DMA- SRAM 512 KB Coherent L2 Cache Controller controlled PCI Express® generation 2.0 System Interfaces ports and a single SATA 3.0 port. The IFC Flash Cache Coherent Interconnect (CCI 400) QorIQ LS1 processors also feature dual USB QuadSPI Flash controllers—one supporting SuperSpeed 1x SD/MMC uQE Security (HDLC, USB 3.0 with integrated PHY, the other 2x DUART, 6x LP UART (XoR, TDM, CRC) et et et 3x I2C, 2x SPI, GPIO PB)

supporting USB 2.0 functions. Additional A 3.0 T PCIe 2.0 PCIe 2.0 Ethe rn Ethe rn Ethe rn interfaces include QuadSPI, IFC and support Audio Subsystem: SA 4x I2S, ASRC, SPDIF for SD/MMC. For network audio applications, FlexTimer, PWM the QorIQ LS1 processors include support for both ASRC and SPDF. For industrial USB 2.0 4-Lane 6 GHz SerDes and power-constrained applications, the 1x USB 3.0 w/ PHY processors provide four CAN ports and up Core Complex Basic Peripherals and Interconnect to 10 UARTS to support industrial protocols. In addition, Serial I/O includes three I2C and Accelerators and Memory Control Networking Elements two SPI interfaces.

Complete Enablement, QorIQ LS1022A Processor Block Diagram Rich Ecosystem QorIQ LS1022A Processor Block Diagram System Control ARM® ARM For customer evaluation, the QorIQ LS1 Cortex®-A7 Cortex-A7 Internal Boot ROM FPU Core ARM FPU Core ARM family will be supported by the modular NEONTM NEON Freescale Tower System, which will feature Security Fuses 32 KB 32 KB 32 KB 32 KB Security Monitor an integrated on-board probe for further D Cache I Cache D Cache I Cache DDR3L 128 KB cost savings, along with third-party platforms Power Management Memory SRAM developed by Freescale’s embedded DMA 512 KB Coherent L2 Cache Controller board solution partners. Evaluation kits System Interfaces Cache Coherent Interconnect (CCI 400) ® include a Linux 3.12 BSP with optimized IFC Flash

drivers to support peripherals and a six- QuadSPI Flash month evaluation license for CodeWarrior 2x DUART, 6x LP UART et et development tools. PCIe 2.0 3x I2C, 2x SPI, GPIO All QorIQ LS series devices are supported Ethe rn Ethe rn Audio Subsystem: by our extensive third-party ecosystem, 4x I2S, ASRC, SPDIF

the largest and most established in the USB 2.0 1-Lane 5 GHz communications market. In conjunction SerDes 4x CAN, FlexTimer, PWM with our expertise and worldwide support infrastructure, the ecosystem helps Core Complex Basic Peripherals and Interconnect customers accelerate their migration from Accelerators and Memory Control Networking Elements both competitive solutions and from legacy Freescale devices, preserve investment costs and reduce time to market.

22 Vybrid Controller Solutions

A traditional systems-level solution for such A multicore platform for industrial divergent needs would combine different pieces of silicon, such as an applications applications MPU and a real-time MCU, on a board. It would also require developing software The increasing complexity and demands of embedded systems and a protocol to enable simultaneous communication between real-time control creates greater need for sophisticated human-machine interfaces and rich HMI. Application developers face (HMI) and multiple connectivity options with safe, secure and the tremendous challenge of seamlessly predictable operation. To concurrently provide rich HMI and real-time integrating these diverse technologies in a single system. control means bringing together two very different system paradigms. Our Vybrid portfolio brings to market a unique, For example, HMI computation focuses on efficiently processing low-power system solution that provides pixels and displaying them on a screen, while guaranteed determinism customers a way to combine rich applications requiring high-resolution graphical displays and requires highly predictable response times for tasks. connectivity with real-time determinism. The Vybrid portfolio enables customers to create systems that concurrently run a high-level such as Linux® and a real- time operating system such as MQX™ on the same device. This, along with a communication API between the rich domain, the real-time domain and a tool chain that eases debug of such systems, dramatically shortens customer time to revenue. The families in the Vybrid portfolio span entry-level products for customers who want to upgrade from the Kinetis MCU to devices with large on-chip SRAM up to highly integrated, dual-core solutions intended to serve industrial markets.

freescale.com/ARM 23 Freescale Embedded Solutions Based on ARM Technology

Vybrid Controller Solutions VybridVybrid Family Details Details Core Architecture Vybrid devices have a dual-core architecture that combines the ARM® Cortex®-A5 application processor and the ARM Vybrid Cortex®-M4 for real-time control. The Vybrid Families External Bus DDR Camera Interface Video ADC USB Host w/PHY USB OTG w/PHY Segment LCD TFT LCD Ethernet Controller L2 Switch Security (HAB, Det.) Tamper, portfolio is designed to be a transitional VF6xx Family product from Kinetis MCUs featuring the [Heterogenous Dual Core] ARM Cortex-M4 core and the i.MX 6 series ARM Cortex-A5 up to 500 MHz 22 Y

featuring the ARM Cortex®-A9 core, while also ARM Cortex-M4 up to 167 MHz 364-pin MAPBGA providing scalable devices that can address the needs of a market that demands critical VF5xx Family safety and security, connectivity and rich ARM Cortex-A5 up to 500 MHz 2 Y HMI in the same piece of silicon. The Vybrid 364-pin MAPBGA

roadmap is built with this scalability and code VF3xx Family compatibility in mind so that the performance ARM Cortex-A5 up to 266 MHz Y 2 of the device roadmap grows with the 176-pin LQFP customer’s needs long into the future.

One of the key benefits of the Vybrid portfolio Common Platform, Analog and Digital Tools CRC and TZ Address that combines the ARM Cortex-A5 core 12-bit ADC Space Controllers with the ARM Cortex-M4 core is the Packaged IDE partitioning of tasks based on their I2C 12-bit DAC characteristics. For example, for tasks that Programmable Secure JTAG need predictable interrupt management—a Delay Block typical need for real-time applications—the Packaged OS Vybrid platform has the ARM Cortex-M4 core Flash Controller Secure Fuses and Multicore Communication API with a Nested Vector Interrupt Controller UARTs Timers (NVIC). This allows graphical applications and connectivity stacks to be run on the ARM Application Software Secure RAM Cortex-A5 applications processor. Low-Voltage, Industrial Protocols Low-Power Multiple Peripheral Drivers Software can be segmented so that tasks that Operating Modes, eSDHC need predictable latencies can be run on the Clock Gating ARM Cortex-M4 core and computer-intensive (1.73–3.6 V) DMA Third-Party processes run on the ARM Cortex-A5 core. Ecosystem Support ESAI SRAM ARM Cortex-A5 Core The ARM Cortex-A5 processor is a high- performance, low-power core with an L1 ARM Cortex-M4 Core in the form of DSP and SIMD instruction and L2 cache subsystem that provides full extensions, a single-cycle MAC unit and The ARM Cortex-M4 core retains all the virtual memory capabilities, a double-precision single-precision FPU. In addition, Freescale advantages of the ARM Cortex®-M3 core floating-point unit (FPU) and the ARM NEON™ has added a direct memory access (DMA) with an NVIC, which gives the deterministic media processing engine. It is intended as an controller, crossbar switch and L1 on-chip interrupt handling capability demanded upgrade for the ARM9™ and ARM11™ cores cache memories, maximizing processor by real-time applications along with tightly and is architecturally compatible with ARM performance and bus bandwidth. coupled memory (TCM). Determinism is a Cortex-A9. The ARM Cortex-A5 also has ARM factor of NVIC plus TCM. The ARM Cortex-M4 TrustZone® technology for creating secure adds digital signal processing (DSP) capability applications.

24 Vybrid Controller Solutions

Tower Systems for Vybrid Controllers

Ordering Part Number Description MSRP (USD)

• TWR-VF65GS10 module, USB cable, quick start guide

TWR-VF65GS10 • Complimentary one-year, nonrenewable license to ARM® Development Studio 5 (DS-5™) $199 starter kit for Vybrid controller Tower System module (256 KB code size limitation)

• TWR-VF65GS10 module, USB cable, quick start guide

• Complimentary one-year, nonrenewable license to ARM Development Studio 5 (DS-5) TWR-VF65GS10-KIT starter kit for Vybrid controller Tower System module (256 KB code size limitation) $269 • TWR-ELEV elevator modules

• TWR-SER serial module

• TWR-VF65GS10 module, USB cable, quick start guide

• Complimentary one-year, nonrenewable license to ARM Development Studio 5 (DS-5) starter kit for Vybrid controller Tower System module (256 KB code size limitation) TWR-VF65GS10-PRO $399 • TWR-ELEV elevator modules

• TWR-SER2 enhanced serial module

• TWR-LCD-RGB graphical LCD module

• Same as TWR-VF65GS10-PRO, except it includes one-year, renewable license for TWR-VF65GS10-DS5 ARM Development Studio 5 (DS-5) Vybrid controllers edition (hardware platform $1,500 independent, 1 MB code size limitation)

Reliability, Safety and Security Optimal System Performance between the applications processor and the deterministic MCU, the ARM Cortex-M4 core Vybrid devices include a variety of data Vybrid devices are ideal for modern industrial helps to improve efficiency in industrial integrity and security hardware features for applications that require higher integration of motor control applications that can result safeguarding memory, communication and communication and connectivity interfaces, in a reduced carbon footprint. system data. A cyclic redundancy check as well as HMI and UI acceleration. module is available for validating memory Customers can easily take full advantage of Get Started Today contents and communication data, while all the integrated Vybrid features to create a memory protection unit provides data differentiated products by leveraging the The Freescale Tower System provides a protection and increased software reliability. provided reference board support packages modular and expandable development For fail-safe applications, an independently (BSP) for high-level operating systems platform for evaluating and prototyping clocked watchdog offers protection against (such as Linux®) and real-time operating with Vybrid controller solutions. The runaway code. When it comes to security, systems (such as MQX™) that include TWR-VF65GS10 module is a development a hardware encryption unit supports several libraries and media frameworks tuned to tool for the Vybrid family of controllers that encryption and hashing algorithms for program the silicon architecture. The combination of operates as a standalone debug tool or validation as well as authentication and high-efficiency silicon design, low-leakage as part of an assembled Tower System securing data for transfer and storage. The process technology and software tuned for development platform. With full support system security module includes a unique chip the silicon architecture results in low power from MQX Software Solutions, Timesys identifier, secure key storage and a hardware consumption, eliminating the need for a fan Linux BSP and the ARM DS-5 toolchain, tamper detection system. The tamper or heat sink and helping to lower overall your next application-rich design is at detection system has integrated sensors for system BOM cost. As an example, because your fingertips. For more information, visit voltage, frequency, temperature and external the platform architecture partitions tasks freescale.com/TWR-VF65GS10 and sensing for physical attack detection. freescale.com/TWR-VF65GS10-DS5.

freescale.com/ARM 25 Freescale Embedded Solutions Based on ARM Technology

Vybrid VF3xx Family Single-core solution with dual XiP quad SPI, dual Ethernet and L2 switch for appliances and energy control

The VF3xx family is the entry point into Vybrid VF3xx Family Block Diagram the Vybrid portfolio and features the ARM® Vybrid V300 Block Diagram ® Cortex -A5 core. It provides an efficient Debug and Trace System Core Analog solution for an applications processor with up JTAG AMBA NIC 12-bit ADC x2 ARM® Cortex®-A5 to 1.5 MB of on-chip SRAM and a rich suite Trace Internal and Up to 266 MHz 12-bit DAC x2 External Watchdog PLL of communication, connectivity and human- Interrupt Timers DP-FPU Router machine interfaces (HMI). FlexTimer (8-ch.) Clocks DMA ARM NEONTM FlexTimer (2-ch.) Up to 64-ch. Clock Monitors Target Applications FlexTimer (2-ch.) Power Management L1 I/D Cache Internal Reference ® Regulators • Industrial automation IEEE 1588 Timers Clocks Trace/Debug Periodic Interrupt Timers Applications requiring simple Memory Protection Low-/High-Frequency Unit 2D graphics (HMI) Low-Power Timers GIC Oscillators

• Industrial scanners and printers Memory Display Security (Optional) Communication

• Large or high-quality small appliances Boot ROM TFT LCD Cryptography Module UART x4 CAN x2 Tamper Detect • Portable patient monitors 1.5 MB SRAM Segment LCD DSPI x3 I2C x2 • Simple vending machines Secure RTC IEEE 1588 Memory Interfaces Video 10/100 Ethernet x2 Secure RTIC NAND Flash Digital Video L2 Switch Mixed-Signal Capability Controller Camera Interface Secure RAM USB OTG + PHY • Two 12-bit ADCs with configurable Quad Audio Secure Fuses LS/FS/HS SPI x2 ASRC SDHC x1 Secure WDOG resolution. Single or differential output SAI x3 External Bus 125 GPIO mode operation for improved noise Interface ESAI Secure JTAG (with Interrupt) rejection. 500 ns conversion time achievable with programmable delay block triggering. Performance Timing and Control • Two 12-bit DACs for analog waveform generation for audio applications or • ARM Cortex-A5 core running at 266 • Three FlexTimers with a total of 12 sensor manipulation MHz, with double-precision floating-point, channels. Hardware dead-time insertion ARM NEON™ media processing engine and quadrature decoding for motor control Memory for acceleration of media and signal • Four-channel 32-bit periodic interrupt ® processing, and ARM TrustZone security timer provides time base for RTOS task • Dual quad SPI supporting a double data extensions. 32 KB each of instruction and scheduler or trigger source for ADC rate interface, an enhanced read data data L1 cache and 512 KB L2 cache for conversion and programmable delay block buffering scheme, execute-in-place (XiP) optimized bus bandwidth and on-chip and support for dual-die flashes SRAM execution performance. HMI • Boot ROM with optional high-assurance • Up to 64-channel DMA for peripheral • TFT LCD display capable of WQVGA boot for secure booting capability and memory servicing with reduced CPU resolution • 1.5 MB on-chip SRAM with ECC support loading and faster system throughput • 288 segment LCD controller on 512 KB • Crossbar switch enables concurrent multi- master bus accesses, increasing bus bandwidth

26 Vybrid Controller Solutions

Multimedia Reliability, Safety and Security • Real-time integrity checker • Video interface unit with parallel camera • TrustZone Address Space Controllers Periodic check on system memory support for 8- and 10-bit ITU656 video, up provide memory protection for all masters for unauthorized modifications to 24-bit digital RGB on the crossbar switch, increasing software • Secure nonvolatile storage • Three synchronous audio interfaces reliability Secure nonrollover real-time counter implementing full-duplex serial interfaces • Cyclic redundancy check engine validates Nonrollover monotonic counter with frame synchronization such as I2S, memory contents and communication Zeroizable 256-bit secret key AC97 and CODEC/DSP interfaces data, increasing system reliability • Optional enhanced serial audio interface • Independent-clocked COP guards against External Peripheral Support that provides a full-duplex serial port for clock skew or code runaway for fail-safe • Secure digital host controller supports communication with a variety of serial applications such as the IEC 60730 safety SD, SDIO, MMC or CE-ATA cards for devices, including industry-standard standard for household appliances in-application software upgrades, media codecs, SPDIF transceivers and other • External watchdog monitor drives output files or adding Wi-Fi® support processors pin to safe state external components if • NAND flash controller supports up to • Asynchronous sample rate converter for watchdog event occurs 32-bit ECC current and future NAND types. rate conversion between 32, 44.1, 48 ECC management handled in hardware, and 96 kHz Optional Secure minimizing software overhead. Application Support Connectivity and • FlexBus external bus interface provides • Cryptography acceleration and assurance Communications glueless interface options to memories module and peripherals such as graphics displays. • USB 2.0 OTG controller with integrated Supports acceleration and off-loading Supports up to four chip selects. high-speed PHY for selected crypto algorithms such For more information, please visit • 10/100 Ethernet controllers with hardware as AES, DES, 3 DES and ArcFour freescale.com/VF3xx. time-stamping Symmetric key blog ciphers • Layer 2 Ethernet switch • Random number generation • Four UARTs with IrDA support, including NIST compliant SP800-90 two UART with ISO 7816 smart card Combination of a true random number support. Variety of data size, format and generator and a pseudo-random transmission/reception settings supported number generator for multiple industrial communication protocols. • Two CAN modules for industrial network bridging • Three DSPI and two I2C interfaces

freescale.com/ARM 27 Freescale Embedded Solutions Based on ARM Technology

Vybrid VF5xx Family Single-core solution with dual Ethernet and L2 switch for automation and control

The VF5xx family features the Vybrid VF5xx Family Block Diagram ARM® Cortex®-A5 core with speeds up to Faraday F500 Block Diagram

500 MHz with 512 KB L2 cache, dual USB Debug and Trace System Core Analog 2.0 OTG controllers with integrated PHY, dual JTAG AMBA NIC 12-bit ADC x2 ® ® Trace ARM Cortex -A5 10/100 Ethernet controllers with L2 switch, Internal and Up to 500 MHz 12-bit DAC x2 External Watchdog up to 1.5 MB of on-chip SRAM and a rich Timers PLL Interrupt DP-FPU suite of communication, connectivity and FlexTimer (8-ch.) Router NEON Clocks human-machine interfaces (HMI). The VF5xx FlexTimer (2-ch.) DMA Up to 64-ch. Clock L1 I/D Cache family is pin and software compatible with FlexTimer (2-ch.) Monitors FlexTimer (8-ch.) Power Management L2 Cache (Optional) Regulators Internal Reference the VF6xx family. IEEE® 1588 Timers Clocks Trace/Debug Periodic Interrupt Timers Memory Protection Low-/High-Frequency Target Applications Low-Power Timers Unit GIC Oscillators • Industrial automation Memory Display Security (Optional) Communication Applications requiring simple Boot ROM TFT LCD x2 Cryptography Module UART x6 CAN x2 2D graphics (HMI) 2 Up to 1.5 MB SRAM Video Tamper Detect DSPI x4 I C x4 • Industrial scanners and printers Digital Video Secure RTC IEEE 1588 • Industrial vehicle control with HMI Memory Interfaces Camera Interface 10/100 Ethernet x2 Secure RTIC • Large or high-quality small appliances DDR Controller L2 Switch Audio Secure RAM 2x USB • Metering NAND Flash Controller ASRC Secure Fuses OTG + PHY Data concentrator SAI x4 2x Secure Digital I/O Quad SPI x2 Secure WDOG ESAI • Portable patient monitors Up to 135 GPIO External Bus Interface SPDIF Secure JTAG (with Interrupt) • Simple vending machines

Mixed-Signal Capability • Two 12-bit ADCs with configurable • Up to 1.5 MB on-chip SRAM with ECC • Up to 64-channel DMA for peripheral resolution. Single or differential output support on 512 KB and memory servicing with reduced CPU mode operation for improved noise • 16-bit DDR controller with PHY and ECC loading and faster system throughput rejection. 500 ns conversion time support capable of DDR3/LPDDR2 800 • Crossbar switch enables concurrent multi- achievable with programmable delay MHz data rate master bus accesses, increasing bus block triggering. bandwidth • Two 12-bit DACs for analog waveform Performance generation for audio applications or • ARM Cortex-A5 core with frequency up to Timing and Control sensor manipulation 500 MHz, with double-precision floating- • Four FlexTimers with a total of 20 Memory point, ARM NEON™ media processing channels. Hardware dead-time insertion engine for acceleration of media and signal and quadrature decoding for motor control. ® • Dual quad SPI supporting a double data processing, and ARM TrustZone security • Four-channel 32-bit periodic interrupt rate interface, an enhanced read data extension. 32 KB each of instruction and timer provides time base for RTOS task buffering scheme, execute-in-place (XiP) data L1 cache and 512 KB L2 cache for scheduler or trigger source for ADC and support for dual-die flashes optimized bus bandwidth and on-chip conversion and programmable delay block • Boot ROM with optional high-assurance SRAM execution performance. boot for secure booting capability

28 Vybrid Controller Solutions

HMI • Up to six UARTs with IrDA support, • Real-time integrity checker including two UARTs with ISO 7816 • TFT LCD displays capable of XGA Periodic check on system memory smart card support. Variety of data resolution for unauthorized modifications size, format and transmission/reception • Secure nonvolatile storage settings supported for multiple industrial Multimedia Secure nonrollover real-time counter communication protocols. • Video interface unit with parallel camera Nonrollover monotonic counter • Two CAN modules for industrial support for 8- and 10-bit ITU656 video, Zeroizable 256-bit secret key network bridging up to 24-bit digital RGB • Tamper detection • Four DSPI and four I2C interfaces • Up to four synchronous audio interfaces Support for up to six external passive implementing full-duplex serial interfaces Reliability, Safety and Security tamper detection pins or five active with frame synchronization such as I2S, external tamper detection pin pairs AC97 and CODEC/DSP • TrustZone Address Space Controllers provide memory protection for all masters • Optional enhanced serial audio interface External Peripheral Support on the crossbar switch, increasing software that provides a full-duplex serial port for reliability • Secure digital host controller supports serial communication with a variety of • Cyclic redundancy check engine validates SD, SDIO, MMC or CE-ATA cards for serial devices, including industry-standard memory contents and communication in-application software upgrades, media codecs, SPDIF transceivers and other ® data, increasing system reliability files or adding Wi-Fi support processors • External watchdog monitor drives output • NAND flash controller supports up to • Sony Philips Digital Interface (S/PDIF) pin to safe state external components if 32-bit ECC current and future NAND types. receives and transmits digital audio using watchdog event occurs ECC management handled in hardware, the IEC 60958 standard consumer format minimizing software overhead • Asynchronous sample rate converter Optional Secure • FlexBus external bus interface provides for rate conversion between 32, 44.1, Application Support glueless interface options to memories 48 and 96 kHz and peripherals such as graphics displays. • Cryptography acceleration and assurance Supports up to four chip selects. module Connectivity and Supports acceleration and off-loading For more information, please visit Communications for selected crypto algorithms such freescale.com/VF5xx. • Dual USB 2.0 OTG controller with as AES, DES and 3 DES and ArcFour integrated PHY Symmetric key blog ciphers • Dual 10/100 Ethernet controller with • Random number generation hardware time-stamping NIST compliant SP800-90 • Layer 2 Ethernet switch Combination of a true random number generator and a pseudo-random number generator

freescale.com/ARM 29 Freescale Embedded Solutions Based on ARM Technology

Vybrid VF6xx Family Dual heterogeneous core solution with XGA display, dual USB, dual Ethernet and L2 switch for automation and HMI

The VF6xx is the heterogeneous dual-core VybridVybrid VF6xx VF6xx Block Family Diagram Block Diagram family combining the ARM® Cortex®-A5 and ® Cortex -M4 cores. It includes dual USB 2.0 Debug and Trace Core System Core Analog JTAG AMBA NIC 12-bit ADC x2 OTG controllers with integrated PHY, dual ® ® ® Trace ARM Cortex -A5 ARM Cortex -M4 10/100 Ethernet controllers with L2 switch, Up to 500 MHz Internal and Up to 167 MHz 12-bit DAC x2 External Watchdog Timers PLL up to 1.5 MB of on-chip SRAM and a rich DP-FPU SP-FPU FlexTimer (8-ch.) Interrupt Router Clocks suite of communication, connectivity and NEON FlexTimer (2-ch.) DMA DSP Up to 64-ch. Clock human-machine interfaces (HMI). FlexTimer (2-ch.) L1 I/D Cache Monitors FlexTimer (8-ch.) Power Trace/Debug L2 Cache (Optional) Management Internal Reference ® Target Applications IEEE 1588 Timers Regulators I/D Cache Clocks Trace/Debug Periodic Interrupt Timers Memory Low-/High-Frequency • Motor drives NVIC Low-Power Timers GIC Protection Unit Oscillators • Industrial pumps and fans Memory Display Security (Optional) Communication

• Power inverters Boot ROM TFT LCD x2 Cryptography Module UART x6 CAN x2

• Mobile patient care Tamper Detect DSPI x4 I2C x4 Up to 1.5 SRAM Video Infusion pumps and respirators Secure RTC IEEE 1588 Memory Interfaces Digital and Analog Video 10/100 Ethernet x2 • Energy grid protection Camera Interface Secure RTIC DDR Controller L2 Switch Secure RAM Circuit breakers, monitors and hubs Audio 2x USB NAND Flash Controller OTG + PHY Secure Fuses • Infrastructure control ASRC 2x Secure Digital I/O Quad SPI x2 SAI x4 Secure WDOG Water treatment and gas pipelines ESAI Up to 135 GPIO External Bus Interface SPDIF Secure JTAG (with Interrupt) • Building control Elevator and automated doors • Boot ROM with optional high assurance • ARM Cortex-M4 core running up to 167 • Kiosks with 2D displays boot for secure booting capability MHz, with 16 KB of instruction/data L1 • Service robots • Up to 1.5 MB on-chip SRAM with ECC cache plus 64 KB of tightly coupled support on 512 KB memory, DSP support for single cycle Mixed-Signal Capability 32-bit MAC, single instruction multiple data • 16-bit DDR controller with PHY and extensions and single-precision floating- • Two 12-bit ADCs with configurable ECC support capable of DDR3/LPDDR2 point unit resolution. Single or differential output 800 MHz data rate mode operation for improved noise • Up to 64-channel DMA for peripheral rejection. 500 ns conversion time Performance and memory servicing with reduced CPU achievable with programmable delay loading and faster system throughput • ARM Cortex-A5 core with frequency up block triggering. • Crossbar switch enables concurrent multi- to 500 MHz, with 32 KB each instruction master bus accesses, increasing bus • Two 12-bit DACs for analog waveform and data L1 cache and 512 KB L2 bandwidth generation for audio applications or cache double-precision floating-point, sensor manipulation ARM NEON™ media processing engine for acceleration of media and signal Timing and Control Memory processing, and ARM TrustZone® • Four FlexTimers with a total of 20 • Dual quad SPI supporting a double data security extension channels. Hardware dead-time insertion rate interface, an enhanced read data and quadrature decoding for motor control. buffering scheme, execute-in-place (XiP) and support for dual-die flashes

30 Vybrid Controller Solutions

• Four-channel 32-bit periodic interrupt • Up to six UARTs with IrDA support, • Real-time integrity checker timer provides time base for RTOS task including two UARTs with ISO 7816 Periodic check on system memory for scheduler or trigger source for ADC smart card support. Variety of data unauthorized modifications conversion and programmable delay block size, format and transmission/reception settings supported for multiple industrial • Secure nonvolatile storage HMI communication protocols. Secure nonrollover real-time counter • TFT LCD displays capable of up to XGA • Two CAN modules for industrial network Nonrollover monotonic counter resolution bridging Zeroizable 256-bit secret key • Four DSPI and four I2C interfaces • Tamper detection Multimedia Support for up to six external passive • Digital and analog video interface unit with Reliability, Safety and Security tamper detection pins or five active parallel camera support for 8- and 10-bit • TrustZone Address Space Controllers external tamper detection pin pairs ITU656 video, up to 24-bit digital RGB provide memory protection for all masters • Up to four synchronous audio interfaces on the crossbar switch, increasing software External Peripheral Support implementing full-duplex serial interfaces reliability • Secure digital host controller supports with frame synchronization such as I2S, • Cyclic redundancy check engine validates SD, SDIO, MMC or CE-ATA cards for AC97 and CODEC/DSP memory contents and communication in-application software upgrades, media data, increasing system reliability files or adding Wi-Fi® support • Optional enhanced serial audio interface that provides a full-duplex serial port for • Independent-clocked COP guards against • NAND flash controller supports up to serial communication with a variety of clock skew or code runaway for fail-safe 32-bit ECC current and future NAND types. serial devices, including industry-standard applications such as the IEC 60730 safety ECC management handled in hardware, codecs, SPDIF transceivers and other standard for household appliances minimizing software overhead. processors • External watchdog monitor drives output • FlexBus external bus interface provides pin to safe state external components if glueless interface options to memories • Sony Philips Digital Interface receives and watchdog event occurs and peripherals such as graphics displays. transmits digital audio using the IEC 60958 Supports up to four chip selects. standard consumer format Optional Secure • Asynchronous sample rate converter Application Support For more information, please visit for rate conversion between 32, 44.1, freescale.com/VF6xx. 48 and 96 kHz • Cryptography acceleration and assurance module Connectivity and Supports acceleration and off-loading Communications for selected crypto algorithms such as AES, DES and 3 DES and ArcFour • Dual USB 2.0 OTG controller with Symmetric key blog ciphers integrated PHY • Random number generation • Dual 10/100 Ethernet controller with hardware time-stamping NIST compliant SP800-90 • Layer 2 Ethernet switch Combination of a true random number generator and a pseudo-random number generator

freescale.com/ARM 31 Design Resources

Contents Freescale Enablement Solutions Freescale Tower System...... 33 Processor Expert software ...... 39 Freescale Freedom development platform. . . . 35 PEG graphics software...... 40 Freescale MQX software solutions...... 36 Freescale i MX. development tools...... 42 i MX. applications processor software solutions...... 43 Partner Enablement Solutions ARM...... 44 Garz & Fricke ...... 56 Preferred Partner Green Hills Software . . . . .46 HILF!GmbH...... 56 Preferred Partner Mentor Graphics...... 47 IAR Systems...... 57 Preferred Partner QNX Software Systems. . . .48 iWave Systems...... 57 Preferred Partner Wind River...... 49 Ka-Ro...... 57 Ac6...... 50 Kontron...... 58 Adeneo Embedded...... 50 Lauterbach...... 58 Advantech...... 50 M2CoreTech...... 58 Arcturus ...... 51 MicroSys...... 59 Arium ...... 51 Motomic Software...... 59 Atollic...... 51 NetModule ...... 59 Bluetechnix...... 52 NovTech...... 60 Boundary Devices...... 52 P&E Microcomputer Systems...... 60 congatec AG...... 52 PHYTEC...... 60 Cybercom...... 53 Prevas Technologies...... 61 Digi International...... 53 RealVNC...... 61 Elektrobit...... 53 Rightware...... 61 Embedded Access...... 54 SECO...... 62 Embest...... 54 Silex Technology...... 62 Emcraft...... 54 Sophia Systems ...... 62 Emtrion...... 55 TechNexion...... 63 FirstView...... 55 Timesys ...... 63 FreeRTOS...... 55 TQ-Components...... 63 GainSpan...... 56 Embedded board solutions...... 64

32 Design Resources Freescale Enablement Solutions

Freescale Enablement Solutions Software and development solutions

Freescale The Freescale Tower System Tower System Controller/Processor Primary Elevator The Freescale Tower System is a modular Module (MCU/MPU) • Common serial and development platform for 8-, 16- and 32-bit • Tower MCU/MPU expansion bus signals board MCUs and MPUs that enables advanced • Two 2 x 80 development through rapid prototyping . • Works stand- connectors on back Featuring multiple development boards alone or in side for easy signal Tower System access and side- or modules, the Tower System provides mounting board • Features integrated (LCD module) designers with building blocks for entry-level debugging to advanced development . interface for easy • Power regulation programming circuitry and run control Modular and Expandable via standard • Standardized signal USB cable assignments • Controller modules provide easy-to-use, • Mounting holes reconfigurable hardware Secondary Elevator Size • Additional and • Interchangeable peripheral modules • Fully assembled secondary serial (including communications, memory and Tower System is and expansion approx . 3 5". H x graphical LCD) make customization easy bus signals 3 5". W x 3 5". D • Open-source hardware and standardized • Standardized signal Board Connectors specifications promote the development of assignments • Four card-edge additional modules for added functionality • Mounting holes connectors and customization and expansion connectors for side- Peripheral Module Tower Plug-In (TWRPI) • Uses PCI Express® mounting peripheral • Adds features and • Designed to attach to connectors Speeds Development Time functionality modules that have a (x16, 90 mm/ to your designs TWRPI socket(s) 3 5". long, 164 pins) • Open source hardware and software • Interchangeable with • Adds features and allows quick development with proven other peripheral modules functionality designs and compatible with • Swappable with other all controller/processor TWRPIs • Integrated debugging interface allows for modules • Examples include easy programming and run control via • Examples include serial accelerometers, key standard USB cable interface, memory, Wi-Fi®, pads, touchpads, graphical LCD, motor sliders and rotary control, audio, Xtrinsic- touchpads Cost Effective sensing and high-precision analog modules • Interchangeable peripheral modules can be reused with all Tower System controller modules, eliminating the need to purchase redundant hardware for future designs

• Enabling technologies like LCD, Wi-Fi®, motor control, serial and memory interfacing are offered off-the-shelf at a low cost to provide a customized enablement solution

freescale.com/ARM 33 Freescale Embedded Solutions Based on ARM Technology

Tower System Modules Controller/Processor Modules (8-, 16-, 32-bit) freescale.com/TowerController

Works standalone or as part of Tower System Allows rapid prototyping

Features open source debugging interface Provides easy programming and run control via standard USB cable

Peripheral Modules freescale.com/TowerPeripheral

Can be reused with all Tower System controller modules Eliminates the need to buy/develop redundant hardware

Interchangeable peripheral modules: Enables advanced development and broad functionality Serial, memory, graphical LCD, prototyping, sensor Tower Plug-Ins freescale.com/TWRPI

Designed to attach to any Tower System module with a Adds features and functionality with little investment TWRPI socket(s)

Swappable components Allows for design flexibility Elevator Modules freescale.com/TowerELEV

Two 2 x 80 connectors Provides easy signal access and side-mounting board (i e. ,. LCD module)

Power regulation circuitry Provides power to all boards

Standardized signal assignments Allows for customized peripheral module development

Four card-edge connectors available Allows easy expansion using PCI Express® connectors (x16, 90 mm/3 5". long, 164 pins)

Take Your Design to the Next Level For a complete list of development kits and modules offered as part of the Freescale Tower System, please visit freescale.com/Tower . Partner Modules Tap into a powerful ecosystem of Freescale Tower Geeks Online Community technology alliances for building smarter, TowerGeeks.org is an online design engineer better connected solutions . Designed to help community that allows members to interact, you shorten your design cycle and get your develop designs and share ideas . Offering a products to market faster, these technology direct path to explore and interact with other alliances provide you with access to rich engineers designing with the Tower System, design tools, peripherals and world-class TowerGeeks.org is a great way to discuss support and training . A number of partners your projects, post videos of your progress, have developed modules for the Tower ask questions through the forum and upload System . Some examples include the i MX515. software . With updates through Twitter and ARM® Cortex™-A8 Tower computer module Facebook, it’s easy to get involved . and StackableUSB™ I/O device carrier module from MicroSys, as well as the rapid prototyping Follow Tower Geeks on Twitter system (RPS) AM1 and FM1 modules from iMn twitter.com/towergeeks MicroControl . Visit Freescale on Facebook facebook.com/freescale

34 Design Resources Freescale Enablement Solutions

Freescale Freedom Example Freescale Freedom Development Platform: FRDM-KL25Z Development Platform Overview The Freescale Freedom development platform KL25Z Capacitive 80 LQFP Touch Slider is a small, low-power, cost-effective evaluation and development system for quick J1 I/O J10 I/O Header Header application prototyping and demonstration of Kinetis MCU families . RGB LED

J2 I/O J9 I/O Each platform offers an easy-to-use Header Header mass-storage device mode flash , virtual serial port and classic programming MMA8451Q and run-control capabilities . Reset

It’s easy to get started . Simply choose your preferred Freescale Freedom development KL25Z OpenSDA hardware, select compatible software, connect USB with the community and go .

Features • Cost-effective (starting at $12 95). OpenSDA: Open-Standard Get Started • Small size (approximately 3 25". x 2"), fits within a mint tin Serial And Debug Adapter Learn more at freescale.com/freedom . The integrated debug circuit, OpenSDA, • Arduino™ footprint-compatible with support Get your FRDM-KL25Z mbed- for a rich set of third-party expansion bridges serial and debug communications enabled from mbed.org . boards (“shields”) between a USB host and an embedded target Enabled • Easy access to the MCU I/O pins processor . OpenSDA features a mass storage device bootloader that provides a quick and • Integrated open-standard serial and debug Enable your OpenSDA as a Segger J-Link Lite adapter (OpenSDA) with support for several easy mechanism for loading different OpenSDA at segger.com/opensda.html . applications such as flash , industry-standard debug interfaces For a complete list of boards offered as part of run-control debug interfaces, serial-to-USB the Freescale Freedom development platform, Software Enablement converters and more, including: please visit freescale.com/Freedom . and Support • Freescale mass storage device flash • Rich ARM® ecosystem includes , IAR, programming interface eliminates tool SEGGER, CodeWarrior, installation for quick evaluation of mbed and more demonstration applications • Freescale MQX™ Lite RTOS: Very light • P&E Multilink interface provides MQX kernel for resource-limited MCUs run-control debugging and compatibility that allows applications to run with less with IDE tools than 4 KB RAM • SEGGER OpenSDA firmware makes • Processor Expert software and embedded OpenSDA compatible to J-Link Lite components • mbed interface application provides • FRDM-KL25Z is mbed-enabled through the connection to mbed online tools and built-in USB flash programming interface supports a virtual serial port, CMSIS-DAP, (OpenSDA) . Simply plug it in, drop on an and a mass-storage programming interface “mbed interface” program binary and it’s • CMSIS-DAP interface (standalone): New up and running ARM standard for embedded debug interface

freescale.com/ARM 35 Freescale Embedded Solutions Based on ARM Technology

Freescale MQX Comprehensive Freescale Solution Software Solutions Comprehensive Freescale Solution

The increasing complexity of industrial Demo Code Applications Customized Application applications and expanding functionality Development Tool Applications with MQX Task-Aware Application Tasks and of semiconductors are driving embedded Debugging: Industry-Specific Libraries developers toward solutions that combine CodeWarrior Development Studio Ethernet USB proven hardware and software platforms . Discrete Driver, MQX™ RTOS (RTCS) IAR Embedded Third Party Optional Workbench and Freescale Services Enablement File System CAN To help accelerate time to market and Layer Keil MDK improve application development success, Core Services MQX RTOS we offer the MQX™ real-time operating system Processor Expert (RTOS) with TCP/IP and USB software BSP/PSP HAL stacks and peripheral drivers to Kinetis ARM® MCU, Vybrid controller solutions, PX series Open Source BDM and BDM/JTAG MCU Hardware Power Architecture® MCU and ColdFire MCU Third Party: Emulator/Probe customers at no additional charge . MQX PC Hosted On Device solutions also support several i.MX MPUs* . The combination of Freescale MQX software Freescale MQX Software Solutions solutions with our silicon portfolio creates a comprehensive source for hardware, software, tools and services . MQX RTOS: Customizable Component Set Learn more at freescale.com/MQX . MQX™ RTOS: Customizable Component Set Reducing Cost, Accelerating Success Name Services Interrupts Providing complimentary Freescale MQX Queues software solutions with our silicon products Messages Partitions helps to alleviate much of the initial software Utilities Task Events investment hurdle faced by embedded Management Task Errors Initialization developers . Comparable full-featured software Semaphones Lightweight Core Memory offerings may cost developers as much as Watchdogs Semaphores Core Services $95,000 (USD) in licensing fees . Mutexes Task Queue Automatic Task Freescale MQX is deployed as production- Timers Scheduling Creation RR and FIFO IPCs ready source code, including communications Scheduling software stacks and peripheral drivers, at no Formatted Exception I/O Handling additional cost . Freescale MQX is provided with I/O Kernel a commercial-friendly software licensing model, Subsystems Logs Log enabling developers to keep their source As-Needed modifications while being able to distribute the required binary code .

Full Featured, Proven and Scalable The MQX RTOS has been the backbone of embedded products based on Freescale silicon for more than 15 years . MQX software deployment spans a broad range of market segments and leading manufacturers worldwide .

*via partner support.

36 Design Resources Freescale Enablement Solutions

The Freescale MQX RTOS offers powerful, preemptive real-time performance with MQX Real-Time TCP/IP Communication Suite optimized context switch and interrupt time MQX Real-Time TCP/IP Communication Suite ( RTCS) to enable fast, highly predictable response RPC *SSH *XML *SMTP *POP3 HTTP *SNMP (v3) times . Its small, configurable size conserves XDR Telnet FTP TFTP SNMP DNS SNTP (v1, v2) memory space for embedded applications and *SSL it can be configured to take as little as 6 KB of Sockets BootP DHCP RIP ROM, including kernel, interrupts, semaphores, queues and memory manager . The Freescale TCP UDP ICMP IGMP MQX RTOS offers a straightforward application programming interface with a modular, NATIP CIDR component-based architecture that makes it IP-E IPCP PAP CHAP CCP LCP very scalable . Components are linked in only ARP PPP if needed, preventing unused functions from bloating the memory footprint . Plug-ins, such Ethernet Serial HDLC as security, industrial protocols and graphical *Denotes optional products interfaces from our strong network of partners, can also be added . Application Presentation Session Physical Network Data Link Transport

Freescale MQX Add-on Software • Network management: Support for SNMP version 1 and 2 is built into RTCS . EAI offers MQX SNMPv3 .

Real-time TCP/IP communication suite (RTCS) • XML parsing and framing: The MQX XML component enables your device to accept data in XML, as well as send optional components data packaged in XML Available from Embedded Access Inc . • Email communication: The MQX SMTP module provides your device with outbound email communication and MQX POP3 provides the capability to accept incoming email communication

NanoSSL™ and NanoSSH™ software by Mocana • NanoSSH: Provides privacy, authentication and ensures data integrity between a secure server and its clients Available from freescale.com/nanossl, freescale.com/nanossh • NanoSSL: Cyptographic protocols that provide security for communications over networks such as the Internet

PEG+ graphics library • Portable embedded GUI library designed to provide a professional-quality GUI for embedded systems applications Available from swellsoftware.com • Small, fast and easily ported to virtually any hardware configuration capable of supporting graphical output

SEGGER emWin graphics library/GUI • emWin is designed to provide an efficient, LCD controller-independent GUI for any application that operates with Available from SEGGER a graphical LCD

Freescale eGUI: Graphical LCD driver • The complimentary Freescale embedded graphical user interface (eGUI) allows single-chip MCU systems to implement a graphical user interface and drive the latest generation of color graphics LCD panels with integrated Available from freescale.com/EGUI display RAM and simple serial peripheral interface (SPI) or parallel bus interface

CANOpen master/slave for embedded devices • Unburdens the developer from dealing with CAN-specific details such as bit-timing and Available from IXXAT implementation-specific functions

• Profinet RT for I/O device • EtherNet/IP for adapter and scanner Industrial network and field bus protocols • Ethernet powerlink for managing and controlled nodes Available from IXXAT • EtherCAT for slave nodes • SERCOS III for slave devices • Precision time protocol IEEE® 1588-2008 (v2) • SFFS is a safe flash file system that can support almost any NOR or NAND flash device SFFS flash file system • Provides wear leveling, bad block handling and ECC K30C algorithms to ensure you get optimal use out of a Available from Embedded Access, Inc . flash device The uButterfly Browser runs on MQX and browses, parses and renders HTML/CSS content Microbrowsers • Browse HTML 4/CSS 2 .1 web pages Available from Motomic Software • Enable dynamic HTML, active graphics and media • An optional SDK allows browsing embedded/instanced within C, C++ or Qt apps (available as a separate product)

OS Changer—Reuse application on MQX OS Changer is a C/C++ source-level virtualization technology that allows you to easily reuse your software developed for one OS on MQX while providing real-time performance . Available OS changer porting kits: VxWorks® Porting Kit, Available from MapuSoft Technologies pSOS, Linux®/POSIX®, Windows®, Nucleus, micro-ITRON Floodgate provides protection from Internet-based threats by controlling what packets are the embedded device Floodgate Packet Filter, an embedded firewall product processes . Encryption and authentication may protect your device from a hacker trying to access your device, but Available from Icon Labs Floodgate can prevent the hacker from even attempting to connect .

freescale.com/ARM 37 Freescale Embedded Solutions Based on ARM Technology

Freescale MQX Real-Time Features and Benefits TCP/IP Communication Suite Freescale MQX™ RTOS The Freescale MQX real-time communication • Context switch and low-level interrupt routines hand-optimized in assembly Small code density • Can be configured to a memory footprint of 12 KB ROM and 2 5. KB RAM on CFV2, suite (RTCS) is a fast, efficient and low-footprint including kernel, task applications, LW semaphore, interrupt stack, queues and embedded Internet stack that supports a rich memory manager • 25 components—eight core, 17 optional set of standard TCP/IP protocols . It comes Component-based architecture • Components are linked in only if needed, preventing unused functions from bloating complete with a number of application layer the memory footprint protocols such as Telnet, FTP, SNMP v1 and Full and lite services • Further control of size, RAM/ROM utilization and performance options • Threads execute in order of priority SNMP v2 . A number of optional, pre-integrated Real-time, priority- based preemptive • Allows high-priority threads to meet their deadlines consistently, no matter how protocols and products are also available from multithreading many other threads are competing for CPU time third parties . The scalability of the Freescale Optimized for Freescale • Optimized assembly code to accelerate key real-time portions of the RTOS such as architecture context switching MQX RTCS allows developers to easily define • Allows for faster development time by relieving engineers from creating an efficient Faster development scheduling system and interrupt handling the feature set needed to accommodate a time • Use of multiple communication protocols such as USB or TCP/IP variety of ROM and RAM memory budgets . • Provides a framework with a simple API to build and organize the features across Code reuse our broad portfolio of embedded processors • Writing code for MQX is straightforward with a complete API and available reference Intuitive API Certifiable to Medical and documentation Aerospace Standards Fast boot sequence • Ensures the application is running fast after the hardware has been reset • Messages can be either from a system/private pool and sent with either an urgent Even for applications that do not require formal Simple message status, or a user-defined priority, and can be broadcast or task specific passing between certification, the robustness of MQX provides processors • For maximum flexibility, a receiving task can be operating on either the same CPU as the sending task or on a different CPU within the same system a trusted platform that has been proven Freescale MQX RTCS in thousands of time-critical, sophisticated • Specifically designed for adding TCP/IP connectivity to embedded systems applications . For designs that do have a • Provides fully compliant feature set of networking stacks and configurable enough to fit into the small memory confines of an embedded devices formal certification process to follow, MQX Designed for embedded applications • Tightly integrated with Freescale MQX RTOS device drivers for Ethernet and other is an excellent choice . Past licensees have access layers certified MQX-based applications to medical • Tested on Freescale embedded architectures • Implemented as a C library Small configurable specifications (CFR 820 30. Part 21, IEC • Allows only the features and protocols used by the application to be included in the image memory footprint 60601-1) and the aerospace requirements • Can be configured to take as little as 30 KB of ROM listed under DO-178b . Safety-critical • Provided with a large number of standard protocols RTCS protocol support • One product allows real TCP/IP applications without the need to acquire other applications based on MQX include eye surgery application-level protocols • RTCS can be extended to support additional industry-standard protocols, including equipment, drug injection equipment, radiation Advanced networking security, advanced routing/network access, embedded web server/email support protocols for RTCS dose monitoring equipment, aircraft braking and network management protocols • Customizable suite can meet a wide range of application RAM and ROM systems and aircraft navigation equipment . Very scalable requirement by selectively choosing only the necessary protocols for your design • Great flexibility in the way you provide connectivity to your device, ranging from Full featured MQX RTOS Support simple application such as Ethernet-serial to complex gateway systems Support for standard • RTCS not only provides application layer protocols but is a complete OSI model Freescale offers a range of MQX RTOS protocols and sockets solution that spans data link to application layer standard protocols support options based on your design needs . Freescale MQX File System Whether you are just getting started with MQX • Provides full MS-DOS compatible file system that is configurable to fit into small Designed for memory footprint RTOS or are deep into your development embedded applications • Brings support for desktop PC features such as long file names, multiple disk project, it’s easy to get the support you need, volumes and directory handling to embedded systems • The MFS FAT file system provides a portable, compatible implementation of the when you need it . MS-DOS file system and library of file system functions Portability and • File system functions are separated from the device driver functions, allowing for Learn more at freescale.com/MQX/support . modularity increased modularity • Supports different types of storage media • Trivial file system is a simple read-only file system used to avoid the need of MFS in HTTP Freescale MQX USB Host/Device Stack Designed for • Specifically designed for adding USB functionality to embedded systems embedded applications • Provides fully compliant USB 1 1. and 2 0. feature set of stacks and drivers Small configurable • Designed to fit in a small <10 KB RAM with code size of <32 KB memory footprint • Supports personal healthcare device class (PHDC), human-interface device (HID), Supports a variety of mass storage device (MSD), communications device class (CDC), audio class, class functionality On-The-Go USB 2 0. standard supplement and PHDC USB.org standard classes

38 Design Resources Freescale Enablement Solutions

Processor Expert Features Benefits • Allows an application to be specified by the functionality Software needed Graphical User Interface Processor Expert is a rapid application design • framework with multiple detachable views, multimonitor tool that combines easy-to-use component- support and highly configurable look and feel based application creation with an expert • Components and drivers for on-chip peripherals (BitIO, SCI, knowledge system . CAN, USB, Timers, LCD, etc ). • Bare metal (no RTOS) and RTOS aware, including MQX Embedded Components • CPU, on-chip peripherals, external • Additional components can be created or downloaded and peripherals and software functionality are added to the system, e g. . FreeRTOS or off-chip devices encapsulated into embedded components . (EEPROM, transceivers, LCD displays, etc ). • Each component’s functionality can be • Creates tested, optimized C code tuned to application needs and the selected Freescale device tailored to fit application requirements by Automatic Code and • Automatically generates text and open source doxygen modifying the component’s properties, Documentation Generator documentation for HTML or PDF formats methods and events . • Static code generation so generated drivers can be used • When the project is built, Processor Expert without the need for Processor Expert in projects

automatically generates highly optimized • No need to read the data sheet: Processor Expert knows the embedded C code . pins available, which pins can be used in which mode and what settings are possible Processor Expert software is integrated in • Generates tested and working code sequences for the most complicated peripheral usage: configuring the MCU clocks is Eclipse and can be used both with Eclipse or Built-In Knowledge Base just a matter of a few clicks with non-Eclipse users for all the supported • Direct access to low-level MCU registers and functionality using platforms . It nicely integrates into the Eclipse highly optimized PDD macros or external IDEs and takes advantage of • Immediately flags resource conflicts and incorrect settings so errors are caught early in the design cycle the Eclipse framework and ecosystem with version control, automatic documentation and • Allows user-specific hardware-independent embedded advanced editing functionality . components to be created Component Development Environment • Graphical user interface with wizard to assist software driver The Processor Expert Knowledge Base code and component generation • Components and driver code sharable with other developers incorporates all the information from the data sheets: It knows everything about pin muxing, clock and interrupt configuration, hardware and peripheral capabilities, and offers a Processor Expert Software: Microcontroller Driver Suite convenient graphical user interface to configure it . Processor Expert checks the consistency of the MCU configuration settings and flags errors that otherwise are hard to find . Using Processor Expert helps get you started with a new design in minutes, and there is no need to read thousands of reference manual pages first .

Processor Expert includes the Component Development Environment (CDE) . With this, you do not have to accept generic one-size-fits- all drivers . You can design custom peripheral drivers ideally suited to your needs, without having to know everything about the hardware . A wizard guides you through creating software components that you can use and distribute to bring software development and engineering to the next level .

freescale.com/ARM 39 Freescale Embedded Solutions Based on ARM Technology

PEG Graphics PEG Graphics Software Software Freescale provides graphical user interface (GUI) solutions for embedded devices . Freescale’s PEG Pro, PEG+ and PEG Lite product offering includes a GUI library for embedded development that works tightly with real-time operating systems . The graphical development solution allows developers to layout user interface screens and controls using the PEG library and external resources to generate C++ code .

The PEG product family is designed to meet widely varying power, performance and memory requirements, helping to:

• Reduce product development risk • Lower in-house development costs • Accelerate time to market

PEG software accelerates GUI design for embedded devices by allowing developers to Target Applications create prototypes on a Windows®- or Linux®- Appliance Consumer General Purpose Medical Factory Automation based PC by providing a complete visual • Human-machine • Digital TV and • Connected • Blood glucose • Industrial layout and design tool to enable GUI design interface set-top box multimedia devices monitors automation applications to take place in parallel to the embedded • Small home • Automotive • Electro- • Human-machine software/hardware development . appliances • Handheld infotainment cardiogram interface • Large GPS units • Home security • Ventilators The PEG WindowBuilder automatically appliances • Printers systems • Patient monitors generates C++ source code that is ready to • Smartphones • Test and • Defibrillators be compiled and linked into any application, • Digital cameras measurement devices further accelerating the deployment of the • POS kiosks final product .

Freescale’s PEG graphic solutions work hand Features Benefits in hand with real-time operating systems to • Highly customizable, small footprint for • Reduce development time and costs incorporate LCD screens and display interfaces cost-sensitive applications • Rapid user interface development into future products . The GUI development • Multilingual support, including Unicode • Resolve product usability issues before tools address a variety of embedded systems, • High color, including true anti-alias line and committing to a physical design including consumer electronics, industrial, font drawing support and per-pixel alpha • Standardize on graphics software solutions medical and communications markets . blending across products • Designed for cross platform application • Differentiate your product with a development, highly portable across OS sophisticated user experience and CPUs • Flexibility in selecting the processor/ • Screen transition effects: slide-in, wipe, fade graphics controller • Touchscreen support • Support for multiple graphics layers • Runtime “theme” support • Button, sliders, scrolling text, dials, progress bars, multiline text box and spreadsheet • Integrated font creation and image conversion utilities

40 Design Resources Freescale Enablement Solutions

PEG Graphics Software Supported Ecosystem

PEG Lite PEG+ PEG Pro and Partners

• Free source-code license on • Multiple window updates • Screen transitions RTOS Freescale silicon • Alpha-blended images • Blending of transparent images • Analog Devices VDK • Up to 16-bit color and windows ® • Runtime image decoders and • Mentor Graphics Nucleus • Very small footprint language resources • True anti-aliasing • Phar Lap ETS • Basic widgets • Custom widget integration • Gradient manager • CMX-RTX™ • Dual-language capable • Dynamic themes • Transparent text with drop • eCosPro shadow effects • Multilanguage capable • Freescale MQX™ One of the smallest footprints and most efficient code bases available • Enea OSE Starting at 42 KB Starting at 48 KB Starting at 64 KB • eSol PrKERNEL eT-KERNEL v4 Typical 42–52 KB Typical 48–72 KB Typical 64–96 KB • Express Logic ThreadX® Professional Services provides custom consulting and software development, including driver development UI • Green Hills INTEGRITY and μ-velOSity™ development and graphic design . • C EXECUTIVE® • Kadak AMX™ Licensing Option for PEG Software • Keil RTX, ARTX (Discounted pricing shown below is for Freescale silicon only) • LynuxWorks ® Limited Runtime Unlimited Runtime • LynxOS Part Number Part Number License (<10 K) Product License (>10 K)** • Micrium µC/OS-II PEG Lite* DL-PEGLT-CI-F $0 DL-PEGLT-PS-F $0 • Micro Digital SMX® PEG+ DL-PEGPL-CI-F $7,000 DL-PEGPL-PS-F $21,000 • Microsoft® WinCE, 2000, XP, Vista PEG Pro DL-PEGPR-CI-F $7,500 DL-PEGPR-PS-F $22,500 • On Time Additional Seat PEG-WB-SEAT-F $1,500 • Quadros RTXC Developer Project • Any uITRON compatible Support and Maintenance License (DPL) Part Number Part Number Renewal for Unlimited ® ® Technical Support • WindRiver VxWorks Runtime Product License Renewal*** Hardware PEG Lite DLT-PEGLT-CI-F $1,000 DLT-PEGLT-PS-F $3,000 • Freescale ColdFire and Kinetis MCUs, PEG+ DLT-PEGPL-CI-F $1,400 DLT-PEGPL-PS-F $4,200 i.MX processors and Power Architecture® PEG Pro DLT-PEGPR-CI-F $1,500 DLT-PEGPR-PS-F $4,500 cores * PEG Lite is available as a free license for Freescale silicon (initial 12 months of support not included) . • Renesas H8,SH ** Additional unlimited licensing options available to extend a license to a product family and to multiple MCUs . • MIPS R3000, R4000 *** A PEG license includes 12 months of technical support and maintenance and three WindowBuilder developer seats . • All ARM® cores, including NXP and Samsung • ®/Marvell StrongARM PEG Window Builder • Altera® Nios® II PEG Software Architecture • Analog Devices Blackfin® for Rapid Development PEG Software Architecture • WindowBuilder allows a designer to layout Application Layer Free Evaluation—freescale.com/peg each of the screens for a project through a For other licensing options, please contact simple-to-use interface, providing a “what you PEG Library Input [email protected] or 1-810-385-2893 see is what you get (WYSIWYG)” display . Driver Pricing subject to change. For current pricing contact a sales representative. LCD Driver • Full WYSIWYG development RTOS RTOS Simulation environment for PEG+ Driver GUI Interface: Three Basic LCD Display and PEG Pro Drivers Runs on PC/Linux®/X11 to allow proof of • LCD driver concept development Enables parallel hardware/software • RTOS driver development • Input drivers

Made available for free evaluation PEG’s modular form enables a rapid development process • The core library interfaces to different RTOSs, input devices and LCD controllers by replacing the underlining drivers freescale.com/ARM 41 Freescale Embedded Solutions Based on ARM Technology i.MX Development SABRE Board and Platform for Smart Devices Based on the i.MX 6 Series—Features Tools i.MX 6 Series SABRE Board vs. Platform i.MX Community SABRE Board for Smart Devices SABRE Platform for Smart Devices • i.MX 6Quad 1 GHz CPU • i.MX 6Quad 1 GHz or i.MX 6DualLite 1 GHz CPU Take your designs to the next level, reduce • Memory • Memory 1 GB DDR3 1 GB DDR3 your design complexity and accelerate your 8 GB eMMC flash 8 GB eMMC flash • Display options 4 MB SPI Nor flash time to market with i MX. development boards HDMI connector • Display options 2x LVDS connectors HDMI connector and solutions . Our i MX. development boards LCD expansion port connector (parallel, 24-bit) 10" WXGA LVDS panel with capacitive touch • Audio features 1x LVDS connector support a broad portfolio of products, include Stereo audio codec LCD expansion port connector (parallel, 24-bit) 2x 3.5 mm audio ports (stereo headphone and microphone) EPDC expansion port connector (i.MX 6DualLite) readily available design files and are supported • Connectivity and storage • Audio features 2x full-size SD/MMC card slots Stereo audio codec by a rich ecosystem and community of mPCIe connector 2x 3.5 mm audio ports (stereo headphone and microphone) SATA 22-pin connector 2x board mounted digital microphones developers at imxcommunity.org . 10/100/1000 Ethernet connector • Connectivity and storage USB OTG connector 2x full-size SD/MMC card slots 1x micro USB connector mPCIe connector • Other SATA 22-pin connector (i.MX 6Quad) 20-pin JTAG connector 10/100/1000 Ethernet connector SABRE: Smart Application Sensors: accelerometer, compass USB OTG connector Expansion connector: MIPI CSI, I2C, SSI, SPI 1x micro USB connector Blueprint for Rapid Engineering Power management IC: Freescale PF Series 101 GPS module with antenna • Other 20-pin JTAG connector Accelerate your time to market with the Smart 2x 5 MP cameras Sensors: accelerometer, compass, ambient light sensor Application Blueprint for Rapid Engineering Expansion Connector: MIPI CSI, I2C, SSI, SPI (SABRE) series of market-focused development Red indicates change from column to the left Power management IC: Freescale PF Series 101 systems based on the i MX. family of multimedia applications processors . SABRE platforms SABRE Platform for Smart Devices i.MX Evaluation Kits (EVKs) deliver the advanced technology features The SABRE platform for smart devices is Our EVKs offer developers a cost-effective required for your market’s next-generation based on the i MX. 6Quad and i MX. 6DualLite platform to develop, debug and demonstrate applications, including tablets, e-readers processor families and can be targeted their next great product without compromising and automotive infotainment systems . From toward any device requiring an intelligent performance . EVKs support the features of hardware accelerators and multimedia display, connectivity, low power and amazing the device in a small, single-board design with codecs to an expansive portfolio of software user experience . It provides a foundation for optional add-on modules to enable developers development tools including board support enabling new product designs in markets such with a complete development platform for packages (BSPs), SABRE platforms come as portable computing, education, industrial, less than $600 . A range of peripheral and highly optimized with the best offerings from digital displays, medical and home automation . connectivity options makes the EVK suitable for Freescale and our technology partners so you The SABRE platform for smart devices developing a wide range of consumer, industrial can maximize the performance and power includes complete hardware design files, and automotive applications . savings features of the processor in your design . BSP for Android and Linux, and a bootable i MX28. evaluation kit: SD card containing an Android BSP image . SABRE Reference Designs freescale.com/iMX28evk More information is available at SABRE Board for Smart Devices freescale.com/SABRESDP . i MX50. evaluation kit: freescale.com/iMX50evk The SABRE board for smart devices introduces developers to quad-core processing and i MX53. quick start board: bleeding-edge multimedia and graphics freescale.com/imx53QSB applications on the i MX. 6Quad processor . i MX. 6SoloLite evaluation kit: Developers are able to work with the majority freescale.com/6SLevk of the i MX. 6Quad processor’s primary features while remaining schematically compatible with their i MX. 6Quad, i MX. 6Dual, i MX. 6DualLite and i MX. 6Solo designs . The SABRE board for smart devices includes complete hardware design files, BSP for Android™, Linux® and Windows® Embedded (via third party) and a bootable SD card containing an Android BSP image . More information is available at freescale.com/SABRESDB .

42 Design Resources Freescale Enablement Solutions i.MX Applications Processor Software Solutions

Our i MX. portfolio is provided with highly Linux® Microsoft® optimized BSPs, multimedia codecs and Quickly get your Linux-based designs started Windows® Embedded Compact BSPs for i MX. middleware to shorten product development with the i MX. family of processors by using our applications processors are available through and speed time to market all while maximizing Linux development tools . Our goal is to provide Freescale’s wide ecosystem of partners and the capabilities of the i MX. series feature sets . you with a comprehensive Linux environment include offerings such as Windows Compact 7 and Windows Compact 2013 . that is easy to develop your designs and Android™ reduce your time to market . Freescale supports the Android OS through Linux board support packages (BSPs) are BSPs for the i MX. range of application tested and certified ensuring a fully operational processors and featured tools such as toolchain, kernel and board-specific modules the SABRE platform for smart devices, that are ready to use together within a fixed based on the i MX. 6Quad and i MX. 6DualLite For more information, visit configuration for i MX. hardware development processor families . freescale.com/iMXWindowsEmbedded . tools . These BSPs provide the foundation you Using a layered approach with the right selection need to begin your project quickly . of components to interface into the Android stack i.MX High-Performance results in a more complete and ready solution . Features Multimedia Codecs Designers can directly develop applications on • Linux kernel and device drivers These high-performance multimedia codecs this integrated solution or easily modify their • Applications/services enable a series of popular audio, video and own drivers based on our reference code . • Libraries image applications using i MX. applications The i MX. family of applications processors • GNU tools (e g. ,. , linkers, etc ). processors . The multimedia codecs are provided as a standalone software package running Android OS is an excellent platform for • Deployment mechanisms building a high-performance, low-power and to support various use cases, such as audio cost-effective mobile device . playback, video record and image display, with codecs for H 264,. H 263,. MPEG-4, Windows Features Media Video (WMV), Windows Media Audio • Integrated and tested Android framework (WMA), Advanced Audio Encoding (AAC) and with optimized codecs, graphics and a Enhanced aacPlus . Also available are imaging For more information, visit development and debug environment codecs such as JPEG, GIF, PNG and BMP . freescale.com/iMXLinux . • Common code base across i MX. SoCs The multimedia codecs support the GStreamer to greatly reduce porting effort to next- multimedia framework running on the Linux generation i MX. processors operating system and OpenMAX™ framework • Source code for selected drivers and for Android . middleware For more information, visit • Source code for unit tests freescale.com/iMXtools . • Toolchain included with the BSP • Documentation (e g. ,. reference manual, user guide, release notes)

For more information, visit freescale.com/iMXAndroid .

freescale.com/ARM 43 Freescale Embedded Solutions Based on ARM Technology

Partner Enablement Solutions Design and support ecosystem for embedded solutions

ARM Keil ULINKpro Keil MCU Development Kit The Keil MCU Development Kit (MDK-ARM) supports all ARM® Cortex®-M MCUs, including our Kinetis MCU family . It combines the μVision™ IDE/ with ARM Compiler toolchain to provide developers with an easy- to-use, feature-rich environment .

MDK provides many unique features designed to help you quickly develop your project .

• Device database: Automatically configures device and project parameters • Trace and analysis tools: Optimizes and verifies the application by measuring performance and code coverage • Fully functional RTX real-time operating system: Adds resource management to the application • Extensive middleware: MDK-ARM Professional contains a rich set of middleware components, including a TCP/ IP networking stack, USB host and device support and a flash file system . Kinetis K60 and K70 devices are fully supported . MDK-ARM consists of the several powerful • Performance analyzer: Displays the All Cortex-M devices with ETM provide components for debugging and analysis: execution time recorded for functions, instruction trace . The Keil ULINKpro is the only including the time spent in a function and trace adapter that streams instruction trace • ARM compilation tools: Deliver optimized, the number of calls to it . directly to your PC . This enables debugging high-performance code for all ARM-powered • Execution profiler: Records execution of historical sequences, execution profiling devices . Further code size savings can be statistics for each CPU instruction, gained by selecting the MicroLib library . and code coverage analysis . The virtually including the execution count and unlimited stream of trace information enables • Debugger: Can be configured as a execution time for each instruction . MDK to provide complete code coverage of simulator or target debugger and provides All ARM Cortex-M-based devices feature ARM a single environment for application testing . your program . Code coverage identifies every CoreSight™ technology with advanced debug instruction that has been executed, ensuring • System viewer: Provides an advanced method and trace capabilities . With a ULINK adapter it thorough testing of your application . This is an of viewing and modifying peripheral registers . allows the user to control the CPU, single step essential requirement for complete software • Analysis tools: Work with the simulator or one source or assembler line, set breakpoints verification and certification . with target hardware via the ULINKpro™ while the processor is running, and read/write streaming trace adapter . memory and peripheral registers on the fly . All For more information, visit • Configurable logic analyzer: Provides a ARM Cortex®-M3 and Cortex®-M4 devices keil.com/freescale . graphical display of signals and variables . provide data and event trace . MDK provides Users can display the specific instructions a number of ways to analyze this information that caused variable changes . while your system is running, including a trace • Debugger: Provides code coverage window, debug viewer, exceptions window, statistics to verify applications that require event counters and a logic analyzer . certification testing and validation .

44 Design Resources Partner Enablement Solutions

ARM DS-5 Debugger and DSTREAM Development Studio 5 (DS-5) The ARM® Development Studio 5 (DS-5™) is a complete suite of software development tools for ARM processor-based cores, including Vybrid controller solutions and i MX. applications processor families . DS-5 accelerates software development by providing an easy-to-use, integrated toolchain .

Key Features and Benefits • Support for all ARM processors • Integration with the industry standard Eclipse IDE, which provides a large ecosystem of third-party plug-ins • Powerful C/C++ compilation tools • Debugger supports all phases of development from bootloader to Linux®/RTOS kernel and applications • System-wide performance and power analysis for Linux and Android™ • Correlation of performance bottlenecks (e g. ,. cache misses, interrupts) and software execution • Fast simulator for ARM software Key Features • Multiple channels: Each of the three development on the host computer with • Code download at speeds of up to channels is independently configured to typical speeds above 250 MHz 2500 Kb/s permit simultaneous measurement of peak and average current, voltage and/or power • Support and maintenance contract • JTAG clocks of up to 60 MHz provide fast on key system components . • Flexible C/C++ editor and project manager software upload over the existing debug port • Energy information: Just select any time • Large 4 GB trace buffer enables long-time slice on the Streamline Timeline view to DSTREAM™ trace on fast targets accumulate power readings and estimate the The ARM DSTREAM high-performance debug energy consumed within that time window . and trace unit enables software debug and Energy Analysis • Smart data synchronization: Streamline optimization on any ARM processor-based The ARM Energy Probe is an easily deployable uses advanced DSP techniques to detect hardware target . DSTREAM enables the Streamline accessory that collects voltage, known power consumption patterns on the connection of DS-5 debugger and third-party current and power from up to three probe points CPU to synchronize performance data and to ARM processor-based devices in the system to allow software developers to the probe readings, which are received via an via JTAG or serial-wire debug . DSTREAM uses optimize system energy consumption . independent USB connection to the host PC . FPGA acceleration to deliver high download speeds and fast stepping through code on Key Features single- and multi-processor devices . • Simple setup: No dependency on ICE or trace units means easy connection and cost- effectiveness to enable wide deployment .

freescale.com/ARM 45 Freescale Embedded Solutions Based on ARM Technology

Green Hills Software Runtime Software: Application μ-velOSity™ real-time microkernel Hardware Development Tools Specific, Middleware and The royalty-free μ-velOSity microkernel is the Green Hills Processor Probes smallest of the Green Hills Software RTOS Operating Systems The Green Hills Probe is an advanced multicore, family . Its frugal memory footprint of 2 6. INTEGRITY® Multivisor™ Secure multi-user hardware device that connects KB and simple programming interface are Virtualization through the JTAG or BDM . It enables deep perfect for lightweight applications running on visibility into the processor during board bring- INTEGRITY Multivisor technology is the Freescale MCUs without an MMU . up and, when used with the MULTI debugger, industry’s most secure, reliable and flexible brings ultra-fast program download and run- embedded virtualization solution . Leveraging control for multicore application debugging . hardware virtualization features found on the ARM® architecture core, INTEGRITY Multivisor Green Hills Software’s SuperTrace™ Probe virtualization guarantees the safe and secure adds trace capability to the Green Hills Probe execution of open guest operating systems Software Development Tools and can capture up to 8 GB of trace data such as Linux®, Android™ and GENIVI® MULTI® IDE, TimeMachine™ on multicore ARM architecture cores . With running alongside system-critical applications and optimizing compilers its exceptionally large storage capability, the and services found in automotive, networking The MULTI IDE is a complete integrated SuperTrace Probe can collect billions of trace and industrial applications . development environment for embedded frames, radically extending program visibility applications written in C/C++, and Embedded to help find more bugs faster and with INTEGRITY® real-time C++ . It includes optimizing compilers, advanced more precision . operating system multicore debugger, profiler, run-time memory The flagship of the Green Hills Software checking, MISRA C quality checker, project Software and Solutions family of operating systems, the INTEGRITY builder, editor, instruction set simulator and more . Integrator (SSI) RTOS provides an advanced partitioning Custom Engineering Services architecture for embedded systems that The TimeMachine debugging suite extends the requires guaranteed reliability, absolute security MULTI environment by leveraging trace data Green Hills Software’s Custom Engineering and optimum deterministic real-time response . from Freescale processors, enabling embedded Services offer system architecture guidance On Freescale processors with an MMU, the software developers to execute their application and software development services, such as INTEGRITY RTOS guarantees applications the in reverse and find and fix bugs faster . adding special features, customizing existing necessary CPU and memory resources while products and inventing new technologies . fully protecting the operating system, device Services include custom development for drivers and other applications from errant and new architectures, DSP specialization, Frozen malicious code . Unlike other memory-protected Branch, MULTI IDE customization, advanced operating systems, the INTEGRITY RTOS never debugging, third-party extension/porting, sacrifices real-time performance for security hardware solutions, customer services and and protection . instruction set simulators .

Supported ARM families • i MX,. Kinetis and Vybrid product families • ARM Cortex®-M4/M0, A5/M4, A8/A9, A15 processors

For more information, please visit freescale.com/GreenHills .

46 Design Resources Partner Enablement Solutions

Mentor Graphics Runtime Software: Application Accelerating ARM-Based Design Specific, Middleware and Integrated UI Operating Systems TOOLS ® Mentor Embedded Nucleus Integrated Automotive ® LINUX RTOS AUTOSAR RTOS, Mentor Embedded Linux , SourceryTM Android™ CodeBench Automotive Nucleus VSTAR Technology With the Nucleus RTOS, you can rely on an Toolchain Platform Middleware Medical RTOS deployed on nearly three billion devices . Advanced In addition to a scalable kernel with a footprint Analysis Mentor as small as 2 KB, you can make use of a rich Embedded AUTOSAR Linux set of networking, connectivity, storage and & Networks Smart Energy security functionality while keeping power Virtualization consumption under control with an integrated Custom PROFESSIONAL SERVICES Nucleus power management framework . Toolchains ARM -Based Hardware Platform Industrial Mentor Embedded Linux (MEL), based on Linux is the registered trademark of Linus Torvalds in the U.S. and other countries. the Yocto Project™, enables you to customize your product with a supported customized Mentor Embedded Software and Solutions AUTOSAR solutions Linux solution . In addition to board support Integrator (SSI) Mentor Graphics® offers several AUTOSAR that enables you to deliver rich multimedia Mentor Embedded experiences, MEL is pre-enabled with out-of- tools specifically for developing vehicular Professional Services the-box tracing and performance analysis, embedded systems . Design, explore and Unleash the full power of your product designs so you can more easily address the functional compare AUTOSAR based electronic and SW with unmatched expertise from Mentor and performance needs of your embedded architectures with the Volcano Vehicle Systems Embedded Professional Services . Mentor device . Architecture (VSA) system design tool . Use experts not only assist in developing and the Volcano Vehicle System Integrator (VSI) Mentor also provides both UI and optimizing software for intelligent ARM-based execution environment to get early validation customization solutions on Android-based devices, but they also bring a comprehensive of software functionality on virtual ECUs . Build devices to speed time to market . approach to the requirements of product your CAN, LIN and FlexRay networks with planning, development and deployment . the Volcano VSA COM Designer and maintain Onsite consulting engagements help system Software Development Tools timing requirements, variants, configurations developers set up and configure development Mentor Embedded Sourcery™ and releases . The Volcano VSTAR AUTOSAR environments to build Linux- and Android- CodeBench Basic Software (BSW) stack offers a fully based devices . Mentor's global team of Sourcery CodeBench goes beyond a compiler AUTOSAR 4 0. compliant and scalable service professionals are active and trusted to provide developers with an array of C/ middleware for ECU design with optional participants within the open source community . C++ development tools for ARM®-based support for LIN, CAN, and FlexRay stacks . They bring expertise in optimizing Linux, devices . Developers gain far greater insight Android and open source software serving the into bare metal, Nucleus or Linux®-based automotive, medical, mobile handset, smart embedded systems through the powerful energy and industrial markets . IDE, optimized GNU/GCC compiler, advanced hardware debugging and functional, timing and For more information on Mentor Graphics, performance analysis features . please visit freescale.com/MentorGraphics .

freescale.com/ARM 47 Freescale Embedded Solutions Based on ARM Technology

QNX Software Systems

Runtime Software: Application QNX Powers What Matters Specific, Middleware and Operating Systems QNX® OS The QNX® OS is a full-featured and robust OS that scales to meet the requirements of real-time embedded systems . It offers true microkernel design, modular architecture and a clean strategy for migrating from single- core to multicore processing . This OS offering addresses automotive safety, medical devices and security .

QNX CAR Platform The QNX CAR Platform for infotainment supports a rich choice of application environments and smartphone platforms, as well as a comprehensive set of pre-integrated at-a-glance views of real-time interactions, dedicated group of engineers offers a unique components to build connected, media-rich memory profiles and more, enabling shorter mix of experience, skills and contacts, infotainment systems . debug times and faster time to market . as well as clean IP to directly address Multicore-specific tools help developers migrate key development challenges and ensure QNX Accelerator Kit code cleanly from single-core to multicore success . From concept through production The QNX Accelerator Kit offers freely available systems and safely optimize performance . to maintenance, QNX Professional Services pre-integrated software for components complements and enhances your company’s such as wireless and touchscreen graphics QNX SDK for HTML5 strengths to help you hit your production that let developers move quickly through the The QNX SDK for HTML5 is an extension of deadlines on time, on budget and on spec prototyping phase . the open source BlackBerry® WebWorks™ with a product that meets or exceeds your framework, specially optimized for automotive customer’s expectations . QNX Acoustic Processing Suite environments . It allows developers to write, The QNX Acoustic Processing Suite is a test and package feature-rich automotive apps QNX Automotive Solutions modular library of field-proven algorithms that based on HTML5, CSS3, JavaScript® and other QNX Automotive Solutions provides quality improves the clarity, quality and accuracy of open standards . Just as important, it provides engineering support to help tier one system voice communications for hands-free and the missing glue between high-level apps and integrators and OEMs eliminate program delays speech recognition systems . the car, through specialized APIs that provide and meet or exceed project milestones and start access to automotive devices and hardware . of production (SOP) commitments . Based on QNX Multimedia Suite These APIs include JavaScript classes that can extensive hands-on experience in automotive The QNX Multimedia Suite is a fully access the underlying services offered by the development, support, and integration in all customizable, full-featured framework for quickly QNX CAR application platform . areas of the QNX product portfolio, its team of building high-value digital infotainment solutions . senior engineers applies field-proven engineering and project-management practices to ensure Software Development Tools Software and Solutions Integrator (SSI) successful results . ® QNX Momentics Tool Suite For more information about QNX, please visit QNX Professional Services The QNX Momentics Tool Suite is a freescale.com/QNX . QNX Professional Services helps customers comprehensive, Eclipse-based integrated drive innovation while minimizing risk . This development environment with innovative profiling tools for maximum insight into system behavior . These unique tools give developers

48 Design Resources Partner Enablement Solutions

Wind River

Runtime Software: Application A Foundation for Your Innovation Specific, Middleware and Operating Systems Wind River® VxWorks®, Wind River Linux®, Android™ Wind River is proud to support Freescale i MX. processors with VxWorks, the industry- leading real-time operating system with a demonstrated track record of success across millions of applications in the embedded systems market . Deployed in diverse verticals, from consumer devices to aerospace and defense, and from networking to medical, VxWorks maintains its leadership position by providing a mature and proven foundation for customer innovation .

Wind River also supports i MX. processors system simulation with Wind River Simics to Software and Solutions with a market-leading, commercial-grade break all the rules of software development as Integrator (SSI) embedded Linux platform and a suite of you know them and ship faster than you ever Wind River Professional Services Android-based products for embedded thought possible . applications . Wind River offers services designed to help Hardware Development Tools customers attain a competitive advantage . We provide consultative thought leadership, Software Development Tools Wind River Probe and ICE deep technical capabilities, and innovative Wind River Workbench, Use Wind River JTAG debugging tools to industry solutions to help you overcome your Diab Compiler, Simics take full control of your entire system: CPU, most strategic and pressing development The pressures to develop more efficiently are operating system kernel, device drivers and challenges . real . You’re building complex products with applications . Your system may boast one For over 30 years, Wind River Professional shrinking budgets and distributed teams, core or multiple cores; it may be running Services has been here to serve as your and your competition is moving at a dizzying multiple operating systems across multiple partner, working together with your teams so pace . Wind River has thoughtfully designed a CPUs or on devices distributed around the they can focus on your core competencies collection of technologies to help you power world and may even be set up as a virtualized and deliver market-leading devices and through critical activities in every phase of your system . Regardless of the complexity of your systems . We help your teams bring safe, development life cycle . configuration, JTAG debugging tools from Wind River give you control of the entire secure, reliable and compliant mission-critical When your development environment is built system in one context, from one window . systems to market, delivering services across in lockstep with your Wind River platform, you the entire range of planning, development get the highest level of control to configure, and management . analyze and debug your system . Extend Wind For more information about Wind River, please River Workbench with Wind River Workbench visit freescale.com/WindRiver . On-Chip Debugging for agent and agentless debugging, and pair it with Wind River Diab Compiler for highly optimized, standards- compliant object code . Take advantage of full

freescale.com/ARM 49 Freescale Embedded Solutions Based on ARM Technology

TRAINING SERVICES Ac6 Ac6-training is a leading provider of training Ac6-training also covers low-end, bare- provided free of charge to all Linux services on embedded systems, from the metal or RTOS-enabled MCU-based deeply courses attendees . embedded systems rather than high-end, deepest hardware elements to the most For more information, please visit Android™, Linux® or Windows®-based advanced software components, including freescale.com/Ac6 . programming languages and their use for systems; in all cases Ac6 covers both embedded systems . Freescale processors and system-level or application-level subjects .

MCUs are described with detailed hardware Ac6 has also developed innovative courses on CPU cores, peripherals and programming tools for building, programming busses . Application-oriented courses with and managing Linux embedded systems—the hands-on labs help you build industrial and Ac6 System Workbench for Linux—that are embedded systems .

RUNTIME SOFTWARE: APPLICATION SPECIFIC, MIDDLEWARE AND OPERATING SYSTEMS | SSI SERVICES | TRAINING SERVICES Adeneo Embedded Adeneo Embedded provides solutions for BSPs and drivers Training services Freescale i MX. and Vybrid technologies, reference solutions Training sessions have been adapted to such as reference board support packages Freescale's development platforms to cover for embedded Linux®, Android™, QNX® and Together, Freescale’s i MX. 6 advanced specific integration of Embedded Linux, Windows® Embedded Compact, as well as multicore architecture and Adeneo Android, QNX or Windows Embedded multimedia and graphical solutions based on Embedded’s state-of-the-art BSPs provide the Compact on i MX. and Vybrid architectures . Qt and Silverlight technologies . For over 10 most efficient and successful solution for the Training sessions range from one day to one years in partnership with Freescale, Adeneo development of medical, industrial, automotive week and are available as “public” multi- Embedded has developed embedded and consumer embedded devices . customer events, ideal for initial technology operating system and software integration on ramp-up and as “private” onsite sessions to high-end ARM®-embedded MPUs, including all Software and solutions cover customer specific requirements . i MX. MPUs up to the recently released i MX 6. integrator (SSI) series, for which Adeneo Embedded is the Services include in-depth technical training, For more information, please visit editor of the Windows Embedded Compact 7 dedicated support contracts and full freescale.com/Adeneo-Embedded . and Compact 2013 reference BSPs . development services from low-level BSP and drivers up to advanced graphical applications . These services are focused on helping OEMs develop successful and robust embedded devices for medical, industrial, automotive and consumer markets .

INDEPENDENT DESIGN HOUSE (IDH) SERVICES | EMBEDDED BOARD SOLUTION Advantech Founded in 1983, Advantech delivers visionary extensive deployed base, Advantech Networks design knowledge and develop optimized and trustworthy network computing solutions & Telecom Group designs both standard and solutions together—all backed up by its global that empower businesses . By embedding custom products for ATCA, MicroTCA and deployment expertise . its innovative mission-critical hardware, OEM-ready network appliances . In partnership For more information, please visit leading telecom and networking equipment, with major CPU, NPU and DSP manufacturers, freescale.com/Advantech. manufacturers are able to power the world the company partners locally with customers communications infrastructure . With an to evaluate project requirements, share

50 Design Resources Partner Enablement Solutions

RUNTIME SOFTWARE: APPLICATION SPECIFIC, MIDDLEWARE AND OPERATING SYSTEMS Arcturus IP voice communication, has focused on middleware solutions to transportation and healthcare markets . enable device management, M2M, IP audio Arcturus is a member of the ARM® Connected management, M2M and IP voice communication, including audio Community, offering support of a range of middleware and system intelligibility and psychoacoustics . Arcturus ARM cores from ARM® Cortex®-M4 MCUs solutions middleware, reference designs and modular to ARM Cortex®-A8 application processors, hardware systems reduce time-to-market Linux, AndroidTM, MQX™ RTOS and bare- Arcturus Networks, Inc . is a leading provider and overall project risk by combining proven metal systems . of embedded solutions for communication solutions with direct support . and industrial applications . Recognized as For more information, please visit a pioneer, Arcturus has contributed to the Arcturus has partnered with Freescale for over freescale.com/Arcturus. innovation of thousands of products and has a decade, recently developing specialized helped to establish embedded Linux® as a de reference designs with bundled middleware facto global standard . Since 2002, Arcturus packages targeting building systems,

HARDWARE AND SOFTWARE DEVELOPMENT TOOLS Arium Arium is a leading supplier of hardware- hardware-software and software-software It operates in multiprocessor and multicluster assisted debug tools, providing controllers interactions . Both controllers operate on environments and runs on either Windows with flexible debug connectivity for Freescale Microsoft® Windows® and Linux® hosts, and or Linux hosts . SourcePoint offers UEFI and devices with ARM® cores . The LX-1000 they offer debug of UEFI and Linux kernel, Linux OS-aware features . Trace Port Analyzer and the LC-500S are the device driver and application source code . For more information, please visit most popular configurations . The LX-1000 is freescale.com/Arium. modular and supports either parallel ETM trace SourcePoint Software Debugger or serial trace . The LC-500S offers reliable run With the daily tasks of the software developer control and intuitive stepping features and is in mind, SourcePoint is rich in the firmware designed for speed with fast file and image development attributes necessary for SoC downloads and quick stepping through code . development and powerful enough for When coupled with SourcePoint™, Arium’s applications development . It includes address flagship debugger, the software developer is translation, dynamic page translation, multiple provided maximum visibility into the complex code formats and a self-diagnostic test suite .

SOFTWARE DEVELOPMENT TOOLS | SSI SERVICES Atollic Atollic® is committed to helping engineers RTOS kernel awareness, as well as dual-core Focused on the Freescale Kinetis, Vybrid create better embedded systems with C/C++ and multiprocessor support . and i MX. processor families, development development and testing tools that provide services deliver lower development costs, Atollic TrueVERIFIER® adds in-target software unique features for improving software quality . shorter time to market, lower risk of field test automation with auto-generated and Unlike traditional C/C++ development tools, upgrades and fewer field returns . Typical auto-executed unit tests, enabling better- the Atollic TrueSTUDIO® IDE guides users services include customized software tested products . through the process of developing high-quality platforms, application development, embedded software . Atollic TrueSTUDIO Atollic TrueANALYZER® adds system-level test integration of middleware, wireless brings the best principles from the automotive quality measurement, performing in-target connectivity, software testing, code and aerospace industries to all embedded execution flow analysis (up to MC/DC compliance, and metrics and source developers . coverage) and providing test and code review . quality confidence . Atollic TrueSTUDIO is a world-class compiler For more information, please visit and debugger IDE with integrated features for Atollic’s development services complement freescale.com/Atollic. source code reviews, MISRA C checking, code their development and testing tools: complexity analysis and team collaboration . Atollic TrueSTUDIO, TrueVERIFIER and The debugger includes a crash analyzer and TrueANALYZER . freescale.com/ARM 51 Freescale Embedded Solutions Based on ARM Technology

EMBEDDED BOARD SOLUTIONS | IDH SERVICES Bluetechnix Optimized embedded product and service portfolio . Their R&D department is tightly connected to the Vienna systems and sensor University of Technology (TU Vienna), UAS solutions of highest Technikum Wien and the Austrian Academy of quality—Experts in 3D Science to incorporate the latest technological time-of-flight sensor advancements in their applications . Bluetechnix provides an ISO-accredited test center technology for electromagnetic compatibility (EMC) In business since 2004, Bluetechnix is well measurements at its development headquarters known for being a manufacturer of high-quality in Vienna, allowing them to provide product embedded systems such as time-of-flight certification services in-house . State-of-the-art (ToF)-based depth sensors, 2D smart cameras products, flexibility and personal support are and system on modules (SoM) for Blackfin® the cornerstones of their long-term success . DSPs and i MX. applications processors using ARM® technology . More than 20 developers For more information, please visit continuously expand the Bluetechnix broad freescale.com/Bluetechnix.

EMBEDDED BOARD SOLUTIONS | IDH SERVICES Boundary Devices Boundary Devices is a complete hardware These products were designed to allow and software solutions provider specializing customers to get to market quickly and with in network-connected, fanless, low-power a reasonable price . In situations where the multimedia devices . With decades of standard product is not quite right, Boundary experience designing ARM-based boards and Devices can design custom hardware numerous i MX. processor designs completed platforms with associated BSPs . The key to based on the i MX51,. i MX53,. and i MX. 6 any embedded project is software support, processors, Boundary Devices is uniquely and the i MX. 6 platform from Boundary positioned to provide customers the perfect Devices supports many different operating solution for i MX. processor-based designs . systems including QNX, Android 4 1. Jelly In the i MX. 6 family, Boundary Devices has Bean, Windows Embedded Compact 7 and released a wide variety of products, including various flavors of Linux . the BD-SL-i MX. 6 series low-cost development For more information, please visit platform, the Nitrogen6X single board freescale.com/BoundaryDevices. computer, and the Nitrogen6X-SOM .

EMBEDDED BOARD SOLUTIONS congatec AG congatec AG, headquartered in Deggendorf, and board support packages . Following the , is a leading supplier of industrial design-in phase, customers receive support computer modules using the standard form via extensive product lifecycle management . factors Qseven, COM Express®, XTX and The company’s products are manufactured by ETX . congatec’s products can be used specialist service providers in accordance with in a variety of industries and applications, modern quality standards . congatec currently such as industrial automation, medical has 124 employees and entities in Taiwan, technology, automotive supplies, aerospace Japan, the U S. . and the Czech Republic . and transportation . Their core knowledge and technical know-how include unique extended For more information, please visit BIOS features as well as comprehensive driver freescale.com/congatec.

52 Design Resources Partner Enablement Solutions

RUNTIME SOFTWARE: APPLICATION SPECIFIC, MIDDLEWARE AND OPERATING SYSTEMS Cybercom Cybercom is Freescale’s proven partner framework, offering tier-1 suppliers a for Bluetooth® connectivity . For 15 years, robust, generic and portable multiprofile Cybercom has been contributing in the and multiconnection Bluetooth solution . evolution of Bluetooth technology, developing The underlying stack, integrated in the systems and products for automotive, solution delivered by Cybercom, is the medical, consumer and industrial applications . market-leading Blue SDK Bluetooth stack Together, Freescale and Cybercom are able from its partner iAnywhere . to provide robust Bluetooth connectivity, Cybercom is a Nordic consulting company, meeting vehicle manufacturers’ requirements designing and adding intelligence to products on the latest Bluetooth features and and devices, assuring efficient and manageable device interoperability . Cybercom blueGO connectivity, providing a future connected significantly reduces time to market and the world with meaningful solutions . need for device interoperability testing . For more information, please visit Cybercom blueGO is a professionally freescale.com/Cybercom. maintained Bluetooth software application

RUNTIME SOFTWARE: APPLICATION SPECIFIC, MIDLEWARE AND OPERATING SYSTEMS | EMBEDDED BOARD SOLUTIONS Digi International Digi provides wireless solutions for vertical provides built-in cloud connectivity for M2M applications, including purpose-built Freescale MPUs and MCUs . Products include products for medical devices and energy Systems-on-Modules (SOMs) for Freescale applications . Its low-cost development kits i MX28. and i MX53. applications processors make it easy to get to market quickly . Full with integrated 802 11a/b/g/n. wireless and support is provided for Android™, Linux® Bluetooth® 4 0. . With application and wireless and Microsoft® Windows® Embedded design services, Digi and Etherios are true end- Compact . The Device Cloud™ by Etherios, a to-end wireless solutions experts . Digi International company, provides remote monitoring and control from anywhere in the For more information, please visit world, and the new Device Cloud Connector freescale.com/DigiInternational.

RUNTIME SOFTWARE: APPLICATION SPECIFIC, MIDDLEWARE AND OPERATING SYSTEMS | SSI SERVICES Elektrobit EB’s automotive software business has corresponding configuration and analyzing established itself internationally as one of tools . As a full-service provider, EB’s global the most important suppliers of embedded and knowledgeable ECU service teams take software solutions for the automotive industry . over responsibility for specific parts or even for In addition to the development of innovative the whole project when introducing the latest products, EB also specializes in services technologies like Ethernet, multicore systems, and consulting supplying implementations or ISO 26262-compliant functional safety of serial software solutions for a broad products and solutions . Thanks to the close range of infotainment, navigation, HMI, partnership with Freescale, EB’s ECU software driver assistance and AUTOSAR systems . runs smoothly on several Freescale MCUs . Based on more than a decade of expertise in developing software for automotive ECUs, For more information, please visit EB offers industry-leading basic software and freescale.com/Elektrobit.

freescale.com/ARM 53 Freescale Embedded Solutions Based on ARM Technology

SSI SERVICES | RUNTIME SOFTWARE: APPLICATION SPECIFIC, MIDDLEWARE AND OPERATING SYSTEMS | TRAINING SERVICES Embedded Access Embedded Access provides customized board Freescale 32-bit MCU or MPU, including the stacks . Training can be held at your facility to support packages (BSPs), boot loader, legacy Freescale MQX RTOS, RTCS TCP/IP stack, minimize costs and eliminate travel time for code integration, full application development, MFS file system, USB host, USB device, your team . Courses typically run between two driver development and communication web server and more . Add-on packages are and four days . protocols . For a project quick start, a shell of available, including GUI development, flash file For more information, please visit your application can be created with all system, XML Parser & Framer, SNMPv3 and freescale.com/EmbeddedAccess. components customized to the project’s the LUA scripting language . The MQX platform specification ready for high-level application provides efficient, real-time performance and code . MQX™ software experts handling your unprecedented scalability, allowing customers platform specifics allow your team to focus on to fit a customized, feature-rich solution into a their expertise, reducing development time, memory constrained MCU . total costs and project risks . Embedded Access provides training for MQX Embedded Access can provide a customized platform components: MQX RTOS, RTCS release of the MQX platform for just about any TCP/IP stack, MFS file system and USB

EMBEDDED BOARD SOLUTIONS | IDH SERVICES Embest Embest is a global provider of embedded provide embedded development tools, single- tools, mature embedded solutions, design and hardware and software . Formed in 2000, it’s board computers and core modules, as well as production services and complete support now part of element14 and Premier Farnell, OEM/ODM services for embedded products . from Embest . global electronic components distributors Its vision is to use its technology and services For more information, please visit with more than 70 years in the business . to help customers develop and produce freescale.com/Embest. Embest offers professional development embedded products faster, more efficiently tools, evaluation boards, single-board and with a high level of quality . The company computers (SBC) and hardware and software works closely with ARM and leading ARM- development services and applications . It also based MCU and MPU suppliers and is also is an authorized distributor of ARM®, Parasoft®, an ARM-approved training center (ARM ATC) . Hewlitt Packard™ and Cadsoft™ in China . They Customers are sure to receive high efficiency

EMBEDDED BOARD SOLUTIONS | HARDWARE DEVELOPMENT TOOLS | IDH SERVICES | RUNTIME SOFTWARE: APPLICATION SPECIFIC, MIDDLEWARE AND OPERATING SYSTEMS Emcraft Emcraft Systems provides system on modules easy transition from prototyping to building Emcraft Systems provides embedded Linux® (SoMs) for the Freescale Kinetis K70/K61 an end product . The kits can be used either BSPs and cross-development environments and Vybrid VF6/VF5 devices . The SoMs are standalone or in the Freescale Tower System . for the Kinetis K61/K70 and Vybrid processor specifically designed to make it easy, quick families . The cross-development environments Emcraft Systems offers hardware design, and cost-effective for for both Kinetis and Vybrid include the GCC embedded software development and designers to start using the Freescale devices cross-compiler and GDB debugger, as well as turn-key contract manufacturing services in their applications . Emcraft Systems’ a number of preconfigured, ready-to-build and for customers that use Emcraft SoMs . starter kits provide a low-cost hardware run sample projects to serve as helpful starting platform, enabling development of embedded Prenegotiated prices and close relationships points for application development . applications using the Kinetis K70/K61 and with our contract manufacturing partners allow For more information, please visit Vybrid VF6 devices . The starter kits are based Emcraft to quote custom baseboard turn-key freescale.com/Emcraft. on SoMs, include a breadboard area and projects . Thus, customers can leverage volume come with the schematics of the baseboard . purchasing power to save money and time . This allows for quick custom development and

54 Design Resources Partner Enablement Solutions

EMBEDDED BOARD SOLUTIONS Emtrion When it comes to high-quality and reliable closely with clients to complete projects . high-end embedded systems design with a Headquartered in Karlsruhe, Germany, Emtrion long-term useful life, Emtrion is the partner supplies companies around the world, the of choice . Emtrion produces hardware and majority of which operate in the fields of software that helps drive smart companies automation engineering, medical engineering, forward . Its engineers, with more than 10 measurement and control, as well as railway years of experience, provide customers with engineering . new and inventive embedded solutions again Emtrion offers the following services: project and again . management/coaching, technical seminars In keeping with its philosophy, Emtrion and workshops . fosters partner networks that help it remain For more information, please visit one step ahead in innovation . Its team is freescale.com/Emtrion. highly motivated and specializes in partnering

IDH SERVICES | SSI SERVICES FirstView FirstView Consultants is an Austin, Texas- entire spectrum, from high-level planning and headquartered company with rapid system architecture to specific hardware and prototyping, system architecture and software software duties . Their dedicated multimedia solutions expertise in the automotive, medical team can improve system performance by and industrial markets . They custom tailor analyzing and optimizing the graphics, video, solutions, such as filling in gaps all the way camera and image processing, and their to developing complete designs from idea to software team can create custom BSPs or fill working solution . in the gap by providing the expertise to create specific drivers . They can scope and lead turn-key production or augment a team for targeted modules . Their For more information, please visit capabilities within embedded systems run the freescale.com/FirstView.

RUNTIME SOFTWARE: APPLICATION SPECIFIC, MIDDLEWARE AND OPERATING SYSTEMS FreeRTOS FreeRTOS is a market leading RTOS from You can take a product to market using Real Time Engineers Ltd . that supports more FreeRTOS without even talking to them, let than 30 architectures and receives more than alone paying any fees, and thousands of 100,000 downloads a year . It is professionally companies do just that . If, at any time, you developed, strictly quality controlled, robust, would like to receive additional backup, written supported and free to embed in products guarantees or indemnification, then there is without any requirement to expose proprietary a simple low cost commercial upgrade path . source code . FreeRTOS has become the de Your peace of mind comes with the knowledge facto standard RTOS for MCUs by removing that you can opt to take the commercial route common objections to using free software, and at any time you choose . in so doing, providing a truly compelling free For more information, please visit software model . freescale.com/FreeRTOS.

freescale.com/ARM 55 Freescale Embedded Solutions Based on ARM Technology

COMPANION SILICON AND MODULES GainSpan GainSpan, a spinoff of Intel® Corporation, is an GainSpan’s new ultra-low-power 802 11b/g/n. Together, GainSpan and Freescale are innovator and leader in enabling the Internet GS2000 is a highly integrated, multimode, accelerating development and adoption of a of Things through its wireless connectivity System-on-Chip (SoC) containing two ARM® whole new class of battery- and line-powered solutions . With a broad portfolio of ultra-low- Cortex®-M3 processors . The solution is highly connected devices . power Wi-Fi® chips, modules and software, flexible . The IPv4/IPv6 networking stack and For more information, please visit GainSpan allows customers to quickly and services usually run on the GS2000 when freescale.com/GainSpan. easily add connectivity to products targeting paired with smaller MCUs such as the the connected home, smart energy, healthcare Kinetis L family; however, the stack and and audio and video applications, as well as services can run on MCUs/MPUs such as industrial and commercial control/monitoring the i MX. family . applications .

IDH SERVICES | EMBEDDED BOARD SOLUTIONS Garz & Fricke Garz & Fricke GmbH has been developing and Their product portfolio contains application- Garz & Fricke GmbH focuses on a compact producing intelligent embedded systems since ready products such as intelligent touch panel and complete touch panel series for integration 1992 . Made in Germany, its products stand for computers, human-machine interface and/ into professional devices or standalone panels quality and progressive technology . or control applications . Garz & Fricke provide for the visualization and communication in full service from product set-up to mass industrial and home networks . With 86 engineers, computing specialists and production, including prototyping, hardware technicians in hardware and software, Garz For more information, please visit and software development . Their own THT and & Fricke GmbH is the perfect OEM supplier freescale.com/GarzFricke. SMT production lines enable them to tune all for industrial controllers, metering and labs related processes to each other . electronics, intelligent displays for automotive and naval designs, as well as vending machine technology .

TRAINING SERVICES HILF!GmbH Consulting, training, With a strong background working with Their portfolio includes the i MX5x. family of system design and Motorola, having started as an Authorized processors, i MX. 6 series processors and Motorola Training Partner in 1998, they Kinetis MCUs based on the ARM® Cortex® software development provide comprehensive services for the architecture, the MPC5700 family, the P3041 As one of the leading training providers, complete Freescale portfolio . These services QorIQ processor and eTPU programming . include consulting and training, as well as HILF!GmbH offers more than 70 different, For more information, please visit system design and software development, high-level topic centered training classes . As freescale.com/HILFGmbH. a global player, these courses are offered as successfully performed in more than over 120 onsite training as well . To date, HILF!GmbH projects for large customers in the automotive has successfully trained more than 25,000 industry, industrial automation, avionics and customers . telecommunication . GmbH Microcomputer-Consulting

56 Design Resources Partner Enablement Solutions

HARDWARE DEVELOPMENT TOOLS | SOFTWARE DEVELOPMENT TOOLS IAR Systems IAR Systems® provides a range of in-circuit The real-time, in-circuit debugging probe IAR Embedded Workbench is available for debugging probes with support for ARM® and JTAGjet™-Trace offers advanced trace nearly the entire line-up of Freescale MCUs, ARM Cortex® cores . The probes are targeted functionality, such as full instruction trace and including Kinetis ARM® Cortex®-M4 and for simplified, seamless and more flexible function profiling, for ARM and ARM Cortex- ARM Cortex®-M0+ families, Vybrid controller development workflows, and are all completely based applications . solutions, i MX. families and the S08, HCS12 integrated with IAR Embedded Workbench® . and ColdFire families . It is integrated with IAR Systems also supports Kinetis and Freescale MQX Software Solutions and I-jet™ is a high-performance debugging probe Vybrid Tower kits, as well as the Freedom Freescale Processor Expert . Regardless of that enables power debugging by measuring development platform . which Freescale device is chosen, customers target power consumption with a high degree will experience the same intuitive user of accuracy . IAR Systems’ innovative power IAR Systems is the world’s leading supplier interface coupled with target-specific support debugging technology is a methodology of embedded development tools . The C/ for each device . that provides developers with information C++ compiler and debugger tool suite IAR about how the software implementation of Embedded Workbench offers a complete IAR Systems also offers state machine an embedded system affects the system’s set of development tools for embedded tools and provides professional worldwide power consumption and allows optimizations applications . It integrates the highly optimizing technical support . IAR C/C++ compiler, assembler, linker, librarian, for lower power consumption . This technology For more information, please visit text editor, project manager and C-SPY can be extended with I-scope™, which adds freescale.com/IAR. in-circuit current and voltage measurement debugger in a user-friendly IDE . Industry- capabilities to I-jet . leading code generation technology generates efficient code for size and speed .

SSI SERVICES | EMBEDDED BOARD SOLUTIONS iWave Systems iWave Systems is a design house specializing company with more than two decades of design and development services, ASIC in the area of embedded hardware and experience . iWave Systems has extensive modeling, simulation and verification to DSP software engineering services . iWave Systems experience in industrial, automotive and (audio and video codec) . is headquartered in Bangalore, India, which is medical domains . For more information, please visit considered to be one of the hottest technology iWave’s products/services range from freescale.com/iWave. centers in the world . iWave offers complete integrated real-time solutions and turnkey integrated solutions for product development development, embedded software (e g. ,. RTOS, and systems engineering . protocols, firmware, middleware/application, Managed by technology professionals, BSP, device driver development and porting iWave Systems is a registered private limited services), board level hardware and FPGA

EMBEDDED BOARD SOLUTIONS Ka-Ro Ka-Ro’s family concept of Computer-on- product markets . In the worldwide electronics Modules is unique . Ka-Ro is a full-service market, Ka-Ro’s Computer-on-Modules stand provider with its own reference kits, out with their unique characteristics, thanks production-ready modules, Windows CE and to their superlatively small formats and low- Linux® BSPs . Ka-Ro’s comprehensive range power consumption . Its in-house, high-end of services covers customer- and application- production capabilities mean that Ka-Ro can specific development and component offer aggressive, customer-oriented pricing . sourcing, and extends to individual or series For more information, please visit manufacturing for the industrial investment freescale.com/Karo. freescale.com/ARM 57 Freescale Embedded Solutions Based on ARM Technology

EMBEDDED BOARD SOLUTIONS Kontron Kontron is a global leader in embedded reduced total cost of ownership and an computing technology . With more than 40% improved overall application with leading-edge, of its employees in research and development, highly reliable embedded technology . Through Kontron creates many of the standards that an extensive portfolio, based on internationally drive the world’s embedded computing accepted industry standards for hardware, platforms . Kontron’s product longevity, local software and connectivity, Kontron solutions engineering and support, and value-added range from COTS standard-based products, services help create sustainable and viable fully-integrated application-ready systems embedded solutions for OEMs and system and platforms, as well as extended integrators . Kontron works closely with its software service . customers on their embedded application- For more information, please visit ready platforms and custom solutions, enabling freescale.com/Kontron. them to focus on their core competencies . The result is an accelerated time to market,

HARDWARE DEVELOPMENT TOOLS | SOFTWARE DEVELOPMENT TOOLS Lauterbach Debugger, real-time trace, TRACE32 with runtime measurements, code logic analyzer coverage tests and energy profiling dedicated functions to optimize embedded systems’ Lauterbach provides TRACE32®, an integrated safety, performance and power efficiency . debug environment for embedded designs . The PowerView is the GUI to the developer, With its modular concept, the environment which analyzes and presents the traced supports all ARM®-based chip architectures data in alphanumeric or graphical form, even and can be easily migrated to other processors correlating it with the high-level language (HLL) by simply replacing the debug cable . Data— source code . Lauterbachs’ TRACE32 tools captured and traced from the core and from are appreciated tools and used worldwide in external signals on the PCB—provide this the process of development, validation and information, which support and accelerate certification of embedded software . the software development of embedded For more information, please visit applications in many aspects . The major freescale.com/Lauterbach. task of a debugger is root cause detection of a bug, but it also provides Lauterbach

IDH SERVICES M2CoreTech M2CoreTech provides the total solutions the various functionality) and home network required for various multimedia terminals markets . Customers can enjoy the following such as hardware design, board-supported benefits: packages and software applications (e g. ,. 1 .Easier development of their target product codec and protocol) . 2 .Obtaining the best optimized performance The company's main business areas are AVN 3 .Speeding up entry into target markets (for automatic), digital information display (DID), mobile TV, PND/portable multimedia For more information, please visit P5FruhWhfk#Fr1/Owg1 player (PMP), smart terminal (for supporting freescale.com/M2CoreTech.

58 Design Resources Partner Enablement Solutions

EMBEDDED BOARD SOLUTIONS MicroSys MicroSys designs and develops embedded modules utilize 32-bit processors, such as system solutions for VMEbus, CompactPCI Freescale’s i MX. applications processors and and other common bus infrastructures . Since other common embedded CPUs . With their 1975, customized solutions offering longevity low power consumption and the compact are trademarks of their business as well . dimensions of a credit card form factor, the Successfully deployed products span from miriac modules can fit into any application in systems-on-modules up to fully integrated automotive, industrial automation, medical, systems . railway, avionic, transportation, construction or defense . Operating systems such as In 2000, the miriac CPU module family VxWorks®, Microware OS-9, QNX®, Linux®, expanded its product portfolio . The flexible and WinCE or Micrium microC/OS are supported . modular Module-Carrier-Konzept can be used for both evaluation purposes of processor For more information, please visit technology and serial production . The miriac freescale.com/MicroSys.

RUNTIME SOFTWARE: APPLICATION SPECIFIC, MIDDLEWARE AND OPERATING SYSTEMS | DEVELOPMENT TOOL PROVIDERS: SOFTWARE DEVELOPMENT TOOLS

Motomic Software with completely new rich customer Turn products into experiences, even on tiny MCU-class touchpoints processors . End users are delighted by context-sensitive Motomic helps manufacturers leverage the experiences that instruct, entertain and Internet of Things to understand how their transact . By fostering such opportunities, customers use their products . Once clients companies can create new revenue understand product use, Motomic helps them opportunities, lower support costs and build turn those products into touchpoints that much tighter customer relationships engage customers . and loyalty . Products are rapidly becoming connected . Founded in 2009 and privately-held, With that connectedness comes the ability Motomic is located in the Silicon Valley/ to understand and interact with the customer San Francisco area . base . Motomic opens products to analytics and extends customer experience design For more information, please visit to browsing, touchscreens and media on freescale.com/MotomicSoftware. products, creating entirely new touchpoints

IDH SERVICES | EMBEDDED BOARD SOLUTIONS NetModule NetModule provides hardware and software products, including the i MX. processor-based engineering services and embedded systems SBCs with the related evaluation boards and for ARM®-based CPUs (e g. ,. Kinetis MCUs/ SW drivers for IEC 62439 (PRP/HSR) for i MX. applications processors) . NetModule different platforms . supports MQX™, WindRiver® VxWorks®, Windows® Embedded Compact and For more information, please visit Embedded Linux® . Apart from engineering freescale.com/NetModule. services, NetModule offers off-the-shelf

freescale.com/ARM 59 Freescale Embedded Solutions Based on ARM Technology

IDH SERVICES | EMBEDDED BOARD SOLUTIONS NovTech NovTech was founded in 1998 to provide deliver systems, from concept to production- rapid system solutions to OEMs . Since then, grade prototypes, in as little as two-and-a-half NovTech has designed and provided more weeks . Technology specializations: embedded than 65 turn-key designs ranging from 8-bit computing (32-bit CPUs), , MCUs, 32-bit MPU embedded systems and system-on-chip (SoC), PC peripherals, analog to ASIC development systems and ISA, PCI, PC-Card, USB, IEEE® 1394, FPGA-based designs . NovTech is experienced communications, high-speed real-time control, in high-speed, real-time, hardware, firmware imaging and encryption . and software design as well as PCB layout, prototyping and troubleshooting . NovTech For more information, please visit specializes in rapid prototyping and can freescale.com/NovTech.

SOFTWARE DEVELOPMENT TOOLS | HARDWARE DEVELOPMENT TOOLS P&E Microcomputer Systems P&E Microcomputer Systems is an industry scale production programming . The Multilink P&E hardware is supported by recent versions trendsetter in software and hardware Universal and high-speed Multilink Universal of CodeWarrior (10 x),. current P&E software development tools for Freescale microcontrollers . FX are all-in-one development interfaces that applications and toolchains from many For Freescale Kinetis devices, P&E offers a support many Freescale MCUs, including Freescale partners, including IAR Systems, variety of affordable, professional software . Kinetis . The Tracelink is a high-speed interface Keil, Cosmic Software, Atollic® and PROG for ARM® Cortex® devices is in-circuit that, when used with Freescale CodeWarrior, Green Hills Software . flash programming software that programs the can capture up to 128 MB of external trace For more information, please visit target device in interactive or command-line on Kinetis and ColdFire devices . P&E’s freescale.com/PEMicro. modes via a P&E hardware interface . Cyclone MAX is a versatile, rugged production programmer that handles small or large P&E also partners with Freescale to provide production runs with ease . Control of one or drivers, applications and firmware updates for more Cyclones can be completely automated, Freescale’s OpenSDA debug/programming or they can be operated manually in standalone interface that helps users affordably evaluate mode with no PC required . The Cyclone MAX Kinetis devices . supports several Freescale architectures, P&E’s hardware tools are ideal for both including Kinetis . product development and small- or large-

SSI SERVICES | IDH SERVICES | EMBEDDED BOARD SOLUTIONS PHYTEC PHYTEC specializes in microprocessor-based other processors that serve as CPU cores solutions for mobile and embedded systems . for embedded product development . End For more than 25 years, it has delivered customers across a wide spectrum of SoM subassemblies, rapid development kits, industries, including automation and control, hardware and software design services, end- energy, medical and transportation, have made to-end life cycle management and systems use of its complete portfolio of off-the-shelf integration expertise that have enabled building blocks and services to shorten time to development of thousands of innovative market, reduce development costs and avoid embedded products . substantial design risks .

Its strategic alliance with Freescale enables For more information, please visit PHYTEC to provide first-to-market SoM freescale.com/PHYTEC. solutions supporting Vybrid controller solutions, i MX. 6 series processors and

60 Design Resources Partner Enablement Solutions

IDH SERVICES | RUNTIME SOFTWARE: APPLICATION SPECIFIC, MIDDLEWARE AND OPERATING SYSTEMS | SSI SERVICES Prevas Technologies Prevas provides customer near development, Embedded Linux®, Android™ Embedded are certified by Microsoft® with utilizing highly specialized Centers of and Windows® Embedded access to authorized instructors . Excellence to solve complex niche tasks Prevas is an independent Embedded Linux including complex carrier platforms, specialized Electronic Subsystem IP (ESIP) BSP supplier for Industrial Embedded Linux development within EMC, audio, life science, and customizes and maintains professional Prevas' ESIP concept is a systematic industrial control, M2M connected devices, BSPs for all types of ARM® solutions . For breakdown of the carrier technologies into embedded OS or test systems . A fully longevity, BSP maintenance for +10 years is a subsystems, which can be integrated into supported hosted project management natural part of our Linux platform lifecycle . custom designs, supporting core technologies . environment, including revision control, issue/ requirement tracking and reporting is included Prevas also supplies customized Android BSPs For more information, please visit with all projects—keeping the customer in for industrial use, including every step from freescale.com/PrevasTechnologies. control at all times . BSP to application development .

Prevas’ expertise in Windows Embedded ranges from boot loaders, BSPs and drivers to OS configuration, application development and testing . Prevas’ experts within Windows

RUNTIME SOFTWARE: APPLICATION SPECIFIC, MIDDLEWARE AND OPERATING SYSTEMS RealVNC RealVNC has developed its VNC® remote The VNC Automotive SDK has been ported access technology to create VNC Automotive, to all Freescale automotive chipsets and a solution that can automatically detect, MirrorLink Certified on Freescale i MX51. on access and control virtually any mobile device Linux®, Windows CE, QNX® and Android™ . or desktop computer from a vehicle head unit The SDK also supports other automotive or infotainment system either via MirrorLink™, operating systems and all leading mobile iPod Out or VNC Automotive using USB, devices, including Windows® Mobile, Symbian, Wi-Fi® and Bluetooth® . Blackberry®, iPhone® and Android .

VNC Automotive is available as an OEM For more information, please visit package for developers to integrate VNC freescale.com/RealVNC. technology within their own products .

SOFTWARE DEVELOPMENT TOOLS Rightware Automotive and mobile Kanzi UI technology has been successfully UI creation software adopted by premium car manufacturers such as Audi AG and tier-1 suppliers such Rightware is a leading provider of high- as Visteon . Its proven capability will continue performance, cross-platform UI technology to be validated in other markets, such as for automotive, mobile and other embedded smartphones, tablets, smart TV and other industries . Its Kanzi® UI solution is a complete embedded devices . package consisting of both PC-based, real- For more information, please visit time UI creation software as well as a powerful freescale.com/Rightware. 3D engine that enables immediate deployment of advanced UI on any devices that support OpenGL® ES . freescale.com/ARM 61 Freescale Embedded Solutions Based on ARM Technology

EMBEDDED BOARD SOLUTIONS | IDH SERVICES SECO SECO is a European designer and cost, increased functionality offering and manufacturer of highly integrated systems a shorter time to market . and computer boards for embedded Thanks to innovative solutions, great research applications . Spanning its 30-plus years of and design activities and to partnerships experience, SECO has a proven ability to with major scientific universities and world- adapt its know-how to new, challenging leading companies, SECO went international, customer needs and to provide its partners becoming a global market leader that provides with cutting-edge solutions . solutions to modern challenges . SECO's attention is focused on developing innovative products with high performance, low For more information, please visit power consumption and heat dissipation, low freescale.com/SECO.

COMPANION SILICON AND MODULES | RUNTIME SOFTWARE: APPLICATION SPECIFIC, MIDDLEWARE AND OPERATING SYSTEMS Silex Technology Silex Technology offers single- and dual-band Integrated Radio The software is available for the following wireless solutions, including SDIO Modules and Software Support RTOS: Linux®, Android™, Green Hills Software SDIO Systems-in-Packages (SiPs), all based INTEGRITY®, Mentor® Graphics Nucleus®, on the proven ® Atheros AR6003 Design engineers can easily implement Silex QNX® Neutrino®, and Windows® Embedded ® chip technology . Wi-Fi solutions with the Freescale i MX. 6 Compact 7 . processor evaluation platforms, which include Silex Technology also provides engineering Superior Technology the Wi-Fi driver and security supplicant software . No engineering development is services to modify their software for customer- The Silex SX-SDCAN, a low-power SDIO form required to get started with your wireless specific requirements . Whether it is porting factor wireless radio module, was specifically evaluation . to your target hardware platform or adding designed for the Freescale i MX. 6 series . a custom feature, let them know your Built on the field-proven Qualcomm Atheros Faster Time to Market requirement and they will do their best to solve AR6003 802 11a/b/g/n. radio, the SX-SDCAN your problem . is the only Freescale-recommended wireless Silex Wi-Fi solutions are designed and ® For more information, please visit connectivity solution for the i MX. 6 series . validated to meet IEEE standards and have been modular certified to save regulatory freescale.com/Silex. costs . Silex can help customers streamline development processes and beat competitors to market .

EMBEDDED BOARD SOLUTIONS Sohwa & Sophia Technologies Sohwa & Sophia Technologies provides closely with semiconductor companies and other powerful and reliable real-time microprocessor technology leaders to ensure its development emulation systems, reference platforms and and debugging solutions will overcome the other debug tools, enabling thousands of most challenging embedded designs . hardware and software engineers to complete their development projects on time and within For more information, please visit budget . Sohwa & Sophia Technologies works freescale.com/SohwaSophia.

62 Design Resources Partner Enablement Solutions

IDH SERVICES | EMBEDDED BOARD SOLUTIONS

TechNexion As a leading embedded solution provider, products for OEMs and end users who seek strategy, planning and implementation, which TechNexion designs and manufactures to automate their applications quickly and are considered the most important piece of cutting-edge computing systems . Backed by cost-effectively . They have a simple goal: to TechNexion’s unprecedented growth . experienced engineers and product specialists, be your industrial computer solution supplier . For more information, please visit its expertise is focused on creating and testing TechNexion is dedicated to providing you freescale.com/TechNexion. embedded systems that can be applied to with a single source for your industrial PC networking security, factory automation, needs—from its chassis for harsh industrial medical care equipment, media streaming environments to its systems integration service, applications and other industrial fields . engineering support, customizing of industrial TechNexion manufactures board level, system PCs and customer service . TechNexion level and fully integrated industrial computer attributes its success to its business ethics,

SOFTWARE DEVELOPMENT TOOLS | RUNTIME SOFTWARE: APPLICATION SPECIFIC, MIDDLEWARE AND OPERATING SYSTEMS | SSI SERVICES Timesys Timesys is an embedded Linux® solution Embedded Linux and Android™ Embedded Linux Software and pioneer committed to providing easy-to-use Professional Services Solutions Integrator Services embedded Linux offerings with world-class support, professional services and training to Timesys has an international customer base Timesys SSI Services offer product evaluation, embedded developers using Linux as their and proven record of successful Professional design and integration and include OS go-to-market platform . Services projects and deployments in markets, integration (RTOS + Linux), HMI design, including industrial control/process automation, development and optimization, third-party and LinuxLink is a complete and flexible suite medical, automotive, telecommunications, open-source software integration (e g. ,. Qt, of tools for embedded Linux platform and networking, consumer electronics and military/ GStreamer, Java™, OpenGL®, proprietary, application development teams . Available aerospace . In addition, Timesys has extensive etc ),. camera integration and Android design ® for the popular ARM -based Freescale experience with Linux kernel and subsystem and development . i MX. applications processors and Vybrid development, device driver development, For more information, please visit controller solutions, LinuxLink combines an board bring up, industrial buses and system freescale.com/Timesys. innovative build system, software repository, optimization, including fast boot and power comprehensive suite of development tools management . for building feature-rich applications and debugging, and expert support to enable developers to deliver high-quality, differentiated embedded Linux products in a cost-effective and timely manner .

EMBEDDED BOARD SOLUTIONS TQ-Components The TQ-Group is leading in 16-, 32- and 64-bit like industrial terminals . This wide offering embedded systems . The TQ-Group has a helps customers develop a variety of system wealth of experience in design and production solutions and provides an excellent core for of leading MCU/MPU systems specializing applications in entertainment, human-machine in industrial applications . TQ's product interfaces and industrial control . offerings supporting Freescale's ARM®-based architectures are all supported with embedded For more information, please visit modules, starter kits and complete systems freescale.com/TQ. freescale.com/ARM 63 Freescale Embedded Solutions Based on ARM Technology

EMBEDDED BOARD SOLUTIONS Embedded Board Solutions (EBS) OEMs are faced with the increasing challenge Offering Standard to Custom Form Factors of bringing innovative products to market quicker while balancing more complex solution requirements and limited resources . Additionally, technical device needs for many markets are continually being redefined with trends like embedding human-machine interfaces (HMI), machine-to-machine (M2M) technology and enabling portability and communication, forcing OEMs to step back and decide between “make vs . buy ”.

Through a strong ecosystem of EBS-proven partners, Freescale offers a full range of embedded board solutions with a spectrum of form factors, processors, features and software enablement tools . These ready-for- production solutions help ease the make vs . buy decision, allowing OEMs to focus on full system integration and software .

EBS: Your Ready-for- Embedded Board More Information Production Solution Solutions Enablement The Freescale Connect Program highlights Freescale’s EBS partners offer a range of Freescale has long-standing relationships our ecosystem partners, including Proven boards with different form factors, such within the embedded board industry . We Embedded Board Partners that provide a as Qseven, Arduino™, COM Express®, serve the same markets, including industrial, complete spectrum of solutions and SMARC, VPX and EBX . These boards— aerospace and defense, medical and supporting software . many of which feature Freescale’s Kinetis consumer . However, our support for these For more information, please visit MCUs, i MX. applications processors, QorIQ markets is not in silicon alone . A close freescale.com/EBS. communications processors and Vybrid working relationship with OS and tool vendors controller solutions—help OEMs kick-start their is essential to ensure our customers have designs, helping them speed time to market access to complete solutions that enable by addressing resource constraints, hardware them to achieve their time-to-market goals . complexity and new market challenges . Our system integrators provide Linux®-, WinCE®-, Android™ OS- and QNX®-matching Our EBS partners’ solutions support multimarket requirements . Freescale’s product longevity standards of 10 years, 15 years and beyond . They also As a company, Freescale continues to offer qualified consumer, industrial, medical, demonstrate its commitment to the embedded aerospace and defense solutions supported market through programs such as the EBS by development tools, technical expertise and co-selling program, focused on innovating flexible manufacturing . solutions to continue to ease challenges facing OEMs .

64

Freescale Embedded Solutions Based on ARM Technology

For more information about Freescale ARM products and documentation, please visit freescale.com/Kinetis, freescale.com/iMX, freescale.com/QorIQ, freescale.com/Vybrid and freescale.com/ARM

Freescale, the Freescale logo, CodeWarrior, ColdFire, Kinetis, PEG, Processor Expert and QorIQ are trademarks of Freescale Semiconductor, Inc ., Reg . U .S . Pat . & Tm . Off . Layerscape, QUICC Engine, Tower, Vybrid and Xtrinsic are trademarks of Freescale Semiconductor, Inc . ARM is the registered trademark of ARM Limited . ARM9, ARM11, ARM926EJS, ARMv7, Cortex-A5, Cortex-A7, Cortex-A8, Cortex-A9, Cortex-M, Cortex-M0+, Cortex-M3, Cortex-M4, DS-5, CoreSight, DSTREAM, NEON and TrustZone are trademarks of ARM Limited . Java and all other Java-based marks are trademarks or registered trademarks of Sun Microsystems, Inc . in the U .S . and other countries . The Power Architecture and Power .org word marks and the Power and Power .org logos and related marks are trademarks and service marks licensed by Power .org . All other product or service names are the property of their respective owners . © 2010–2013 Freescale Semiconductor, Inc .

Document Number: FREESCALEARM REV 7 Mouser Electronics

Authorized Distributor

Click to View Pricing, Inventory, Delivery & Lifecycle Information:

Freescale Semiconductor: MK21FX512VLQ10 MK22FX512VLQ10 MK22FN1M0VLK10 MK22FX512VMD10 MK22FX512VLH10 MK21FX512VMD10 MK22FN1M0VLH10 MK21FN1M0VLQ10 MK22FN1M0VLL10 MK22FN1M0VMD10 MK22FN1M0VLQ10 MK21FN1M0VMD10 MK22FX512VLK10 MK22FX512VLL10