Quick viewing(Text Mode)

Problem Solving in Complex Manufacturing

Problem Solving in Complex Manufacturing

V7/ Issue I /2012 “Big Data”— 2 Case Study: Tool Optimization12 Foundries Take Fast-Paced,20 Too Much Boosts Producer TEOS Output Complex Manufacturing to of a Good Thing? and Cuts Defects New Heights

F a b Solutions

Solutions for Factory and Equipment Efficiency

Problem solving in complex manufacturing environments Nanochip FAB SOLUTIONS F a b Solutions CHANGING TIDes: publisher Dana Tribula How Mobility is Reshaping [email protected]

EDITOR-IN-CHIEF the Industry Contents Liz Baird [email protected] A Letter from Charlie Pappis Managing EDITOR Gary Dagastine 1 [email protected] A Letter from CONTRIBUTING WRITERS Charlie Pappis David Lammers [email protected]

Katherine Derbyshire The has always been a demanding one, involving some of the world’s Rev Up [email protected] CHARLIE PAPPIS most complex manufacturing processes. In the past, it was primarily driven by computer markets as Your Engines 2 Group Vice President “Big Data”— the industry evolved from mainframes to the desktop and then the laptop and the large data server Too Much and General Manager, of a Good Thing? farms which continue to play a role in today’s landscape. IC manufacturers made their own chips, Applied Global Services handling every step in the process, from design to test and packaging, and then marketing directly to 6 NANOCHIP is published by , Inc. end users. Active Listening: © Copyright Applied Materials, Inc. 2012. But times change. Today, although laptops and servers continue to contribute significantly to the Consultative Approach Yields Better Performance overall IC demand profile, the largest driver is mobile applications. Sales of smartphones and tablets 9 www.appliedmaterials.com are expected to reach around 700 and 100 million units, respectively, in 2012. In the mobile world, products are differentiated by a continuous stream of new features and apps, and product life cycles are measured in months, not years. It falls to IC suppliers to respond quickly with frequently updated designs and on-time delivery. And the move from 3G to 4G connectivity puts tremendous demand on To receive extra copies of NANOCHIP both functionality and power consumption in the IC sets that enable the age of mobility. or to add colleagues to the mailing list, please email your The semiconductor industry has changed as well. The high investment cost of advanced node 12 • Name device manufacturing has reduced the number of large integrated device manufacturers (IDMs) to Case Study: Tool Optimization • Title less than a handful. Foundries, which have played an important role in our industry for decades, are 14 Boosts Producer TEOS Output • Company producing increasingly complex devices for a growing number of fabless and fablite IC suppliers. Metal Oxide: A and Cuts Defects • Business address Technology Revolution to [email protected] Gartner estimates that the semiconductor industry today has the ability to produce about 206 million for High-Definition Displays wafers globally on a 200mm wafer-equivalent basis annually, and of that amount, foundries can Lower cSi PV Costs and produce about 47 million. Improve Quality In this issue of Nanochip Fab Solutions, we explore this sea change in the semiconductor industry with Solar Equipment NANOCHIP is now available and the implications for manufacturers. Journalist Katherine Derbyshire looks at the market challenges Upgrades in an environmentally fabless suppliers and foundries face, and Dave Lammers shares GLOBALFOUNDRIES’ bold initiative friendly online version. to build a greenfield foundry in upstate . We also examine important challenges that impact Please send an email to foundries and IDMs alike, such as data overload, and show how intelligent scheduling, predictive [email protected] 16 maintenance and automation software can increase yields and output, and reduce costs. to request online delivery. A case study from our FabVantage Consulting Group demonstrates how collaboration with one customer provided a significant boost in module throughput while reducing defects by 33%. The story showcases the AGS service model, which is about discovering our customers’ most challenging issues, then tailoring programs that achieve results towards resolving these critical, high-value problems. Foundries Take Fast-Paced, All trademarks so designated or Complex Manufacturing to otherwise indicated as product names We also feature a story from the newest members of the Applied Materials team—our Varian 20 New Heights or services are trademarks of business unit—discussing a collaborative parts initiative that has significantly reduced tool costs at Applied Materials, Inc. in the U.S. and PLUS: nearly 50 customer fabs worldwide. And for those in the display and cSi solar businesses, we offer other countries. All other product and 26: A New Fab Grows in the Woods: A Customer Story service marks contained herein are information on new technology and important upgrades designed to improve product performance 31: FABulous Tools trademarks of their respective owners. and reduce production costs. 32: Learn, Share and Connect: Highlights from the Applied Automation Software Symposiums This issue of Nanochip Fab Solutions is packed with stories and information that will help you 34: Focusing on Ion Implant Consumables Can Reduce Total Cost of Tool Ownership 36: Synchronizing Fab and Subfab Operations Saves Energy and Resources navigate the tides of our complex and demanding, and always changing, industry. So dive in— 38: Supporting 99.999% Uptime for Factory Systems the water’s fine. 41: The Last Word Nanochip 1 Today, the fault-detection Data collection was mostly manual

production databases in most state- and primarily used to monitor 70% 70 65nm of-the-art fabs range from 15–30 manufacturing process quality 60% 60

terabytes, with a limited retention using standard, statistical, process 50% 50 45nm period. Manufacturers cannot collect control methodology. Advances in 40% 40 32nm more sensor data, retain it for longer factory automation, tighter process 30% 30

Increase % Increase 22nm periods or use it effectively because tolerances, improved tool capabilities 20% 20 nm Technology 16nm 11nm of issues related to cost, performance and the never-ending struggle to 10% 10 and data management. And while improve yields continue to drive these Interface-A EDA 0% SECS/GEM 0 the downstream engineering data increasing data requirements. 2006 2008 2010 2011 2013 2015 warehouse is often larger than the But the ability to collect data Year production database, it faces the does not mean the data is effectively Sensor ECID CEID Technology same challenges. used. It’s been estimated that Recent discussions with several less than half the data collected is Figure 1: Technology challenges for deep-nano leading foundries and integrated even processed—by computers or semiconductor. ECID = equipment constant IDs; CEID = device manufacturers (IDMs) humans. Data is simply moved into collection event IDs (source: Applied Materials FA group). indicate they are all struggling with storage “just in case.” And of the data the rate of data-collection growth that is actually processed, more than within their current 300mm wafer 90% is never accessed again. fabs. Ironically, they all indicate there is a need for still more data and at Next-Gen Manufacturing number of ECIDs and CEIDs will higher sampling rates. This data- Increases the Challenge increase. So not only will data be explosion problem represents one of captured at a 10x+ faster rate, more As we move to sub-20nm “BIG DATA”— the more daunting challenges facing sensors will be contributing data. technology nodes and 450mm wafer the industry—and it’s forecasted to Although single wafer lots are not sizes, data volumes will continue get even worse as we move to sub- likely to be standard within the next along an exponential growth path. 20nm and 450mm manufacturing. 10 years, two major factors will drive Most data collected from tools is Yet, this challenge is not unique down lot sizes, and with smaller lot too much gathered at rates of less than 5Hz, to the semiconductor industry. sizes more transactional data are with 1Hz being the current norm. Thanks to the explosion of Internet required to manage WIP, which But the International Technology of a good thing? use for social media, online financial compounds the data problem. Roadmap for (ITRS) systems, online shopping, etc., other predicts that within three years, industries have confronted similar 1. Queue times will shorten in sub- the requirement will reach rates of challenges and found compelling 20nm technology nodes, requiring 100Hz. While most experts agree solutions. Some of their learnings a reduction in the exposure time 100Hz data collection rates will be By Spurred by the need to squeeze additional can be applied to help chipmakers of a wafer to air. It no longer will the exception, it is expected that effectively manage their own data- be possible for a wafer to sit idly productivity out of existing assets and 10Hz will become common, and that Scott , growth issues. waiting for all of the other wafers alone will drive a 10x increase in data in the lot to finish processing Jamini Samantaray, successfully ramp new technology nodes, volumes. before moving to the next process. IC Manufacturing Additional factors will also come John Scoville and most semiconductor manufacturers are Generates Significant into play. The current roadmap for 2. An overall increase in process Data Volumes James Moyne advanced tool platforms shows steps will require dramatic cycle- experiencing exponential growth in the Semiconductor manufacturing a 40%+ growth in the number of time reductions that can only be volume and variety of data required to has always been data-intensive. sensors required for these advanced achieved through smaller lot sizes. Initially the focus was around technologies (figure 1). Additionally, support effective operation of their wafer fabs. work-in-process (WIP) tracking, as technology nodes shorten, the metrology and electrical test data.

2 Nanochip Nanochip 3 Lot-A Recipe Step -1

amount of data that will be generated Turning Data into real time to support complex data Recipe in real time and discover patterns and Information analytics in an OLTP environment. “Big Data”— Distance Recipe data trends through offline analysis Applied Materials is working on a In the semiconductor industry Distance too much of data. However, the offline analysis prototype solution to help customers and in many others, turning data into must be performed in a timely use analytics on very large volumes Has of a good thing? actionable information to support Tool-A manner that allows optimization of data through a combination of Tool-B predictive applications is a major opportunities to be identified using distributed databases, map-reduce- challenge that involves both data Has Includes known rules and heuristics, at speeds based processing, and memory- management and processing. But some Wafer-1 which are many times faster than resident graph databases (figure 2). Chamber solutions may already exist. Date today. Advances in data technologies We envision this solution as running For example, take the story of an make this possible. in a private cloud and supporting individual who purchases a headset Occurred What Is the Value? Even more importantly, there dynamic provisioning of system in Korea with an American Express Has FDC is greater value in using the data to resources. Though the technology is Run-1 Alarms Data collection is critical to card. The individual travels extensively predict and resolve issues before specifically targeted to support large achieving the yields, cycle times and and uses the card on a regular basis they occur. Predictive technology sets of data, older fabs will also benefit costs the industry demands. The for hotels, food and transportation but can be used to analyze data to by adopting this solution. Figure 3: Graph representation of factory data for fast real question is, “How do we get seldom purchases electronics. American detect indicators of tool excursions Scheduling, dispatching and data retrieval and processing. more value out of this data?” For Express would immediately catch this before they happen, determine simulation-related applications may example, Applied Materials regularly anomaly and send an email to confirm when tools need preventive and traverse multiple decision trees to engages with customers to help them the validity of the purchase. It is exactly corrective maintenance, predict yield determine optimal automation paths described and give a competitive challenge full of opportunities. The resolve excursion and yield issues. this type of technology that can be used excursions to allow in-line resolution, in real time. Relational databases are advantage to next-generation Applied ability to manage large data volumes The answers are almost always in against the massive volumes of data predict lot arrival times for improved not able to process this type of data Materials automation products. and move the industry from a reactive the data. The challenge is having the in a fab to find potential relationships scheduling, and provide many more fast enough because of overhead in the to a predictive state has the potential tools and expertise to turn that data or trends that could be important to productivity improvements through implementation. Graph databases are Summary to drive significant value for Applied into information that helps solve rapidly identify, categorize, predict other predictive means. Moving designed to help process it efficiently. Materials customers. problems. and potentially resolve anomalies with Explosive data growth in the from our current reactive state Data is captured from multiple Factory operators strive to minimal human intervention. semiconductor industry will continue For additional information, contact of manufacturing to a proactive, sources, including tools and MES and optimize processes to improve yields to be a major challenge, but it is a [email protected] predictive state is where the real MHS automation software. A “data of materials and tools. That requires Description of Approach value of data capture and analysis will aggregator” interfaces with multiple them to effectively use the massive be found. Three main challenges arise from systems and writes data in a schema the explosive growth of data and the suitable for shared-nothing massive processing requirements of advanced parallel processing and map-reduce Engineer 1 Queries: External “Show me all lots/wafers with failure mode XYZ” App analytics, simulation and prediction- processing. “Crawlers” work as “Show me all common tools/chambers for these lots” “Show me the timeline for these lots/wafers being processed on Commercial based applications: WebServ batch processes that apply complex these tools/chambers” BPM/E3 Workflow Call ■■ Email How to store and process hundreds analytics to the data and update “Show me all tool alarms or OOC conditions reported in this Event UVA timeframe on these tools/chambers” Handler Block EqpEng of terabytes of data cost-effectively the distributed memory-resident Engineer 1 Hold Create BM Recipe Req without impacting the online database with the relevant data. Engineer 1 Associations: Association Search Predictive Maintenance Application transaction processing (OLTP) They also interface with a business Engine Engine “Failure mode XYZ” Key Items Save requirements of factory automation. process management (BPM) server Association Query/ “Tools/chambers CVD 001-A&B, CVD004-” Search/ Search UI to Manage “Tool alarms” SECS/GEM User Rules, CEP ■■ to take the next step with the results. Correlate Tool Events User Rules and Crawlers How to fully analyze the growing “OOC conditions” quantity of data without increasing The memory-resident database is a EDA Data Equipment In-Memory set of preprocessed data created as Save Crawlers/CEP engineering staff. (High Speed) Adapter Graph DB or Other Query In-memory Distributed Distributed Cache graph objects based on user-defined Library DB DB ■■ Data Data How to support extreme Bulk Data Aggregator Adapter Server-1 Server-2 analytics and rules (figure 3). (High Volume) transaction processing (XTP)-based Data Sub-system Server-3 Server-n The prediction rules and analytics Search Items data processing for predictive will interface primarily with this storage High Speed analysis, decision tree analysis, Data Loaders for XTP needs. Refer to figure 4 for Crawler Alert and automated and on-demand an example of the automated search Results simulations. Node-1 Node-2 Node-n of “interesting” data to identify and Low MES MHS Other Traditional relational database resolve common failure modes in the Massive Parallel Processing DB Retention Other Engineers and Commercial Map Reduce EES OLTP Supported Storage Database management system (RDBMS) factory. The map-reduce-supported technologies such as Oracle, SQL Server, data storage and graph-based, Figure 2: Conceptual architecture of big data analytics. DB2, etc. are approaching their limits memory-resident distributed database Figure 4: Example of prediction and self-learning by associating common failures. when processing large sets of data in will address the three challenges 4 Nanochip Nanochip 5 But before we talk tools, let’s talk sports cars. Way DPS METAL back in the 1990s, Ford Motor Company was rolling out About the same time as the Probe was rolling off a new model called the Probe. At the time, the Probe was the line, Applied Materials was shipping 200mm DPS about as forward-thinking as American rides got. It was metal chambers (see figure 1) as fast as we could build sleek, relatively fast, could hug a tight corner and came in them. Fast-forward almost two decades and there are still wild colors like aqua blue. I have a friend who owns a Probe hundreds of these chambers in production globally, many that we affectionately named the Blue Blur. I recently had of which are running more advanced technology nodes the chance to take her for a drive. I’m happy to report she than originally specified. can still bring out a smile spinning around a freeway on- In many ways, Applied can be thought of as an Figure 2. Ceramic electrostatic chuck. ramp. It’s nice to know that regular maintenance, a good enormous continuous improvement factory. In addition to tune-up and a little 21st century technology applied to a REV UP a state-of-the-art 300mm laboratory for semiconductor few parts can keep an aging beauty in the fast lane! So now that we had a reliable baseline, there was still development at the Maydan Technology Center in Santa Your tools, like old Blue Blur, can benefit from selective plenty of upside to extend that MTBC! Advanced ceramic YOUR ENGINES Clara and a 200mm engineering and development modernization. Depending on the application and financial coatings used in 300mm systems were applied to several center in Xi’an China, we have thousands of field service drivers, a new engine or chamber upgrade might be the of the chamber components to enhance morphology for engineers supporting thousands of tools around the globe. best solution. In other cases, minor improvements to a few polymer deposition. The engineering teams analyzed Our mission to keep customers’ tools running as efficiently Fab operators know the story: parts might really up the ante for chamber performance deposition profiles and fit, form and function of the OEM By as possible helps our engineering teams stay focused on without breaking the bank. Think of it as an oil change with designs to determine a surface profile that would increase front-of-mind customer issues, often leading to continuous ADAM maximizing uptime minimizes a new set of tires. kit life without increasing particles. improvement programs that maximize efficiency. Applied Global Services (AGS) leverages a cross- The end results? A 4X increase in MTBC, more than KEMPF cost. On any given tool, less Take 200mm DPS metal for example. One of our functional, close-to-fab engineering team dedicated to 50% reduction in premature PMs, lower defect density, and customers asked Applied to address a productivity applying the newest materials, surface technologies and a 50% increase in wafer temperature uniformity—all while frequent preventive maintenance issue that had become pervasive after ramping a more design-for-performance-or-cost principles to breathe new eliminating ongoing de-chucking and arcing reliability issues. advanced product line at the fab. Mean time between life into older tools. In other words, we’re working hard to Suddenly the 200mm DPS metal chamber has a new set of (PM) reduces labor and part cleaning (MTBC) was an issue, compounded by a lengthy take bits and pieces of our newest systems and ideas and wheels with five global implementations underway. green-to-green recovery cycle that kept chambers offline apply them to your existing equipment. Let’s take a couple costs by increasing annualized longer. The customer engaged the AGS Engineering group snapshots in time. part lifetime and reducing to build a chamber-level solution to increase uptime. General chamber reliability was part of the problem. annualized kit cleaning, which Premature wet cleans were performed erratically, resulting in backside pressure faults, wafer slippage, breakage in turn reduces wear and tear. and arcing incidents. Not surprisingly, AGS targeted the electrostatic chuck (ESC) for a little modernization. Even better, when fab utilizations The legacy polyimide ESC was upgraded to a ceramic design (see figure 2) with a lower chucking force to are high, more uptime means eliminate the premature wet cleans caused by wafer chucking issues. Current-leakage rates were optimized more wafers and quicker return with a continual improvement process (CIP) design to on capital. eliminate arcing incidents. But wait, there’s more! AGS improved temperature uniformity by incorporating best known method mesa designs and helium cooling-path configurations that have been proven in leading-edge 300mm applications. Figure 1. 200mm DPS metal. Figure 3. 300mm TxZ chamber.

6 Nanochip Nanochip 7 ACTIVE CONSULTATIVE APPROACH REV UP LISTENING YIELDS BETTER PERFORMANCE YOUR ENGINES The traditional equipment president and general manager support model—whether Charlie Pappis explains, “FabVantage The AGS Engineering team needed to find new By transaction-based or focused on experts offer insights that help ways to solve old problems. We leveraged a state-of- service contracts for corrective customers understand and solve the-art surface technology developed by AGS for CuBS Avi or preventive maintenance and their most difficult manufacturing 300m m TxZ applications that maximizes macro-roughness with replacement parts—enables challenges. Each FabVantage team Let’s fast forward a decade to the 2000s. The reliable micro-roughness. As you can see below (figure 4), Edelstein high productivity and provides is unique and coalesces around the 300mm TxZ chamber (see figure 3) was used in Endura LavaCoat II profiles are highly precise and repeatable, a far economic value and peace of customer problem, drawing expertise configurations for integrated tungsten liner applications cry from conventional coatings. Based on the findings from mind. But sometimes a particularly from whichever groups within into the sub 4X-nm node. By most accounts, this is not an extensive failure analysis, LavaCoat II was applied to the troublesome tool or production issue Applied Materials are most relevant “old” chamber. But that doesn’t mean it can’t benefit from lid isolator and edge-ring to improve film adhesion, which calls for something more: the fresh to the customer need.” some new spark plugs. ultimately reduced particles. eyes of a well-experienced outsider. These teams can diagnose and Because of the nature of the Chemical Vapor For example, this may be the solve thorny tool-level problems, Deposition process, the TxZ is relatively complex and case when an engineering team, and spot fab-level details that might operates at higher temperatures. As a result, PM cycles no matter how capable, runs into a otherwise be missed. Tool output can be lengthy, with green-to-green recovery often throughput, quality or yield problem it and yield issues top most lists of exceeding 24 hours. As technology nodes decrease, so can’t seem to resolve. Or when you’ve fab challenges, and achieving them do particle control limits. Today, 0.12µm particle specs tried everything but you still need sometimes requires solutions that in advanced nodes can limit mean wafer between clean to wring the last bit of performance extend beyond the individual tool. (MWBC) to ~2000 wafers for a 2X50 angstrom process from a tool set or the entire fab. It’s For example, production bottlenecks and even lower as the threshold tightens. Couple this with then that a highly experienced team in the fab, excessive white space another relatively long green-to-green recovery cycle for of consultants, drawn from a range and poor scheduling of maintenance PM and chamber availability can be a challenge. of relevant disciplines and armed activities can have just as great an Once again, the AGS Engineering team set out with a wealth of information sources impact on output as tool-specific to increase uptime at multiple fabs by customizing a Figure 4. LavaCoat II on lid isolator. and technology, can help efficiently faults. chamber-level solution with new surface technologies. and cost-effectively benchmark Although some consulting firms After several months of trials, testing, and a series of failure The end result? MWBC has been improved by ~1.5X and assess the situation—and then assist fabs in identifying problems, analyses from several sites, we were able to trace particle on average while the particle baseline has been reduced recommend practical, achievable finding solutions requires specific sources to just a few hot spots. by ~25%. Annualized chamber availability has increased solutions. process and tool expertise they may The question was how to cool them off. Conventional by weeks per chamber, significantly improving the lack. “With nearly 32,000 Applied grit-blasting procedures can often do more harm than extensibility of TxZ into the sub-4X node. Materials systems installed globally, good by leaving embedded particles on chamber surfaces THE FABVANTAGE SOLUTION across many technologies and or causing micro-surface damage that leads to preferential Over the past two years, WHICH OF YOUR CHAMBERS NEED SPEED? running thousands of processes, deterioration with plasma or chemical cleaning exposure. Applied Materials has assembled At the end of the day, the 200mm DPS metal and we are uniquely equipped to This is especially true when blasting is used to maximize a hand-picked team of consulting 300mm TxZ spare part enhancements are simply two “The real voyage of discovery pinpoint problems—we also can be roughness on ceramics. And while it can be optimized experts drawn from a broad range of snapshots in a large album of our ongoing efforts to accountable for solving them, at both for a micro-surface, this is only half the battle. We consists not in seeking new landscapes backgrounds and experience across partner with customers to maximize their productivity. the tool- and fab operations levels,” needed another solution to address the macro-surface to the semiconductor and cSi solar AGS Engineering is hard at work on several new customer- but in having new eyes.” said Pappis. maximize effective surface area in the particle hot spots. manufacturing industries. The team’s driven programs to integrate new technology into older – Marcel Proust Before the organization was Similarly, conventional metal and ceramic coatings were charter, as part of the Applied Global systems. The good news is we have plenty of bandwidth created, he said, “We didn’t proven less than perfect in the application. Metal coatings Services (AGS) Silicon Practice, has to evaluate your situation and develop chamber-level CIP really have the ability to go after a can affect plasma profiles, while dielectric ceramic been to develop and engage with to significantly improve the speed of your legacy systems. customer’s most challenging overall coatings have the potential to increase particles during customers on an ever-expanding Don’t know where to start? Drop me an email and I’ll problems, but now we can make chamber qualification. portfolio of FabVantage consulting ensure we start a productive and collaborative dialogue. available teams of expert solution offerings in four categories: tool architects and practice leaders who output and cost, fab productivity and can engage with the fab’s experts at For additional information, contact [email protected] cycle time, yield and predictability, the highest level.” and factory systems. As AGS vice

8 Nanochip Nanochip 9

The end-product of the initial • Increase output & efficiency, reduce COO Tool Output and Cost assessment is a proposal that • Recipe optimization, tool configuration, downtime outlines current fab performance • Increase die yield, reduce wafer scrap relative to benchmarks, and details Yield and Predictability • Defects, Cpk, excursions, FDC, matching Active Listening an appropriate problem-solving • Improve fab cycle time and fab efficiency CONSULTATIVE APPROACH plan that might include an in-depth Fab Productivity and Cycle Time audit to make sure best practices are • Dispatch rules, scheduling, IE line balancing YIELDS BETTER PERFORMANCE being followed, a comparison of the • Optimize automation systems in factory Factory Systems fab’s processes and maintenance • Best practices, CIM strategy, CIM roadmap procedures to Applied Materials’ best known methods, or a review of tool the tool hardware itself. The group’s DESIGNING SOLUTIONS A second category of potential configurations. expertise in fab operations is relevant optimizations involves equipment At this point, Applied’s Recommendations developed as MULTISTEP PROCESS even if some or all of the specific tools upgrades. As a manufacturing FabVantage resource and knowledge the result of an audit typically fall into involved are from vendors other than process matures, the engineering A typical FabVantage base managers are likely to get one of a few major categories. Some Applied Materials. focus naturally shifts from process engagement begins with a discovery benchmarking might show that involved. The resource manager is are as simple as the minor adjustment On the other hand, when Applied capability to throughput. Upgrades session focused on identifying key while throughput is fine, the tool responsible for leveraging Applied’s of a cleaning schedule; others involve Materials equipment is involved, to increase output are available for customer goals and challenges— is often down or idle. In turn, that resources to deliver the right talent to hardware modifications. Sometimes the FabVantage team brings deep many process tools. Often these especially those which, if remedied, downtime might be a symptom of the project at the right time, while the the recommendations might offer process knowledge, extensive involve relatively inexpensive changes could provide the greatest value to poor maintenance procedures, while knowledge base manager maintains a several improvement suggestions, understanding of the capabilities to valves, lift pins, and similar the customer’s operation. Sanjiv idle time might indicate an unresolved comprehensive, searchable repository including the costs and benefits of and limitations of these tools, and components. The FabVantage group Mittal, head of the AGS Silicon bottleneck at an upstream tool. Both of best known methods, models and each, because there is more than one the ability to draw on resources has found that such upgrades are Practice Group, said that these of these conditions would degrade other information resources. way to achieve a yield or performance from across the company. Applied’s often overlooked, even though they engagements rely on the consultant’s overall output even though tool goal, and the fab manager must library of tool best known methods are a cost-effective way to enhance ability to actively listen to the throughput might meet or exceed decide which approach best balances PROBLEM DIAGNOSIS (BKMs), for example, contains data performance and extend a tool’s customer’s concerns and interests. specifications. costs and resources. The problem-diagnosis phase can and methodologies for nearly five productive life. “Our team has to understand what’s One important subtlety in Recipe optimization, for example, require as few as 2 or as many as 8–12 hundred 200mm chambers and A final group of potential on the customer’s mind,” he said. “Is benchmarking is the need to under- inherently requires exploration within weeks, during which a FabVantage systems and nearly four hundred optimizations might involve fab-level it that fab output or yield might not be stand and appreciate the unique complex parameters. Many different team works on site at the customer’s 300mm chambers and systems. operation strategies. A downtime meeting expectations, for example, or complexity of each manufacturing procedures might accomplish the goal facility. (A typical engagement is Older 200mm or 300mm fabs may issue might be addressed by pre- does the customer want to see how process or particular end product. while still falling short of optimum detailed in the accompanying article, find this knowledge base especially staging maintenance kits, or by tool or fab performance compares to Foundries will have different results. During an audit, the fab’s “Tool Optimization Boosts Producer valuable. Some of these fabs have increasing the number of technicians the industry as a whole?” Once the expectations than memory or logic existing recipes are compared to the TEOS Output and Cuts Defects.”) been in operation for more than trained in common procedures. conversation hones in on the problem fabs, for example. Tool setup and best known methods for that process. The goal of this step is to identify 20 years, with an equipment fleet areas, the next step is a formal qualification for new products takes Mittal noted, “In many cases fabs use the root causes of the fab’s problem containing both original equipment assessment to define the problem time, and though foundries seek to more and longer chamber cleaning TRUSTED ADVISOR and develop a list of recommended purchases and refurbished tools. and clarify the situation in the fab. introduce new products as efficiently cycles than are needed to maintain FabVantage recommendations solutions. It’s not unusual for such tools as possible, a foundry inevitably will yield. Unnecessary cleaning reduces are not limited to areas where Mittal emphasized that many to be missing key performance PRELIMINARY ASSESSMENT spend more time preparing for new available production time, leads to Applied Materials has a product to of the issues the FabVantage team upgrades. Meanwhile, automation product launches than a dedicated more frequent PMs, and increases sell. “FabVantage engagements are Benchmarking is a major part addresses may have fab-level, not and analytical software have made microprocessor manufacturer will. consumption of NF3 and other gases aimed at one goal: helping customers of this initial assessment. Not only tool-level, causes and solutions. significant advances during the Mittal said the initial assessment used in the cleaning procedures.” find ways to get more good wafers does the FabVantage team compare “Excessive maintenance downtime intervening years. Applying new also uses a fab walkthrough and a Over-cleaning also can gradually out the door at lower cost,” Pappis the fab’s performance to the overall could be a sign of poor tool automation models to established questionnaire to analyze the overall erode the chamber lining and said. “Listening first and attacking Applied Materials installed base, performance, for example, but it procedures can help fab managers state of the fab and identify the issues other interior surfaces. Aluminum challenges that are meaningful to it also extracts details that lay the might also indicate that preventive see where their operations could of greatest concern to fab personnel. contamination, for instance, might the customer lets us become trusted groundwork for further improvement. maintenance is being performed more become more efficient. “These practices often provide indicate deterioration of the chamber advisors—problem-solving partners For example, poor throughput is often often than necessary, or is taking For leading-edge fabs, the important clues: an operator’s casual lining. Although in many cases these with the customer, not just tool blamed when a tool isn’t producing longer than it should because of parts FabVantage team uses its knowledge complaint that reticles never seem to parts can be replaced, doing so is vendors. That kind of relationship as many wafers as expected. But or personnel availability,” he said. of Applied Materials equipment be available after lunch, for example, time-consuming and expensive. helps both sides over the long term.” Auditing the fab’s maintenance to improve tool matching and might indicate a need to revise the Comparing the fab’s cleaning practices procedures can solve issues like make output performance more fab’s reticle management practices.” against established methods helps the For additional information, these without any need to investigate predictable. FabVantage team identify potential contact [email protected] cleaning issues. 10 Nanochip Nanochip 11

NF3 Consumption Per Clean Applied Global Services 2000 performance was below best-in-class levels, 1,800 1,600 with 30 defect adders at 0.16 microns in 1,400 Tool Optimization Boosts 1,200 the customer’s TEOS process. World-class 1,000 Study 800 Case performance for this tool is just 20 defect Producer TEOS Output and Cuts Defects (cc) Concumption 600 3 400 adders at 0.16 microns. It seemed likely that NF 200 finding and correcting the defect source 0 2.00 3.00 3.25 3.50 3.75 4.00 5.00 5.50 or sources would increase output while Film Thickness [K Angstroms] reducing the number of defects. AMAT BKM Customer To be more competitive and cost Challenge Equipment audit reveals Figure 2: NF consumption versus best known method. effective, customers must extract • Increased tool output with defect reductions. A logic customer identified 3 improvement opportunities the most value possible from their Producer TEOS tools as a bottleneck and wanted to increase tool output. In such their tools and drive continuous situations, however, the solution is rarely as simple as making the target machine run Based on the results of the benchmark output, a key customer concern. To help the The FabVantage Consulting Group performance improvements faster. Increasing output depends on the answers to much more detailed questions. assessment, the customer agreed to customer understand the trade-off between compared the customer’s clean recipes proceed with an overall equipment with best known method (BKM) across the fab. By using Are the length and frequency of the TEOS clean cycle appropriate to the film output and defect levels, the FabVantage thickness being deposited? How does this tool’s unscheduled downtime compare effectiveness (OEE) improvement project. Consulting Group used simulations to recipes for the tool. They found that the Applied Materials FabVantage to that of other tools like it, here and at other fabs? How well do the chamber pump This project included an equipment and analyze two possible scenarios. The first customer’s clean procedure used 34% benchmarking and implementing and vent times balance process time against defect control? The balance between procedures audit, comparing the customer’s scenario achieved a 35% throughput more NF3 on tools depositing 2000Å recommended solutions to key throughput and defect control was especially important in this case, as the fab was configuration and practices with the improvement, primarily by reducing pump films, but 18% less on tools depositing audit findings, this customer has introducing a more advanced process node. industry’s best known methods. The analysis and vent times to 70 seconds, but included 5500Å films. Insufficient cleaning on the increased uptime and improved found that the customer’s relatively long no defect reduction measures. The second thicker films may have contributed to the 180-second pump and 90-second vent scenario, the recommended one, reduced customer’s problems with lift pin sticking cycle times for their Producer Solutions times— which had been implemented to pump and vent times less dramatically (to 75 and breaking. TEOS tools, yielding 23% greater • AGS FabVantage benchmarking study. The AGS FabVantage Consulting reduce defects—were slowing cycle time seconds), but also included defect reduction The customer implemented throughput with a 33% reduction Group completed a benchmark assessment comparing the customer’s uptime and and reducing net output. measures such as slower lift speeds. the FabVantage Consulting Group’s in defect adders. defect performance against industry data. A closer look at the hardware This customer was also concerned recommendations on a “golden tool” at • AGS FabVantage audit and recommendations. This project included an configuration revealed several immediate about frequent automation faults and the fab. The recommendations included equipment and procedures audit that compared the customer’s configuration and opportunities for particle reduction. For downtime. As noted above, customer’s BKM clean recipes, revised pump and practices with the industry’s best known methods. The FabVantage Consulting example, the recommended maximum tools reported 88% average uptime, vent times, and defect-reduction best Group made specific recommendations to optimize production in the fab. loadlock vent pressure is 30 psi, but vent while world-class tools achieve 95% practices. The result was a 33% reduction pressures as high as 80 psi were being used. uptime. The equipment audit found that in defect adders on test wafers, from 30 to Such high pressures cause the loadlock chamber clean cycles were more frequent 20 adders, and a throughput improvement Impact diffuser to shed particles. than recommended, based on the films of 23%. Average lot processing times • Throughput increase. Reducing pump and vent times generated a throughput Reducing the slit valve door speed being deposited. Not only did these dropped from 34–40 minutes to only 26– improvement of 23%. Adjusted clean cycles allowed the tool to increase uptime and the wafer lift speed reduced particle unnecessary frequent cleans reduce tool 29 minutes. The tool achieved 20% better Best Practices Lead to by 20%. shedding by those components and helped availability, the added wear they caused uptime performance. The customer’s Better Performance • Defect reduction. Modified vent pressure, slit valve door speed and wafer lift address some automation faults. However, potentially increased the need for chamber director of fab yield declared the changes those changes also reduced process maintenance. a “roaring success.” As one fab speed reduced defect adders on test wafers from 30 to 20, a 33% reduction. • Cycle time improvement. Implementing best known clean methods shortened engineering lot processing time from 34–40 minutes to 26–29 minutes. Producer TEOS Throughput Improvement Annual PM and AMAT BKM Begun on Tools ww21, completed ww39 (use 2K film thickness recipe as baseline) 65nm 90nm manager put it, 1.40 “The improvements 1.30 we were able to 1.20 200m m Logic Fab Improves Group completed a benchmark assessment 1.10

implement based Bottleneck Tool Performance comparing the customer’s uptime and 1.00 A leading 200mm logic customer defect performance against industry data. 0.90 on the FabVantage Output Improvement Normalized Baseline* Reduce LL pump Reduce recipe Uptime Defect improvement This assessment found that the customer’s and vent time stabilization time improvement** (slow lift pins recommendations identified their Producer TEOS tools as a to 75 sec by 5 sec and slit valves) bottleneck and wanted to increase tool tools achieved about 88% uptime, Reduce recipe stabilization time Reduce recipe stabilization time Uptime improvement Defect improvement were a big win.” * Baseline based on one loadlock running at 57 sec vent time per e-log data ** Estimated increase in uptime 2010W392010W412010W432010W452010W472010W492010W512011W012011W032011W052011W072011W092011W112011W132011W152011W172011W192011W212011W232011W252011W272011W292011W312011W332011W352011W372011W392011W412011W432011W452011W47 output, minimize defects and increase compared to 95% uptime achieved in uptime. The AGS FabVantage Consulting best-in-class (“world class”) tools. Defect Figure 1: Throughput improvement roadmap. Figure 3: Defect improvements on 90nm and 65nm technology.

12 Nanochip Nanochip 13 of another film. This is why a single TFT Active Materials a-Si Metal Oxide LTPS hardware change is not sufficient to Carrier Mobility Reported: < 1 1 ~ 50 50 ~ 300 improve SiO uniformity. It is also is (cm2/Vs) Typical: 0.6 ~ 0.8 5 ~ 10 60 ~ 100 2 why design changes to the diffuser Off Current (μA) < E-6 < E-7 < E-6 and baffle plate and the introduction TFT Stability Poor Good Excellent of a new gas deflector have been A Technology (NBTS @60C/-20V/10k sec) (> 30 V) (< 2 V) (<0.5 V) Metal bundled as a group. Together, they TFT Uniformity Good Good Poor can deliver concurrent improvements in SiO and SiN uniformity while Revolution Manufacturing Yield High (> 95%) Unknown Low (≈ 85%) 2 X retaining a-Si uniformity. The a-Si for High-Definition Displays Mask # 4 ~ 5 4 ~ 7 5 ~ 7 Oxide: uniformity is not necessarily relevant Cost Low Low High for a production metal oxide tool. It

Scalable to Large Panels Yes Yes ? is very important, however, for panel makers interested in converting an As we all spend more time than ever looking at Process (Semiconductor) PECVD PVD PECVD By a-Si TFT fab to a metal oxide TFT fab. Kerry video displays—home TVs, smart phones, car Process Temperature (C) >300 RT >400 GPS monitors and an almost unlimited array of Moving IGZO-TFTS into Cunningham Table 1. Comparison of TFT backplane technologies. electronic devices (many with very small form Production As IGZO gains momentum as factors)—it’s not hard to figure out that demand with existing a Si fabs, with only minor Also, to protect the IGZO a replacement transistor material is booming and innovation is flourishing! modifications required. Further, there material from damage during for advanced displays, AKT, the is no need for the additional masking subsequent process steps and to Display Business Group of Applied At the top of the list are higher For most of the display industry’s The ideal alternative would be a steps and laser-annealing tools improve transistor stability, an etch- Materials, is working with the world’s quality, product-differentiating history, a-Si has been the dominant material with higher carrier mobility, associated with LTPS. stop layer is often required. For an leading display makers to help them screens such as high-resolution material for the transistor backplane low manufacturing costs, high Although LTPS remains the etch-stop TFT structure (ES-TFT), successfully bring IGZO transistors LCDs to reduce blurring of text or fine because a-Si devices are relatively manufacturing yields and the ability backplane of choice for high- the critical interface layers are at the into production. We have introduced images and organic LED (OLED)- inexpensive to manufacture. They to scale to large glass sizes with good resolution smartphones and top of the gate insulator and at the the critical PECVD films that enhance based screens to provide brilliant have high manufacturing yields and film uniformity, so that manufacturers mobile OLED devices, metal oxide bottom of the etch-stop. These layers the performance of metal oxide

colors and improve the contrast ratio. are relatively easy to scale, processing can take advantage of the reduced technology likely will be adopted for can be made entirely from SiO2, or transistors, and are developing a 2 But higher quality screens aren’t just glass sizes as large as a whopping 9m . cost per square meter of glass ultra-definition televisions, higher they can be an SiO2/SiNX bilayer, as fundamentally superior PVD IGZO proliferating in mobile devices. If the But while TFT-LCD with a-Si manufactured for larger glass panels. resolution tablets and other mid-sized long as the low-H SiO2 film is facing solution. With world-class equipment plethora of exhibits of ultra-definition, transistors is currently the dominant Until recently, low-temperature mobile devices. the IGZO. Figure 1 illustrates an technology, deep process integration 3D and OLED TVs at recent industry display technology, market demand polysilicon was the only high- example of a metal oxide ES-TFT. know-how and strong collaborative trade shows is any indication, is moving toward higher resolution, performance alternative to a-Si. LTPS IGZO Transistor Stack and relationships with our customers, television makers will be introducing faster refresh rate and 3D displays has excellent mobility and stability PECVD Films Metal Oxide Upgrade Kit we are helping the display industry sleek, sophisticated displays made that are beyond the capability of the but is considerably more expensive transition to the metal oxide era. Like most cutting-edge Applied offers an upgrade kit for with OLED technology to support a-Si transistor. A key limitation of a-Si than a-Si, due to additional process technologies, the implementation of AKT PECVD tools from generation 4.5 For additional information, contact new applications and woo buyers is low carrier mobility (the speed at and masking steps and the need for metal oxide materials is not without through 10 process chambers and lids [email protected]. back to the TV aisle. which electrons can travel through expensive excimer-laser annealing. challenges. For example, IGZO to enable improved uniformity in the I it’s fair to say the display the device). For hi-res LCD and OLED LTPS is also difficult to scale to the stability is degraded by impurities production of metal oxide films. Key Etch Stopper Passivation industry is undergoing one of the mobile devices, low carrier mobility substrate sizes suitable for television such as hydrogen and moisture, components of the upgrade kit are: a-IGZO most significant technical transitions means higher power and increasing screens. and this instability can lead to mura ■■ of the last 20 years, driven by battery size and weight. For TVs, More recently, metal oxide Redesigned metal-oxide-specific (i.e., visible) defects in large LCD advances in the thin-film transistors higher carrier mobility is required to materials, particularly indium gallium diffuser with enhanced support televisions and all OLED devices. To Drain (TFTs) that control the display’s enable large-size ultra-definition 3D zinc oxide (IGZO), have gained mechanism Source help guard against this, dielectric picture, providing clarity and crisp capability. momentum. These materials offer ■■ New gas deflector Gate materials, or insulators, with good imagery. An array of TFTs is referred Because a-Si carrier mobility more than 10x greater carrier mobility uniformity and low hydrogen content ■■ Spatially adjustable RF power to as a backplane, and today there is not sufficient for the new high- than a-Si and also deliver lower cost must be used wherever contact is feed are three backplane technologies: performance displays required by and better scalability, making them made with the IGZO material. SiO Gate Insulator amorphous silicon (a-Si), low the latest smartphone and tablet the technology of choice for displays 2 A challenge with more stringent with <5% H atomic concentration temperature polysilicon (LTPS) and PC designs, the industry is looking (table 1). IGZO has the additional uniformity requirements on a specific typically is used for the dielectric- Figure 1: Etch stop TFT structure with metal oxide. metal oxide (MO). for the right replacement material. benefit of being largely compatible film is that improvements in one film metal oxide interface layers. often can degrade the performance

14 Nanochip Nanochip 15 Quality is more important the goal is to square the ingot Structured wire enables higher Applied Materials’ HCT group than ever, because as module as accurately as possible. table speeds, reducing wire has developed a high-tension manufacturers and installation When wafer sawing, the goal consumption and increasing upgrade kit that can withstand designers seek to reduce is to achieve consistent wafer productivity. The improved up to 300 N of wire tension, balance-of-system costs by dimensions while minimizing slurry transport of structured enabling higher productivity. generating more electricity cracks, wafer surface and edge wire enables table speeds to Using 400µm wire at a from a given area, the minimum damage. In each case users be increased up to 275 µm/ tension of 160 N, Applied has efficiency they will accept is need to maximize productivity min without affecting slicing demonstrated yields in excess increasing. Cell manufacturers, and minimize silicon waste yield, which translates to a gain of 95% at table speeds up to therefore, must raise average (kerf loss), wire and slurry of 2 MW per year as well as 2500 µm/min as shown in cell efficiency, deliver more consumption, and scrap. 20% less wire consumption. figure 1, with brick dimensions uniform cell performance, and Upgrading to structured wire consistent to within less than reduce breakage and other New Wafer-Sawing can be done in less than a half a millimeter at that yield sources of yield loss. Process Technologies day and has about a 6-month and up to a 66% increase in In times like these, payback period, including an overall productivity, including Two process alternatives manufacturers strive to extract estimated operating savings of maintenance time. The to standard wire sawing are as much value as possible ~2¢/wafer (~$60k/year/tool) high-tension kit upgrade can being considered. Structured from their existing production from lower wire and energy result in an additional 42 MW wire, an Applied proprietary equipment, often looking to consumption. per year per upgraded tool, technology already used in the incremental equipment and at an extremely competitive squaring process, incorporates process improvements. But Ingot Squaring $k/MW capital cost. The pre-manufactured “crimps” into these improvements take upgrade doesn’t increase cost the wire. The crimps hold slurry The industry’s current time—changes to cell materials of ownership, doesn’t require close to the cutting surface, best-practice for ingot-squaring and structures must be tested, any additional floor space, and improving slurry transport and uses 300 µm-diameter new product certifications is compatible with standard cutting efficiency. Structured structured wire at relatively low may be required, equipment slurry wire and diamond wire wire wafer sawing works tension (90 N) and relatively upgrades must be installed and Gen 5 squarers. equally well for multi- and low table speed (1200 µm/min). assessed, and the process must monocrystalline wafers. be requalified. Depending on A second alternative, the extent of the change, it could diamond wire, uses a cutting Lower c-Si PV Costs be 3–9 months or more before HT Squarer coolant instead of slurry. Upgrade Installed improved products begin to ship Diamond particles embedded to customers. and Improve Quality in the wire produce the cutting Market slowdowns give 100% action. Though diamond companies the opportunity 80% with Solar Equipment Upgrades wire trades high slurry cost to take equipment offline for 60% for lower coolant cost, the 40% Hi Tension Kit Squarer upgrades. Companies that Wire: 400µm Structured Wire wire itself costs as much as 20% Tension: 160N retrofit existing tools with Wire Speed: 15 m/s It’s a difficult time to be a solar cell manufacturer. Aggressive capacity 0% $150/km, compared to $1/ at ±5mm Dimensional Yield By productivity and performance 0 10 20 30 40 50 expansion in recent years has driven oversupply in today’s market. In km for standard or structured Number of HT Cuts upgrades will not only help Table Nicole wire. Recently significant Speed 2200n µm/min 2500n µm/min 2011 alone, more than 19 GW of equipment was installed by new and themselves succeed during the improvements have been made current downturn, but also will Pelton and established solar cell manufacturers compared to 30 GW of wafer to diamond wire consumption, be in the best position to take especial for monocrystalline Figure 1. High tension kit squarer performance on Gen 5 multi with Jennifer conversion capacity in place at the end of 2010. advantage of opportunities as wafers, although the technology slurry demonstrates high yield at 2500 µm/min, which is more than [1] market growth returns. While the end market remains healthy, with a projected 17% shows less hope of becoming twice the baseline table speed of 1200 µm/min. Sabharwal Because silicon material competitive for multicrystalline compound annual growth rate of solar PV installations from 2011 through and wafering represent more wafers. 2016, the oversupply of cell manufacturing capacity has put significant than 30% of the total module For Applied HCT B5 cost today, the ingot-squaring downward pressure on prices, reducing profitability. Consequently, wafer wafering saws, standard and wafering steps are natural industry practice is 120µm and cell manufacturers face a twofold challenge: continue to reduce targets for optimization. Both straight wire at a table speed depend on wire saws but manufacturing costs while improving product quality. of about 210 µm/minute for a have different operational 1.6–1.8m multi silicon load cut. requirements. When squaring, 16 Nanochip Nanochip 17 Lower c-Si PV Costs and Improve Quality help open the door to finer Applied Baccini testing mono- or multi-crystalline cells line printing. Increased silver has demonstrated that DP and on the minimum efficiency with content, optimized paste consistently produces more required. DP can enable higher rheology and robust contact to efficient cells for a given amount yields of high-performing cells that Solar Selective Emitter Cell Structure Si have addressed many of the of paste consumption than sell for better prices. Equipment manufacturing concerns with single printing (figure 2). DP has Upgrades pastes, but a new challenge shown an increase in absolute Printed Selective arises: Printing fine lines that yield by an average of 0.60% in Emitter Integrated don’t slump or spread out can an extended marathon (10-day) Solution ARC / result in gaps in the printed test. Indications are that this Ag Passivation Selective emitter (SE) fingers, preventing current from improvement occurs because Double Print technology is an upgrade option + ++ being collected in some regions the second paste layer fills gaps n Light Doping n that has attracted a lot of industry Highly Doped After silicon, silver paste is of the cell’s active area. in the first layer, repairing broken Si attention but has been slow to the next largest contributor to An alternative approach, lines that otherwise would cause p-type reach volume production because the solar cell bill of materials. double printing (DP), uses two open contacts and prevent robust, cost-effective SE solutions Narrow contact fingers printing passes to place one thin current from being collected in delivering high efficiency gains have consume less paste and leave line on top of another, with a some areas of the cell. been lacking, at least until now. more of the cell area exposed drying step in between so that The quality and reproduc- Figure 3: Selective emitter places a region of lower sheet resistance (increased Screen-printed SE doping to sunlight: a double win. the first line serves as a rigid ibility of screens is critical for doping) under the front silver grid to allow the open area to be tuned to reduce increases the dopant concentration But it’s not enough to simply support for the second. Applied successful DP implementation. carrier recombination and improve cell efficiency. under contact fingers (figure 3). As reduce contact width, because Baccini Esatto Technology Metallization screens must offer a result, doping in the open areas narrower lines are limited by includes a precision alignment improvements in image definition dopant printing (LW = 200- than that when the benefits of of the cell emitter can be optimized the need to maintain total line kit, advanced process control and in quality, cost and reliability. 250µm, screen life of 12000 process yield are added. to minimize recombination and cross-section, or line resistance. software and other components Long lifetime, repeatable end-of- wafers), metallization line improve blue light response. The Therefore, narrower lines to support DP. An Esatto upgrade life performance and excellent width and alignment to dopant Modest Upgrades, ultimate goal of such designs is to must be taller. But printing adds value to Applied Baccini end-of-life image stability are areas. The entire customer Big Benefits improve cell-conversion efficiency. tall, narrow lines in one pass is platforms running customers’ also requirements. line, including eight diffusion Applied Materials’ Energy and Structured wire wafering, difficult because of the paste’s existing processes, and enables Applied Materials has furnaces and the PSG etch Environmental Solutions (EES) high-tension squaring, double- characteristics before drying— the development of advanced demonstrated fabrication of system, was tuned to achieve and Global Services (AGS) units printed silver contact lines, and the lines aren’t strong enough cell manufacturing. The result high-precision Fino DP screens this milestone. jointly offer an SE printed solution, printed selective emitters are to support their own weight, so is ultrafine line printing without with 45µm openings capable Metal alignment on top which includes qualification of all upgrades, requiring retrofits they sag and spread out. interruptions, and with tight of printing <60µm fingers. Fino of the SE region is not trivial, dopant printing, Honeywell paste to existing manufacturing Though tall thin lines may process control and higher yield. screens have been optimized for however. Applied Baccini sup- and Fino screens in customers’ lines. Yet they can reduce be necessary for traditional With DP and Esatto, there are interaction with new pastes to ports this with proprietary and existing lines. It also involves material consumption, increase silver pastes, advanced paste many more options for printing deliver fine-line image definition patented Esatto Technology full device characterization, productivity, and improve formulations are available control and for optimization of and control, with stable lifetime to ensure stable and reliable modification of customer designs wafer and cell quality. They to address resistance and paste materials. performance and extended pattern-alignment on any (number of fingers and emitters), show how even seemingly screen life. For example, finger wafer-type or emitter-type, and optimization of entire cell minor optimizations of existing width of <65µm precision is a even when SE patterns are processes (diffusion, passivation manufacturing lines can help Single Print Double Print significant improvement over the invisible to the naked eye. and metallization). Once cell reduce costs and improve >80µm precision available with Having an SE region also integration achieves the targeted profitability, even in challenging conventional screens. Total cost improves cell yields, both cell efficiency, a manufacturing market conditions. More Front Grid Fingers with Gaps of ownership is significantly lower because of a larger metal- marathon is run to demonstrate with the new high-precision paste-firing process window overall validity of the results. For additional information, contact screen technology. and because the highly doped The AGS and EES teams jointly [email protected] Mean: 18.484% Mean: 18.612% The net gain is almost 1 extra region reduces the occurrence Stdev: 0.44% Stdev: 0.28% completed a marathon of >30,000 MW per line per year due to of shunting. Frequency Wafers: ±15% Frequency Wafers: ±15% wafers at a customer site in China. [1] Rejects: 4.2% Rejects: 1.1% additional efficiency gain, with With a cell efficiency Source: Solarbuzz® Quarterly The results demonstrated a 0.5% Report, Q1 2012 customer data showing a 12- to- increase of 0.5%, the typical cell efficiency gain, where the 16 17 18 19 16 17 18 19 16-month payback. In addition, payback period for Applied Cell Efficiency, % Cell Efficiency, % customer’s 18.2% cell baseline gains in electrical yield can Materials SE formation with was taken to 18.7%. The results further accelerate the payback, Esatto Technology is less Figure 2. DP gives an increase in average efficiency and electrical yield over single-pass printing demonstrated very controlled depending on the cell price for than 12 months, and even less while using less silver paste.

18 Nanochip Nanochip 19 RANK 1985 1990 1995 2000 2006 2011 1 NEC 2.1 NEC 4.8 13.6 Intel 29.7 Intel 31.6 Intel 49.7 2 Ti 1.8 4.8 NEC 12.2 Toshiba 11.0 Samsung 19.7 Samsung 33.5 3 1.8 3.9 Toshiba 10.6 NEC 10.9 TI 13.7 TI 12.9 4 Hitachi 1.7 Intel 3.7 Hitachi 9.8 Samsung 10.6 Toshiba 10.0 Toshiba 12.7 5 Toshiba 1.5 Motorola 3.0 Motorola 8.6 TI 9.6 ST 9.9 Renesas 10.7 6 1.1 Fujitsu 2.8 Samsung 8.4 Motorola 7.9 Renesas 8.2 * 9.9 7 Philips 1.0 Mitsubishi 2.6 TI 7.9 ST 7.9 Hynix 7.4 ST 9.6 8 Intel 1.0 TI 2.5 IBM 5.7 Hitachi 7.4 Freescale 6.1 Hynix 9.4 9 National 1.0 Philips 1.9 Mitsubishi 5.1 Infineon 6.8 NXP 5.9 Micron 8.6 10 Matsushita 0.9 Matsushita 1.8 Hyundai 4.4 Philips 6.3 NEC 5.7 Broadcom* 7.2 TOP 10 TOTAL 13.9 31.8 86.3 108.1 118.2 164.2 Semi Market 23.3 54.3 154.0 218.5 264.6 320.8 TOP 10 % of total semi market 60% 55% 56% 49% 45% 51% * FABLESS

Figure 1. World semiconductor sales leaders ($B). Source: IC Insights.

Times have changed. Foundries also stand production. TSMC, the world’s Fab costs have climbed alongside their IDM counter- largest foundry, would have sharply, making it harder parts in both production been the world’s number six for smaller companies to capacity and technology. While semiconductor supplier in justify the costs of their they still give small groups of 2006, but would have been own production facilities. designers the opportunity to number three in 2011 (figure 1)[1]. Electronic systems have realize their ideas in silicon, Samuel Wang, Gartner become far more complex, they also support some of Inc.’s chief analyst for with a wide range of the industry’s largest semi- semiconductor foundries, said, mixed-signal devices joining conductor suppliers. Two of “Today the semiconductor FOUNDRIES memory and logic in designs the top ten companies on IC industry has the ability to for mobile electronics. And Insights’ most recent list— produce about 206 million TAKE FAST-PACED, COMPLEX MANUFACTURING foundries, according to Raj Qualcomm and Broadcom—are wafers globally on a 200mm Kumar, senior vice president fabless, with no manufacturing wafer-equivalent basis annually, and general manager of capacity at all. Several other and of that amount, foundries GLOBALFOUNDRIES’ top ten suppliers outsource can produce about 47 million.” Singapore operations, are at least some fraction of their (See figure 2.) outpacing semiconductor TO NEW HEIGHTS industry growth. “More and 2009 2010 2011 2012 bigger IDM companies are Foundry capacity (in thousand 200mm wafers/year) 34,939 37,906 42,970 46,977 BY In 1987, when TSMC was established, the leading edge of adopting the fabless-fablite business model,” Kumar said. Worldwide semiconductor semiconductor technology belonged to IDMs. Sure, the fab capacity 168,259 180,728 199,417 205,932 KATHERINE “In addition, foundry growth Ratio foundry/worldwide fabs 21% 21% 22% 23% conventional wisdom went, foundries might be able to serve small is driven by the upturn of the DERBYSHIRE mobility market, which is the Figure 2. Growth in foundry capacity. Data source: Gartner, Inc. suppliers of specialty chips, or produce chips based on earlier fastest and largest growth designs that could be manufactured using older technology. But market in the semiconductor segment, and by increases Raj Kumar, senior vice president high-performance chips? Not a chance. Those require the tight in semiconductor content in and general manager of the consumer, mobile and GLOBALFOUNDRIES’ integration between fab and design that only an IDM can provide. automotive segments.” Singapore operations

20 Nanochip Nanochip 21 FOUNDRIES TAKE FAST-PACED, COMPLEX MANUFACTURING TO NEW HEIGHTS

DRIVE FOR EXCELLENCE to build manufacturing plants, MOBILE DRIVES FOUNDRY For foundries, SEMI’s Tracy the tradeoff is that their said, demand for capacity at Foundries have achieved DEMAND commercial success largely 28nm and smaller technology this position by devoting According to Mike Splinter, depends on foundry partners’ nodes is a major driver of the the same level of capital Applied Materials CEO, the ability to deliver wafers in a large capital expenditures expenditures and engineering company expects smartphone timely manner. A recent Global expected in 2012 and 2013. resources to manufacturing sales of between 660 million Semiconductor Association These are investments to meet that leading IDMs do. and 710 million units in calendar (GSA) study of supply chain existing demand, he noted, According to SEMI’s most year 2012, and tablet sales of practices found that most and so are likely to take place recent fab equipment forecast, more than 100 million units in semiconductor companies even in the current worrisome 20% of the new production the same period. “These devices TIMING IS EVERYTHING from the foundry customer track demand and revise their economic conditions. all the way to the end user. capacity scheduled to come are driving demand for leading- Foundries must meet forecasts monthly or weekly, Morningstar analysts Brian To address these challenges, online in the next two years is edge foundry capacity, and the current delivery schedules for while procurement planning Colello and Andy Ng believe GLOBALFOUNDRIES’ Kumar being built by foundries. SEMI market leaders are aggressively new product introductions is conducted monthly at best. that only a few foundries said, “our go-to-market strategy, analyst Dan Tracy estimates accelerating their ramps at (NPIs) and for perhaps For traditional semiconductor have the ability to stay on the technology development foundry-related spending on advanced nodes,” he said. “One hundreds of different products, manufacturers, capacity technological leading edge, roadmap, and ‘well-planned equipment will be $14.2B this result is that foundry investment all while ramping production planning is a critical component and that shortages at 28nm capacity’ become extremely year vs. ~$14.8B in 2011, with has contributed almost 60% of additional technology nodes of supply chain management, and, potentially, 20nm, will important. Other areas— most of it aimed at 28nm or of our revenue in the first two and working to develop process matching manufacturing cause customers to strengthen improving fab productivity, smaller process technology. quarters of fiscal 2012.” models for the nodes beyond resources to current and second-source relationships and cycle time and flexibility “IDMs on average spend Moreover, Gartner’s Sam that. As the GSA study made anticipated demand. Fabless reexamine their primary supply through cost-effective solutions; about 20% of revenues on Wang notes that for the first clear, on-time product delivery companies have outsourced sources. enjoying shorter lead time from capex whereas foundries spend time in the industry’s history is a critical metric, especially in this link and as a result, they Nor can foundries afford equipment suppliers; and cost about 50%; or in other words, all leading-edge technology consumer-driven sectors, with are sometimes constrained by to focus all their attention on competitiveness—also would for every $1 in revenues they at foundries is being driven by their frequent new product foundry ramp rates, particularly current technology. Fabless help us support our customers invest 50 cents,” says Gartner’s mobile applications. introductions and calendar- for advanced technology nodes. designers need to develop their in faster time-to-volume Sam Wang. The numbers aren’t driven purchasing seasons. IP well ahead of leading-edge production.” directly comparable, he noted, Smartphone companies like production. Keith Windmiller, because foundries generate Apple introduce new models senior director of design revenues on wafer sales while several times per year and PREDICTABILITY HELPS technology at Rambus, reports IDMs generate revenues from a depend BOOST OUTPUT that his company has been variety of sources, which makes suppliers to help them meet the designing for the 28nm node Predictability and its effect their total revenues higher, but resulting demand. for two years and already on delivery performance, quality the figures serve to illustrate Knowing when product will has had five tapeouts at that and cost are critical factors in a foundries’ capital intensity. be delivered sets the schedules technology. The lengthy process foundry’s success. All foundry This drive to excellence by for the back-end assembly of qualifying new processes and revenue derives from the sale foundries results from brutal plant, the system integrator, and validating advanced IP must of processed wafers, and the competition for customers like ultimately delivery of the end begin long before production links between wafer quality, Qualcomm and Broadcom. product itself. Late deliveries orders materialize. delivery and cost, and overall While fabless semiconductor can cause cascading problems profitability are very close. suppliers avoid the large capital expenditures required

22 Nanochip Nanochip 23 FOUNDRIES TAKE FAST-PACED, COMPLEX MANUFACTURING BETTER CYCLE TIMES Similar considerations manufacturing,” Vol. 6 (2), Tool health and yield 2011), predictive scheduling monitoring become more difficult TO NEW HEIGHTS Frequent NPIs are the first apply throughout the foundry. seeks to minimize the impact when the tool is being used for obstacle to on-time delivery. In theory, foundries would like of such bottlenecks. Real-time many different products. If a While a traditional IDM might for all customer products to scheduling seeks to dispatch parameter like etch time or rate launch only one or two new accommodate wide design lots in response to current fab changes frequently, how does products in a month, a busy margins, allowing them to be “Greater predictability is key to conditions, whereas predictive the foundry establish a baseline? foundry might have more than manufactured by any tool in the ability of foundries to get scheduling seeks to anticipate Traditional statistical process a dozen NPIs. Each of these, no the fab. In practice, however, more output from their factories and minimize likely bottlenecks control (SPC) methods are not matter how large or small the leading-edge products seek by decreasing excursions, by by adjusting queue depths at as effective. Instead, the fab may order, requires a set of reticles to minimize design margin in enabling higher yields and upstream tools. For example, an need to pair those methods with and a qualified set of production order to meet performance quality, and by decreasing the accurate schedule can ensure fault-detection and excursion- tools. Furthermore, each goals. “When you put in place total cost of ownership of their that reticle moves needed for modeling techniques. engineering lot and each new lots of design restrictions,” tools. Foundries must maximize early afternoon production For example, if the etch rate product requires some degree of Rambus Labs VP Gary Bronner tool and factory productivity are finished by lunchtime, varies depending on the process tool setup. “The ability to rapidly said, “you can throw away while keeping their variable eliminating or reducing recipe, an excursion model might run NPI lots is not just a key many of the benefits of a new costs low for such items the common post-lunch monitor the relationship between differentiator between foundries technology node.” as labor, spares, gases and productivity dip. power and etch rate, or the rate of and IDMs,” said Mittal, “it’s the chemicals,” said Sanjiv Mittal, gas consumption. Parameters like lifeblood of a foundry; it’s how PERFORMANCE head of Applied Global Services’ these might indicate the chamber they bring in and satisfy new MATCHING PLAN MAINTENANCE, Silicon Practice Group. “Though is becoming less efficient and customers.” REDUCE DOWNTIME, HAVE any single lot might be a small For the foundry, tight needs maintenance. For foundries, demand is Though a foundry may MORE CONSISTENCY part of a foundry’s overall design margins translate to a Similar data also can be inherently unpredictable, but never be able to achieve the One way to limit the production, it might be the lion’s need for performance matching used for predictive metrology, customers need consistent uptime typical of high-volume formation of bottlenecks is to of a particular customer’s among the tools qualified for anticipating the performance performance. More predictable memory fabs, intelligent anticipate the need for tool order, and a single scrapped lot that product. The combination of a lot before it reaches the operations are the key to scheduling can help minimize maintenance, Moyne explained. or damaged reticle might be of small lots and tool matching next metrology or end-of-line reconciling the two. Tool tool setups. For example, the Each maintenance procedure disastrous for that customer.” means that only a few tools test point. Predictive metrology health monitoring can improve lithography cell is a typical takes the tool offline for a period of each type may be qualified based on tool data offers another downtime and yield predictions. bottleneck in most fabs. In of time, consumes expensive for any given product. If one important advantage as well. Predictive scheduling tools can a foundry, the appropriate parts, and requires attention from tool in that set experiences When a defect is found at end- optimize wafer throughput reticle—one of thousands in skilled technicians. To control a yield issue or unscheduled of-line electrical test, or even at and reduce delivery times. inventory—must be available at costs, foundries would like to downtime, it can quickly an intermediate metrology point, Customers get the consistency the stepper when the wafer lot maximize the time between PMs become a bottleneck. The identifying the tool responsible they need, while the foundry arrives. Each product may have but there is a necessary balance more difficult it is to match can be extremely difficult. Even controls per wafer costs. a slightly different resist coat between doing too much and performance among tools, once the problem can be traced time or developer temperature. too little maintenance. If tools For additional information, the more those qualified tools to a single tool or group of tools, To optimize scheduling and are not adequately maintained, contact [email protected] will constrain the output of time-consuming short-loop yield, products with similar performance and yield will products that use them. In this experiments may be needed process recipes should be suffer. In extreme situations, the [1] To avoid double counting, way, bottlenecks can “float” to identify the specific process run in succession. Raising the result might be an arc or other IC Insights does not include through the process line rather chamber involved. In contrast, developer temperature in small catastrophic failure, destroying a foundries on its list of top than being fixed in front of yield predictions based on data suppliers. increments is more efficient process wafer and requiring hours particular tools. from the tool itself allow a much and gives more accurate of cleanup and re-qualification Manufacuring images courtesy As James Moyne closer correlation between the Taiwan Semiconductor results than making large time. The goal is to avoid both explained in the last issue specific chamber and individual Manufacturing Co., Ltd. changes. unnecessary maintenance and of Nanochip (“Incorporating wafers. prediction in next-generation unscheduled downtime.

24 Nanochip Nanochip 25 By A greenfield fab, in semiconductor industry parlance, David implies building a fab in a new area, one lacking a A NEW FAB Lammers semiconductor infrastructure. GLOBALFOUNDRIES calls Fab 8 in the Luther Forest area of Malta, New York, A Customer Story GROWS IN THE WOODS “the greenest of greenfield fabs,” because it is sprouting new growth in this part of .

Semiconductor companies The glaring exception has building a new fab in Hsinchu, Phoenix been the lack of a major U.S.- or Austin, “can draw upon a core team based foundry, which forced many of fab builders who have been through engineers to go abroad to work at the the process before. We had to start industry’s newest fabs. The launch from scratch here,” said spokesman of GLOBALFOUNDRIES coincided Travis Bullard, adding that “new fab with a major push by the state of New projects are tough.” York to attract semiconductor R&D The fact that Malta is such a and manufacturing to the upstate new frontier for the chip industry has region. New York’s political leaders attracted many experienced technical have supported Fab 8 as a means of people to the area. Donn Turner, putting new energy into the upstate Applied Materials global operations economy, where well-paying jobs head for the GLOBALFOUNDRIES have been relatively difficult to find account, earlier worked in Phoenix and over the last decade. Dallas before moving to New York. GLOBALFOUNDRIES is putting Some people are eager to move to the out an open-for-business sign at Albany area, Turner said, while others Fab 8 at an auspicious time, with prefer to avoid the winter snows. demand for 28nm and 20nm wafers “The excitement for people who overwhelming supply at all of the come here is that this is new growth leading foundries. IHS iSuppli said for the semiconductor industry. It is a demand from tablets, smartphones, new customer, building a new fab, and and ultra-thin notebook PCs will technicians coming here are getting support double-digit growth in an opportunity to work with the latest foundry revenues this year and for the technology systems,” Turner said at his next two years. By 2015 the foundry Malta office. market should approach $42.2 billion Overall, the U.S. semiconductor in revenues, up from $26.5 billion industry has fared well throughout in 2011, according to the market the last half century. The largest CPU research firm. maker, a major memory manufacturer, About $4.2 billion is being and most of the largest fabless IC invested in Fab 8 Phase 1, and about companies are based in the United 42,000 wafers per month (wpm) States. According to IC Insights, are expected to come online by U.S.-based IC vendors control slightly the end of 2013 or early 2014, said more than half of the industry’s GLOBALFOUNDRIES spokesman worldwide revenues. Jason Gorss.

26 Nanochip Nanochip 27 A NEW FAB One of the biggest challenges For operators and technicians, the major projects as well. Mike Russo, The State University of New GROWS for the area is integrating Fab 8’s huge process starts with the first of three director of government relations at York (SUNY) was eager to support IN THE industrial operation with Saratoga online screenings. I asked Gonzalez GLOBALFOUNDRIES, said that while the needs of GLOBALFOUNDRIES’ County’s traditional resort and for a typical online question posed the state has consistently met its human resources department. WOODS tourism business, centered around to a new applicant. “We ask them funding commitments to the Malta However, Russo said each community the Saratoga Race Track in Saratoga how many unexcused absences fab project, those financial demands college in the region “had been The fab is running test wafers Springs. While some residents worry are permissible in a year’s time,” came in the midst of the sharpest U.S. operating in a very parochial manner. now, calibrating approximately 500 about the fab’s impact on the resort he answered. I guessed the correct downturn since the 1930s. We called a meeting of all the regional process tools so it can begin delivering environment, Shimkus notes that answer—zero—but Gonzalez said “you “There were some tough community colleges and worked to 28nm chips to IBM by the end of this hotels and construction companies would be surprised how many give us economic times around here. And develop a cooperative approach.” year on a risk-production basis. The are seeing a welcome surge in the wrong answer.” there were no other fabs, no previous Dave Gross, director of company is also beginning to test out business. The screening process gauges experiences to draw upon,” Russo manufacturing engineering at its 20nm technology at the Malta fab, an image of New York that might “The hotels here have never had a potential worker’s attitude toward said. For example, water lines GLOBALFOUNDRIES, said he sees he said. Fab 8 Phase 1 has about 2 be accurate for New York City but that much commercial business. taking risks (risky behavior is frowned simply did not exist into the fab site, the potential for other semiconductor million square feet of space, including doesn’t apply to Malta, located Because so many suppliers to Fab 8 are upon in a chip fab). And the online which is located within the Luther companies to move to the Luther 210,000 square feet of clean room midway between Albany and coming, they now have a mix of resort screening also tries to assess how a Forest technology park set up by Forest industrial park. Gross is space, and features an automated Saratoga Springs. and commercial customers. And there prospect might feel about working the local and state governments. leading an effort to bring higher levels reticle-handling system. When “People usually don’t understand are a lot of big multi-unit rental units in a clean room “bunny suit” for The company’s agreement with the of automation to Fab 8, building completed, it will have a production upstate New York,” Gonzalez said, going up. The rental market can’t keep 12-hour shifts, he added. The last Saratoga County Water Authority on ideas created at a laboratory capacity of approximately 60,000 arguing that most people tend to pace with all of the new people coming online assessment is a 2.5 hour-long calls for a redundant water supply. called the Advanced Development wpm and an estimated capital budget imagine that the congestion and high here,” Shimkus said. “simulation of thought processes,” to And the effort must deal with periods Center (ADC), located a half-mile of $6.9 billion. cost of living in New York City apply assess an applicant’s decision-making of high runoff when water purity is away from the fab in the industrial Already, 21 suppliers to elsewhere in the state. “Compared WORKFORCE DEVELOPMENT skills. Out of 100 applicants, only about challenged, Russo said. park. The ADC is staffed by GLOBALFOUNDRIES have set up shop with northern California, the cost of a 15 make it to a face-to-face interview. Similarly, the fab required the GLOBALFOUNDRIES and several in Saratoga County, with 500–600 GLOBALFOUNDRIES has hired house is less,” spokesman Bullard said. Finding operators and technicians is installation of dual, independent suppliers, including Applied Materials people working at equipment, 1,300 people over the past two years Bullard, who earlier worked for the biggest challenge, and managers power feeds to ensure that if and Murata. materials, and other fab-supporting to work at the Malta fab, with about AMD in Austin, said “It is not a slam are in the process of hiring 200 more electrical power goes down on one companies, said Todd Shimkus, 300 more hires expected over the dunk attracting people to New York. of them by the end of the year to enter line, it seamlessly picks up on the president of the Saratoga County next few months, said Pedro Gonzalez, There is a state income tax of about 8 the foundry’s training program. other. The work done to secure Chamber of Commerce. Many of the Fab 8 hiring manager. The company percent. But once we give them some While few operators or reliable power for the Malta fab suppliers have clustered around Exit estimates the fab will generate information about the schools and technicians come to Malta from will have a beneficial effect for 12 of Highway I-87, which links Albany 8,000 additional new indirect jobs, the quality of life, the vast majority of outside the state, it is a different story consumers, who have endured and its airport with the Malta fab to the representing an annual payroll of more them are interested in coming.” The for the engineers. “Technicians we frequent power outages from “an old north, he added. than $300 million. main selling point, Bullard said, is “the usually have to pull from the local area. grid, with power reliability impacted opportunity to work at the leading If we find an engineer who is a good by old wires and cables,” said Russo. edge in the United States. A lot of fit, that person is much more likely to The major upgrades to the people say they never thought they relocate. Engineers are more mobile,” infrastructure are on schedule would have an opportunity to work Gonzalez said, adding that he plans to be completed by the third at the leading edge without going to hire about 100 more engineers by quarter. Just as improving the overseas.” the end of the year. More than half of infrastructure took a regional effort, A related challenge is convincing them will be graduates of New York the GLOBALFOUNDRIES presence people born and raised in Asia that universities, but many of the more stimulated an unprecedented level they will be welcome in an area not experienced engineers come from of cooperation among educational known for its cultural diversity. outside New York. leaders in the 13-county region. “We Overall, roughly half of the 1,600 worked with the teachers union and hires expected by year-end will come WATER AND ELECTRICITY the educational leaders in the entire from the region, while half will be from While workforce development is region, looking at education here from outside New York. Potential hires Fab 8’s largest challenge, establishing pre-K through college. We were in the who hail from San Jose, Portland, water, electricity, and other parts connection business, getting people Austin and other distant locales have of the infrastructure have been to connect the dots,” Russo said.

28 Nanochip Nanochip 29 A NEW High Yield: Two CVD Tools, FAB foundry’s , Germany, fab, Turner, the Applied Materials manager. 19 Years, 4.4 Million Wafers GROWS where Gross noted that “Some tough “How many fabs like this are being built decisions were made—things that in the United States? A small handful. IN THE feel better when I tell them that the tool is in the had to be done. Here, we are trying to These opportunities are few and far Smithsonian Institution,” he chuckled. “But after a WOODS automate as much as possible.” between—maybe once in a lifetime— while, invariably they will say it isn’t as difficult as Foundries run a high-mix, high- The drive for technical excellence and that helps us as we put together a they thought it was going to be.” volume manufacturing environment, extends to tool suppliers, who have team here. Dent credits the tools’ longevity to Freescale’s requiring sophisticated control of the brought in their own teams of experts. Fabulous commitment to get the most out of its capital recipes, tools and wafer handling. “The draw is that this is a new fab, For more information about tools equipment through ongoing maintenance activities Some of the automation ideas operating in an extraordinarily Globalfoundries visit and upgrades. “We’ve modified and upgraded were implemented last year in the competitive environment,” said www..com these tools continually over the years so that we can continue to use them at different technology nodes. “Among the major changes through the years have been the replacement of oil-filled pumps with dry pumps; new o-rings and other gasket materials long-term goals. Instead, her manager said For many of us, the year 1993 is practically ancient history. That’s when Apple introduced the that have helped to greatly reduce defects and the company was losing market share to It’s a fair bet that after more APPLYING HER SKILLS Newton, the world’s first handheld PDA device, control particles, enabling us to extend service lower-cost suppliers based in China, and than 40 years in business, Intel introduced the first Pentium microprocessor, intervals; the use of digital mass flow controllers; that large financial losses were forcing the and the Internet was mostly a science experiment. and, in the case of the first tool, the installation of a company to let her go. Applied Materials has The year 1993 also was noteworthy for low-frequency generator to give us more process “I felt terrible, but started looking semiconductor production Applied. Our revolutionary Precision 5000 (P5000) capabilities for PSG [phosphosilicate glass] and around. Another company had a job at tools installed in virtually platform for CVD and etch—introduced 10 years USG [undoped silicate glass] processes.” their silicone operations nearby, but when One might guess that it would earlier and heralded as one of the most successful The tools are also networked with auto- I did some research on that business area’s every fab in the world. semiconductor fabrication tools ever—was inducted upload, tracking, fault-detection and equipment be easy for a female chemical prospects I didn’t think it would be a good So at any moment of any into the U.S. Smithsonian Institution’s permanent management software systems. fit,” Yablon said. engineer from a prestigious day, somewhere on the museum collection of Information Age technology. When asked about the future plans for the At GLOBALFOUNDRIES she works engineering school to find planet someone is building That same year a new P5000 CVD tool began two P5000 CVD tools, Dent said, “As long as they in lithography technology development, operation at the Motorola SPS (now Freescale) are able to produce the products we manufacture a good job. Think again. part of a 15-person team improving the semiconductors on an Oak Hill fab in Austin, Texas, producing processors in a reliable and efficient manner, these tools will efficiency of the tracks and scanners at Applied Materials system. for Apple computers. No industrial relic, the tool remain in production. They are workhorses.” Paula Yablon, from Niskayuna, turned patent attorney, Yablon studied Fab 8. “If you want to work in research, This got us wondering has been in operation ever since. It and another The Precision 5000 CVD tool was one of New York, just a short drive from the chemical engineering at Rensselaer you have to get a PhD. Here, some of my Applied P5000 CVD tool installed at the same the industry’s first single-wafer, multichamber GLOBALFOUNDRIES Malta fab, waited Polytechnic Institute. One problem with managers just have bachelor’s degrees, about whatever happened facility a year later have processed an astounding cluster tools and the first to achieve widespread two hours in the snow with some 2,000 her background was that her college so I feel I will be able to move up.” And the to all those tools we sold 4.4 million wafers over the nearly two decades industry acceptance. Introduced in 1987, it leapt other people looking for a job at the fab. internships tended to be in research rather company offers tuition reimbursement if years ago. Here’s the story since then, and continue to process wafers today. from market entry to market leadership in under With time on her hands, she put her math than in manufacturing. They included a she does want to go back to school. The Oak Hill fab started production in 1991, 12 months, won several awards and became the skills to work. “I realized this was just one stint working alongside a roomful of PhDs I asked Yablon—who answers of two of them—oldies and was the world’s first 200mm commercial company’s most successful product introduction of several job fairs, and that my chances of questions directly—what challenges she at Merck’s R&D center in New Jersey. but goodies—that are still facility. Today, the 80,000-square-foot factory to that point. Within five years, more than 50 actually getting to talk to a real person were When Yablon graduated in June 2011, faced at her new job. “GLOBALFOUNDRIES cranking out wafers nearly makes microcontrollers and MEMS-based sensors unique processes were available on the system. not very good. When I got to the front of she took a job at a small plastics manufac- is hiring so many people, sometimes it is for automotive and other markets, as well as power Its standard interface between the chamber and 20 years later. Enjoy! the line to hand in my resume, I asked the turer—one of just three engineers— hard to figure out who I need to talk to. management and radio frequency products for the platform, which defined robot movement and person there, ‘Please give me the name of and loved it. She learned a widely used There are so many spots people need to wireless and networking markets. Its technology communications protocols, also allowed Precision a person who is hiring engineers. I really process control application. The company’s be hired into, sometimes I don’t understand capabilities extend to the 0.25- 5000 chambers to be used with Applied Materials’ want to work for this company.’” location was ideal, as she wanted to work the structure.” node. later generation Endura and Centura platforms. She got the name of a in the upstate New York region to remain That provoked a burst of laughter from Got a FABulous story about “When new, young engineers come in and Because many customers are using our tools GLOBALFOUNDRIES university recruiter close to her extended family. the other GLOBALFOUNDRIES people a production tool legend? see the P5000 tools, you can see their eyes roll much longer than originally anticipated and we based in California, Irv Thomas. Yablon One day at the small plastics company, in the room. “We have exactly the same Share it with us at because they think it’s going to be difficult to work expect that to continue, Applied offers products called him, and was invited in for an however, she was called in for what she problem,” one manager said. [email protected]. with them,” said David Dent, equipment engineer and services to support them. We continue to interview. The daughter of an engineer- thought was a meeting to discuss her After all, it is a greenfield fab. with Freescale who has worked with the tools for explore additional offerings customers may need many years. “And it doesn’t necessarily make them to run their tools as long as possible.

30 Nanochip Nanochip 31 Here are highlights from some recent symposiums: Shanghai, China (March, 2012): Kevin Shen, Singapore (February, 2012): Eugene Tang, process AMHS manager for display manufacturer China control engineer at Micron Technology, outlined these Star Optoelectronics Technology (CSOT), described his advanced process control challenges: company’s strategy to reduce costs and increase flexibility ■■ Disparate process control systems among the in material-handling operations: company’s fabs meant inefficient use of resources, ■■ CSOT wanted to use advanced material-handling systems redundancies and productivity impacts. (AMHS) from multiple vendors but they did not want to integrate equipment with competing AMHS devices. ■■ The company improved process control effectiveness Learn, ■■ CSOT implemented a CLASS MCS 5 real-time material by implementing an integrated, standardized single-platform solution across the entire enterprise: control system from Applied to integrate its various Applied’s E3 fabwide automation and equipment AMHS systems and reduce capital costs. Share and engineering system. The goal was overall lower cost- ■■ The entire project took just 19 months from initiation to of-ownership, improved efficiency and data-sharing, mass production, with only 6 weeks required to integrate improved reliability and better controller performance. the MCS system with the AMHS equipment. The Connect ■■ All controllable process steps have now been migrated manager said that was the fastest such project at an 8.5G to the E3 R2R system. One result was an immediate TFT-LCD fab in the world. improvement in Cpk (how closely a process runs to its Highlights from the Applied limits). Shanghai, China (March 2012): Professor Martin Green of the University of New South Wales gave both a historical Automation Software Symposiums Singapore (February, 2012): Mohd Azizi bin Chik, perspective and a look forward on efforts to improve a senior manager at foundry SilTerra, described the cell efficiency through innovation in device designs and challenge of improving cycle times and increasing processes. His major points were: Technology changes fast in the world of nanotech, making it a challenge capacity at its fully utilized 200mm fab in Malaysia: ■■ Technologies such as selective emitter, MWT, EWT and ■■ advanced passivation offer significant opportunities for to stay current on new tools and techniques. One way to keep up is to SilTerra implemented an optimization solution, Applied’s RTD (real-time dispatch) tool, which was improvement over standard c-Si based cells. interact with individuals from other companies in your region who face configured to support more than 70 different rules ■■ New technologies for wafering, including kerfless similar issues. Applied Automation Software Symposiums are helping needed to achieve optimum wafer moves, cycle times approaches, could further enable significant cost and yield. customer personnel such as fab managers, process engineers, CEOs, reductions. ■■ SilTerra’s dispatch server processes some 20,000 ■■ IT managers and industrial engineers do just that. Improvements in poly costs and cell efficiency increases rules per day, and RTD compliance with those rules have demonstrated the strength of c-Si based technology is 100% in the crucial and implant versus thin-film technologies. areas, where the solution was first implemented. ■■ Stacking multiple absorbing layers on top of a bottom cell Sponsored by the Applied Global Services Automation may be the most promising way to build >25% efficiency Osaka, Japan (September, 2011): Masanori Morikawa, by Products Group, these free, day-long programs have been cells, based on current understanding. held since early 2011. More than 600 people in 5 different senior manager of manufacturing engineering at Toshiba scott ■■ c-Si based technology is a strong long-term contender for countries have participated. The symposiums feature Corporation’s Yokkaichi operation, described how PV manufacturing because c-Si manufacturers gain from detailed product and technology overviews given by guest predictive scheduling methods improve the capacity Rothenberg an extensive supporting infrastructure. speakers who are leading semiconductor, solar, display and and efficiency of the photolithography operations at its and LED producers, prominent market analysts and academic 300mm mega fab: ■■ A question from the audience prompted Professor Green experts. ■■ Toshiba determined that Applied’s SmartSched to note that, currently, there is no agreement on the Todd Snarr Participants openly explore opportunities and solution for predictive scheduling of wafer lots for “right” direction for improving cell efficiency. processing could be implemented in 6 months instead challenges involved with the automation of manufacturing For more information on Applied Automation Software of the 18 months required for an in-house system, and operations. Each symposium features a general session Symposiums, including details on the events below, at less cost. Toshiba also determined that SmartSched in the morning, then lunch and an afternoon program contact [email protected] with breakout meetings focused on specific solutions or could handle production system modifications faster. products. Presentations are given by customers who have ■■ Once it was implemented, reticle temperature u p c o mi n g s y m p o s i u m s agreed to share information and by Applied experts in a variations—a key performance indicator of lithography China — Assembly and Test (ATP) industries product or industry domain. efficiency—were reduced by 77%. ■■ southern China (2nd week in August) ■■ northern China (2nd week in November)

32 Nanochip Nanochip 33 has expanded to more than 117 developed, enabling it to collaborations with nearly 20 be positioned closer to the customers at 48 fabs. arc chamber, which also Focusing on Good communication is was modified. Both of these vital to the success of these modifications contributed to efforts. Talking with customers cooling of the arc chamber Ion Implant Consumables engineer-to-engineer is critical and better beam-current so that we can understand performance. In addition, their issues as they design a the manipulator head also Can Reduce Total Cost technology and then ramp to was designed for better high-volume manufacturing. performance (figure 1). Once we understand the ■■ To reduce warping of Tool Ownership problem, it is equally important and breakage, the 90° Figure 1. Medium-current ion source. to get a solution in their hands waveguide liners in the This is especially true in ion to meet all these requirements within 4–6 weeks. These high-current optic assembly implant operation because of across a broad range of components really are the of one customer’s tool were Center the growing use of exotic mixes applications and manufacturing backbone of project success. Liners redesigned (figure 2). of semiconductor materials environments. But there are Here are a few examples of ■■ To improve filament life in 90° 90° (silicon, germanium, carbon, many situations where a more our customer collaborations: Entrance Exit Liners Liners ■■ a tool’s plasma flood gun etc.) that tend to decrease the comprehensive, detailed One customer’s use of Source (PFG) assembly, the PFG Entrance life spans of consumables. understanding of a particular mixes of exotic semi- Liners filament, shield and cage But focusing on CoC alone semiconductor manufacturer’s conductor materials was 90° insulator were redesigned End Liners can lead to using consumables requirements and problems leading to reduced life and components made from can enable the tool supplier and their fabrication spans for the ion beam 90° inferior materials and with to deliver a superior solution processes modified to Inside/Outside source used in the injector, Clipper Liner increase filament life and inadequate designs. While this tailored to how the customer is part of an ion implanter’s reduce wear and tear. As may reduce initial purchase using the implanter. high-current optic assembly, a result, the PFG life span prices, it is also is likely to In many cases, the con- and to a high use of related doubled and emission negatively impact the tool’s sumable or component can consumables. Working on Figure 2. The 90° waveguide liners in a high-current optic assembly current stability improved, performance and reliability, be redesigned to improve the an engineer-to-engineer were redesigned to reduce warping and breakage. leading to improved process thereby increasing total cost productivity and performance of basis with the customer’s control. The PFG assembly of ownership rather than the tool in a specific application. technical staff, Applied’s A key goal of fab managers is to is a system that provides decreasing it. This approach increases yield Varian business unit compensating current to Bus Bar A strategy focused on CoC while reducing the tool’s total redesigned the beam Shield Insulator reduce the cost of consumables control the charge on the top alone also doesn’t take into cost of ownership. source and modified the side of a wafer (figure 3). account a dynamic within many Since 2007, Varian tool’s arc chamber design (CoC) and components required Extended semiconductor companies Semiconductor Equipment, to be more efficient. The Collaborations such as these Shield by production tools, while that results in the design and now a business unit within results included overall require detailed information manufacturing groups working Applied Materials, has sharing, and Applied provides Welded productivity gains for the Oval PFG Insulator simultaneously improving tool at cross-purposes. This occurs collaborated with customers tool from an 8% increase a framework of support for when the design group wants to develop application-specific in beam current, and ion this through confidentiality performance and reducing total Bracket to wring the highest-possible consumables and components beam source life improve- agreements; different part Wide Exit Insulator Aperture performance out of a tool for ion implanters. By meeting ments of up to 100%. numbers; frequent, in-depth cost of ownership. to reduce defects, but the the manufacturer’s specific communications with the ■■ To develop a new medium- manufacturing group wants to requirements more effectively, customer; linkage to new current ion source for operate the tool at the lowest Applied’s Varian business unit product introductions; and Figure 3. Modifications to a plasma flood gun (PFG) assembly that By another customer, a possible cost in reliable, high- helps them reduce their overall specific project management doubled the PFG life span and improved emission current stability, postless cathode was volume production. tool costs. policies and procedures. leading to improved process control. Rudy developed to reduce To be sure, the consumables Starting with a single beta cathode sag and allow Caruso and components listed in a tool project in partnership with a For additional information, simpler alignment. A new supplier’s catalog are designed leading logic manufacturer, the contact [email protected] insulator design was also number of these engagements 34 Nanochip Nanochip 35 Synchronizing Fab and Subfab Operations Saves Energy and Resources

By High energy costs and utility rates, along with market demands for more Andreas sustainable manufacturing practices, have made it imperative for managers of semiconductor fabs to reduce energy and resource use wherever possible. Neuber, That is why many fabs have equipped pumps with variable speed drives and PhD replaced lower efficiency pumps and motors with higher efficiency models, Figure 1. Real-time display of abatement savings from iSYS Controller. among other sustainability measures. Indeed, the semiconductor industry is at the forefront tools’ idle states, it enables savings from reduced energy integration beyond ensuring that pumps and abatement manufacturers in new and existing fabs. of conservation efforts in the industrial sector given the and resource consumption during standard operation for have dry contacts, which are used to control green Development is under way to expand the addressable relatively high power consumption of semiconductor both chambers and tools. It has a small footprint, is easily operational modes. tool sets beyond those offered by Applied, and to control fabs[1]. But most of the work so far has been targeted at retrofittable, can be implemented with minimal downtime The iSYS Controller and its energy-saving benefits additional subfab components such as pipe heaters and energy and resource reductions while tools are idling. The and has no effect on process parameters. It also provides have caught the attention of the industry. More than 140 cold and hot N2 purge systems. next step is to reduce energy and resource consumption real-time output of energy consumption and savings to units have been deployed globally, with recent order rates under normal operating conditions, at the chamber level as meet various reporting requirements for green operation doubling over the prior year. The iSYS Controller can For additional information, contact [email protected] well as the tool level. (see figure 1). provide a return on investment (ROI) in one to three years, The availability of sophisticated, easily retrofittable Even under high-utilization conditions, savings are depending on equipment configuration and utilization, [1] http://www.eetimes.com/electronics-news/4230547/TSMC- control technology to synchronize fab and subfab realized as the iSYS Controller directly reads the process prices for energy and utilities, and pump and abatement to-teach-water-recycling operations in both new and existing fabs gives tool owners state and matches the operation of pumps and abatement capabilities. Although each application is unique, on http://www.electroiq.com/articles/sst/print/volume-52/ a significant opportunity to reduce energy and resource to a chamber’s specific requirements. During deposition average about half of the ROI comes from modulated issue-7/features/addressing-esh-issues-for-the-global- semiconductor-industry.html consumption during normal operation. Fab operators steps, for example, abatement fuel gas consumption can control during operation, while the other half comes http://download.intel.com/intel/environment/320738.pdf can run pumps and abatement in the subfab only when be lower than during cleaning steps, which is where the during idle states. Figure 2 shows a typical ROI range over the process tool is running, and modulate pump and majority of PFC gases (i.e., greenhouse gases) that need different time periods, for both low- and high utility costs. 6 abatement services according to the specific operation abatement are generated. The Controller also uses idle taking place, such as process runs, cleaning steps, modes and sleep modes to save energy and resources. Fail-Safe 5 chamber idle and chamber loading. No tool wake-up time is needed, avoiding impacts on All safety-relevant links between subfab components 4 Achieving this level of fab and subfab synchronization throughput and production stability. and manufacturing equipment are independent of the iSYS without impacting performance during normal operation is Consequently, whether a tool is running a process, 3 Controller’s dry contact communication connections. Also, a high-value opportunity. It requires the ability to recognize sitting idle or is being cleaned, the use of power, gas and if the Controller doesn’t recognize all signals properly, loses total equipment status (including which gases are flowing water is kept to a minimum. This reduces the fab’s carbon 2 communication with subfab components, or is down due

in the process chambers), but also the capability to provide footprint and lowers costs. in Years of Investment Return to error or power loss, its output signals are configured so 1 signals to pumps and abatement to control pump speed, In some cases, existing vacuum pumps and that subfab components default to their normal operation purge flows, and fuel gas-, oxidizer- and water flows based abatement must be upgraded so they can respond to the 0 mode without iSYS signals. 0% 20% 40% 60% 80% 100% on operating conditions. energy- and resource-saving green mode signals from the Tool Utilization iSYS Controllers are available for all Applied Materials The Applied Materials iSYS Controller addresses this iSYS Controller. This has not proven to be a major issue. High Utility Costs Low Utility Costs Producer, Endura and Centura platforms, and can be used opportunity. Unlike other technologies that focus only on The Controller is an open platform that requires little with vacuum pump and abatement equipment from most Figure 2. Typical ROI for iSYS Controller. 36 Nanochip Nanochip 37 HEALTH NEW NEW CHECK INITAL REPORT rePORT AREA REPORT PHASE 1 PHASE 2

Site inventory (tool counts, server counts, etc.) 3 3 3 Server configuration (CPU, memory,etc.) 3 3 3 Supporting E3 configuration on servers 3 3 3 Requirements for Achieving Five Nines E3 run statistics 3 3 3 Uptime Database configuration check 3 3 3 99.999% Uptime Based on Applied Materials’ experience, the required Oracle alert log analysis (operation logs) 3 3 capabilities to achieve 99.999% uptime include: Oracle AWR analysis (operational performance) 3 3 ■■ Properly designed and sized hardware and Windows event logs 3 3 for Factory Systems: 3 3 infrastructure. All hardware and other infrastructure Windows performance logs Applied’s Integrated Suite of Automation Software elements must be properly designed and sized with Network statistics review 3 3 high availability. Applied’s Hardware Calculator, for Server availability 3 3 example, takes 20 data points identified and selected E3 database report analysis 3 3 by the customer and provides accurate sizing based on Automated analysis of Harmon log information 3 those data points. One key issue that many customers 3 by Without the right hardware, software and processes in place, who implement high-availability hardware and Automated report generation with Excel fab managers can end up with unplanned downtime, lost databases overlook is that there are other potential Advanced automated Oracle alert log analysis* 3 David Advanced automated AWR analysis* 3 equipment capacity, lower throughput and declining yield. single points of failure, such as networks. As the Duffin “backplane” of a client-server architecture, networks in Advanced automated peformance log analysis (with E3) 3 In today’s complex fabs, even a minute of downtime can be particular must be designed so they cannot become a New E3 site sizing calculator 3 single point of failure. * Includes capability to feed in reports from previous health checks for trend comparisons

extremely costly. The financial impact can range from tens of ■■ Health checks. The goals of a health check are to Figure 1. Areas typically subject to health checks. thousands to millions of dollars, depending on volume. diagnose and analyze software operational data in order to proactively address systematic problems before they affect production (figure 1). With this type of risk, many fab managers Start System Check AVAILABILITY DOWNTIME DOWNTIME DOWNTIME Figure 2 shows more detail of the health check PER YEAR PER MONTH PER WEEK expect 99.999% uptime—the so-called “five nines” Contact Person process for Applied’s Advanced Productivity Family performance—from their backbone software systems. 90% (“one nine”) 36.5 days 72 hours 16.8 hours (APF) offering. As each condition is checked, engaging How does five nines performance translate to the world of Disk Usage CPU/Memory Usage System Engineer 95% 18.25 days 36 hours 8.4 hours the Applied Materials team to provide corrections and fab automation? As shown in table 1, it permits a mere 5.26 recommendations helps the customer ensure the system Operating System 97% 10.96 days 21.6 hours 5.04 hours minutes of downtime per year—planned or unplanned. can achieve 99.999% uptime. 98% 7.30 days 14.4 hours 3.36 hours ■■ Software scalability. Fabs never run at a steady state. 99% (“two nines”) 3.65 days 7.20 hours 1.68 hours Great Expectations APF Adapter APF Queue Table Each software application must respond to fac- 99.50% 1.83 days 3.60 hours 50.4 minutes Many fab managers believe that today’s software tory changes and scale to meet changing demands. 99.80% 17.52 hours 86.23 minutes 20.16 minutes RTD Engineer solutions can provide this performance inherently or at Features are important but an equal emphasis should APF Error Table Primary Writer 99.9% (“three nines”) 8.76 hours 43.2 minutes 10.1 minutes least without undue difficulty. They openly voice this be placed on software performance, reliability and APF Adapter APF Engineer 99.95% 4.38 hours 21.56 minutes 5.04 minutes confidence on a regular basis. One fab director, referring stability. (Applied TPS SCE) to his factory systems, was quoted as saying, “If they 99.99% (“four nines”) 52.56 minutes 4.32 minutes 1.01 minutes ■■ Software management processes and procedures. are up and running 100% of the time, that is only to be 99.999% (“five nines”) 5.26 minutes 25.9 seconds 6.05 seconds Each time a fab impact surfaces, processes need to be Secondary Writer Monitor expected.” Another demanded that all his systems be 99.9999% (“six nines”) 31.5 seconds 2.59 seconds 0.605 seconds followed and reevaluated to ensure 99.999% uptime. triple-redundant to avoid failures. For example, many Applied Materials customers have RTD Engineer If only achieving five nines performance were that Repository Dispatcher Dispatch Server Table 1. Translation from a given availability percentage to the corresponding implemented a rigorous “change-control” discipline to [1] easy. In reality it is a huge challenge because today’s fabs amount of time a system would be unavailable per year, month or week. help ensure high availability. APF Server APF Engineer have a complex, layered environment that comprises (Applied TPS SCE) ■■ networks, servers, applications, platforms, databases and Close customer partnership. Software providers must Pass End System Check legacy software, all of which must work together in perfect partner closely with customers to understand their Error harmony. But with complexity comes risk: a greater most critical challenges and the essential elements number of layered elements results in increased likelihood required to achieve 99.999% uptime across all types Figure 2. Health check process and engagement of Applied Materials teams. of breaking points. The weakest link can take down the of environments. entire fab.

38 Nanochip Nanochip 39 Supporting Reporter’s Notebook: SEMI 99.999% Uptime David LammerS Advanced Semiconductor for Factory Systems: Manufacturing Conference Applied’s Integrated Suite of Automation Software Concentrating on Compatibility presentation brought the ASMC event to a close. The share Industry concentration—fewer companies playing ever of capital expenditures by the five biggest IC makers has Exceeding Expectations our innovative Eight Disciplines (or 8D) problem- bigger roles—is changing the way business is done in the rapidly increased over the last decade. The five biggest solving routine. With 8D, we can identify, diagnose, semiconductor industry. spenders accounted for about 35% of equipment purchases Built on three decades of experience in manufacturing, address and determine the root-cause of each major Fabless companies, with product in 2002 and will account for more than 65% this year. Applied Materials’ integrated suite of applications, factory impact. What’s more, learning is captured in volumes rising to unprecedented levels Bolstered by several large acquisitions (notably Applied’s including FAB300, APF RTD, CLASS MCS 5 and E3, scale our database to inform similar situations in the future, for use in smart phones and tablets, purchase of Varian Semiconductor Equipment, and Lam to mega-fab size while continuing to meet the five nines delivering fast, accurate resolutions based on field are being driven by their security- Research’s merger with Novellus), the 10 biggest equipment uptime requirement. This requires the following: experience. conscious system customers to suppliers will account for about 72% of total sales this year, ■■ Rigorous testing. Applied Materials’ automation develop multiple sources of supply, said Puhakka said. That’s up from 52% in 1999, a change so rapid software testing pushes the scaling limits to mega-fab ■■ Demonstrated results. The use of these metrics and Michael Campbell, senior vice president that the Top 10 will surely account for more than 80% of

methods enables Applied to deliver the performance rd levels to accurately determine the capabilities and Michael Campbell, sales by the end of this decade. our customers expect; in fact, the data show we of engineering at Qualcomm, in a limits of the software, database, OS, hardware and senior vice president “The IDMs [integrated device manufacturers] prefer to have been able to resolve issues twice as fast as we keynote speech at the SEMI Advanced other elements in the total solution. of engineering, work with the large suppliers. They see it providing them with committed for 86% of our customers. Semiconductor Manufacturing ■■ Proactive problem prevention. To help with customer Conference (ASMC) in Saratoga Qualcomm a gain in efficiency,” Puhakka said at ASMC. processes and procedures and strengthen customer Springs this spring. Interestingly, VLSI Research once expected that Conclusion partnerships, Applied’s Platinum support provides The big mobile phone vendors want to be able to equipment as a percentage of semiconductor sales would health checks in the customer’s environment that Achieving five nines performance in factory take application processors made at multiple fabs, drop drop to about 15% for the 2011–2016 period, driven by larger, include software, hardware, infrastructure, and process automation systems is challenging in the complex, these electrically compatible systems-on-chips (SoCs) more automated fabs and higher throughput equipment. and procedure evaluations. The primary goal is to layered environments of today’s fabs. But with the right into their phones, and have the software boot up and run Instead, higher lithography costs due to multilevel patterning, collect and analyze data so that systematic problems combination of systems design, hardware and software, along with the extra deposition, etch, and metrology steps flawlessly whether the chip is made at one fab or another, the st wo can be addressed before they affect production. applications expertise, and support capabilities, fab Qualcomm executive said. required, will keep the ratio closer to 20%, which Puhakka said represents a “huge” difference to the equipment industry. ■■ managers can enjoy all the flexibility, productivity, Campbell’s conference-opening speech kicked off 24 x 7 Problem response. Even five nines automation a What does this consolidation mean? At the ASMC performance is not perfect, so Applied’s automation precision and reliability these powerful systems can a fascinating discussion among the 220 participants at gathering, some participants said the big fabless companies software group delivers 24x7 support and, with our provide—99.999% of the time. the ASMC event. Though foundries may have multiple will be forced to do even more of their own semiconductor Platinum plan, can assemble teams of support experts fabs—such as TSMC’s Fab 12 in Hsinchu and Fab 15 in R&D, in order to have electrically identical chips made by in less than 30 minutes to resolve issues. Teams of For additional information, contact Taichung, Taiwan; or GLOBALFOUNDRIES Fab 1 in Dresden, multiple foundry partners. Others suggested that foundries development or system engineers (or both) and other [email protected] Germany, and Fab 8 in Malta, New York (set to begin volume may work with their biggest fabless customers to provide personnel can bring the fab back online quickly, using [1] http://en.wikipedia.org/wiki/High_availability production late this year); or Samsung’s System LSI fabs in Austin, Texas, and Kihung, South Korea—it isn’t easy to get dedicated capacity, running a custom process technology those fabs to produce electrically identical chips. for the two or three years of a leading-edge technology

Having the same main production tools at two fabs is a generation and then diverting the fab to other products later. l The starting point. However, tools “season” differently and may And what about innovation? Will companies be In this issue of Nanochip Fab Solutions, you learned about our new focus on listening have different gas supplies. A fab engineer in one location motivated to develop a unique finFET transistor, or a radically to the customer. We’re ready to make good on that commitment by listening to you. may wake up and decide to turn a knob to the left, while an different process module? Puhakka said he sees most of the innovation continuing within the three big technology Watch your email for a link to a survey so you can tell us what you think about engineer at a different fab, perhaps on another continent, may tweak the knob to the right. That can impact the chip’s development centers: Intel, TSMC, and the New York- Nanochip. We want to know how we can make Nanochip more relevant to your business electrical performance, said Campbell. based semiconductor development alliance that includes and what kinds of topics you’d like us to cover. Dave Gross, a director of manufacturing technology at IBM, GLOBALFOUNDRIES, Samsung, STMicroelectronics, Your input is extremely important to us, so any and all comments are welcome. GLOBALFOUNDRIES, noted that advanced process control Toshiba, and others. Also, if you would like to help us protect the environment and preserve natural (APC) techniques can help ensure that two different fabs will Led by demand for mobile systems, the semiconductor David Lammers is industry is likely to keep growing. That upward trend the editor-in-chief resources by receiving Nanochip electronically, check the appropriate box on the survey, produce ICs with identical performance. But until fab compatibility issues are worked out, depends on ever-closer partnerships to hold down costs and of Semiconductor or email your request to [email protected]. Campbell said Qualcomm’s design teams must work with deal with increasingly complex technologies. Manufacturing four different sets of libraries, targeted at the four different Security of supply is another force driving fabless and Design Thank you for helping us continually improve Nanochip Fab Solutions! foundries the company works with. That drives up costs and suppliers and foundries to collaborate more closely. Enabling (www.SemiMD.com) Reade r s’ SURVEY adds to design complexity. multiple fabs to make electrically identical chips is a lofty Another view of industry concentration came from goal, one that—judging by the discussions at ASMC—will Risto Puhakka, president of VLSI Research Inc., whose take some creative thinking to accomplish.

40 Nanochip Nanochip 41 www.appliedmaterials.com

Printed on Recycled Paper AGS-NFS-0712 07/12 4.5K