Eg, Nangate 15 Nm Standard Cell Library

Total Page:16

File Type:pdf, Size:1020Kb

Eg, Nangate 15 Nm Standard Cell Library Nanosystem Design Kit (NDK): Transforming Emerging Technologies into Physical Designs of VLSI Systems G. Hills, M. Shulaker, C.-S. Lee, H.-S. P. Wong, S. Mitra Stanford Massachusetts University Institute of Technology Abundant-Data Explosion “Swimming in sensors, drowning in data” Wide variety & complexity Unstructured data 0 40K 0 ExaB (Billionsof GB) 2006 Year 2020 Mine, search, analyze data in near real-time Data centers, mobile phones, robots 2 Abundant-Data Applications Huge memory wall: processors, accelerators Energy Measurements Genomics classification Natural language processing 5% 18 % 0% 0% … 95 82 % % Compute Memory Intel performance counter monitors 2 CPUs, 8-cores/CPU + 128GB DRAM 3 US National Academy of Sciences (2011) 4 Computing Today 2-Dimensional 5 3-Dimensional Nanosystems Computation immersed in memory 6 3-Dimensional Nanosystems Computation immersed in memory Increased functionality Fine-grained, Memory ultra-dense 3D Computing logic Impossible with today’s technologies 7 Enabling Technologies 3D Resistive RAM Massive storage No TSV 1D CNFET, 2D FET Compute, RAM access thermal STT MRAM Ultra-dense, Quick access fine-grained 1D CNFET, 2D FET vias Compute, RAM access thermal 1D CNFET, 2D FET Silicon Compute, Power, Clock compatible thermal 8 Nanosystems: Compact Models Essential 3D Resistive RAM nanohub Massive storage 1D CNFET, 2D FET Compute, RAM access thermal STT MRAM Quick access m-Cell 1D CNFET, 2D FET Compute, RAM access thermal 1D CNFET, 2D FET Compute, Power, Clock thermal 9 Compact Models: Insufficient Alone Design for Realistic Systems Wire parasitics Inter-module interface circuits Routing congestion Application-dependent workloads Multiple clock domains Cache architecture Memory access patterns Processor vs. memory … Sleep mode & sleep transistors High performance vs. low power SRAM retention Dynamic power vs. leakage power DRAM refresh Placement utilization 10 Example: OpenSparc T2 Processor Core Design for Realistic Systems Wire parasitics Inter-module interface circuits Routing congestion Application-dependent workloads Multiple clock domains Cache architecture Memory access patterns Processor vs. memory … Sleep mode & sleep transistors High performance vs. low power SRAM retention Dynamic power vs. leakage power DRAM refresh Placement utilization 0.5 FinFET CNFET energy/cycle (nJ) preferred corner 0.05 0.2 1 5 clock frequency (GHz) 11 Example: OpenSparc T2 Processor Core Design for Realistic Systems Wire parasitics Inter-module interface circuits Routing congestion Application-dependent workloads Multiple clock domains Cache architecture Memory access patterns Processor vs. memory Timing variations Sleep mode & sleep transistors High performance vs. low power Noise immunity SRAM retention Dynamic power vs. leakage power Energy variations DRAM refresh Placement utilization Functional yield … 0.5 FinFET CNFET + variations CNFET energy/cycle (nJ) preferred corner 0.05 0.2 1 5 clock frequency (GHz) 12 Variation-Aware Nanosystem Design CNFET + variations co-optimized processing & design 0.5 FinFET CNFET energy/cycle (nJ) preferred corner 0.05 0.2 1 5 clock frequency (GHz) 13 Our Nanosystem Design Kit (NDK) • Available: nanohub.org 14 Accessing the NDK • Link . https://www.nanohub.org/groups/nanosystems • File . ndk_v2016-12-13.tar 15 NDK: Tool Dependencies • Tools . Synopsys CAD tools: lc_shell, dc_shell, icc_shell, Milkyway, StarRC . Cadence CAD tools: spectremdl . common unix utilities: sed, grep, cat, ... Matlab . perl 16 NDK: Tool Dependencies • External resources (free download) . Compact model . E.g., virtual source CNFET model . Process Design Kit (PDK) . E.g., NanGate 15 nm standard cell library . Register-Transfer Level (RTL) hardware description . E.g., OpenSparc T2 processor core 17 Installing the NDK 1. untar ndk_v2016-12-13.tar 2. Set environment variables . $SVNROOT, $NDK, $DATAROOT, $XT 3. Download external resources . Compact model, PDK, RTL 4. Run installation scripts . bash scripts inside $NDK/install 18 NDK User Guide directory structure within $NDK Case Study: CNFET Processor Core OpenSparc T2 SoC core1 core2 core3 core4 thermal core5 core6 core7 core8 www.opensparc.net + CNFET compact model thermal thermal 20 Carbon Nanotube FET (CNFET) carbon nanotube (CNT) d~1nm sub-lithographic CNT pitch gate oxide 21 NDK: High-Level Overview experimental data+ compact models + variations 3 data metallic model m) semiconducting μ (mA/ D I 0 CNTs 0 VDS (V) 0.4 physical layouts + full system + design targets Delay Noise immunity Energy Yield NanGate 15 nm Library OpenSparc T2 SoC + wire parasitics + wire parasitics … 22 Variation-Aware NDK: 2 Steps Step 1) Library characterization . Parasitic extraction . SPICE analysis using compact models Step 2) VLSI circuit EDP optimization a) Synthesis, place & route, power/timing b) Rapidly quantify variations 23 Variation-Aware NDK: 2 Steps Step 1) Library characterization . Parasitic extraction . SPICE analysis using compact models Step 2) VLSI circuit EDP optimization a) Synthesis, place & route, power/timing b) Rapidly quantify variations 24 Step 1) Library Characterization Required Inputs compact model module vscnfet_1_0_1(D,G,S); 25 NDK User Guide open Graphical User Interface (GUI) 1. Open SystemVariations GUI (run ‘SystemVariations’ from Matlab terminal) This is the “StepStruct”, it is a comma separated variable (.csv) file you can edit in libreoffice (or excel) NDK User Guide load configuration “StepStruct” Browse for example StepStruct file, which contains technology information such as gate length, contact length, gate oxide thickness, gate oxide dielectric constant, etc. The example one is for carbon nanotube field-effect transistors (CNFET), browse for: ‘$SVNROOT/cnfet_modeling/SystemVariations/SPICE_deck _gen/cnfet_macro/n07_cnt_pex_top_end_lg09_lc09_lx12_s 04_d17_r03_k10/VDD500mV/lvt/pex/BaseParameters.csv’, it will load all the fields into the GUI NDK User Guide run configuration “StepStruct” a) You can click “Run SPICE StepStruct” to run the circuit simulation (using Cadence spectremdl) associated with this StepStruct. The SystemVariations GUI generates a spectre netlist, runs it, and then loads & plots the output. This particular file will show the current- voltage (I-V) characteristics, the capacitance-voltage (C-V) characteristics, and some other key device-level parameters for CNFET b) Run single step c) It will take ~1 minute to run the simulation, you can see the spectre output in the Matlab command window NDK User Guide example FET characteristics: I-V, C-V Here are example I-V, C-V, and CNFET parameters ID vs. VDS ID vs. VGS ION & IEFF vs. VDD CGS vs. VGS CGS vs. VDD device parasitics: resistance & capacitance NDK User Guide other compact models wrapper model to hook into NDK compact model instantiation Step 1) Library Characterization Required Inputs compact model + physical layouts AOI222_X1 module vscnfet_1_0_1(D,G,S); module vscnfet_1_0_1(D,G,S); NanGate 15nm Library 31 NDK User Guide view in virtuoso Standard cell layout for AOI21_X1 in NanGate 15 nm library NDK User Guide .macro.lef file This is the .macro.lef file, it contains information on the locations of the wires in each standard cell, as well as standard cell area and pin locations NDK User Guide .tech.lef file You can open the input .lef files in a text editor: N7_3X2Y2Z_P42_mint.tech.lef and N7_3X2Y2Z_P42_mint.macro.lef This is the .tech.lef file, it contains information on the via pitch, width, spacing, height, as well as the metal layers (width, pitch, min spacing, etc.) NDK User Guide .itf file You can open the input .itf file from the text editor, it contains information about the resistance and capacitance of the wires and vias on each layer, as well as the inter-layer dielectrics (dielectric constant and spacing) example .itf specification NDK User Guide extracted netlist Example: AOI21_X1 (NanGate 15 nm OCL) extracted parasitics (R & C) from standard cell layouts FET instances (instantiating NDK wrapper) Step 1) Library Characterization Required Inputs compact model + physical layouts + variations metallic semiconducting CNTs AOI222_X1 module vscnfet_1_0_1(D,G,S); NanGate 15nm Library 37 NDK User Guide CNT variations parameters • Parameterize measured CNT spacing variations: 2 σspacing –––––––2 = 0.5 μspacing 0.1 CNTs 0.08 0.06 0.04 probability 0.02 0 0 0.2 0.4 0.6 0.8 1 inter-CNT spacing: s (µm) NDK User Guide variations: other compact models switch statement in Matlab based on compact model function call + processing: compact-model dependent (instructions on how to add new functions for new variations based on new compact models) Step 1) Library Characterization compact model physical layouts variations metallic semiconducting AOI222_X1 CNTs characterize timing/power libraries automatic SPICE deck generation & analysis 40 NDK User Guide generate Power/Timing configuration “StepStruct” a) Click “Generate NOMINAL Leakage/Cin/Timing StepStructs”, this will create a spectre simulation for many different standard library cells to characterize leakage current, input capacitance, timing, and power for the standard cell library b) For ‘Choose SPICE StepStruct file for cell type: comb’, select ‘template_LeakageCinTiming_Ioff_retarget.mdl’ NDK User Guide select variations parameters Choose the parameters for ‘Input for cnfet_macro’: NOMINAL: Number of Monte Carlo trials = 1, IDC = 0, pm = 0, pRs = 0, pRm = 1 VARIATIONS: trials = 100, IDC = 0.5, pm = 0.10, pRs = 1%, pRm = 99.99% NDK User Guide select load capacitance & input slew vectors Choose the default
Recommended publications
  • Section 3. ASIC Industry Trends
    3 ASIC INDUSTRY TRENDS ASSPs AND ASICs The term ASIC (Application Specific IC) has been a misnomer from the very beginning. ASICs, as now known in the IC industry, are really customer specific ICs. In other words, the gate array or standard cell device is specifically made for one customer. ASIC, if taken literally, would mean the device was created for one particular type of system (e.g., a disk-drive), even if this device is sold to numerous customers and/or is put in the IC manufacturer’s catalog. Currently, a device type that is sold to more than one user, even if it is produced using ASIC tech- nology, is considered a standard IC or ASSP (Application Specific Standard Product). Thus, we are left with the following nomenclature guidelines (Figure 3-1). ASIC: A device produced for only one customer. PLDs are included as ASICs because the customer “programs” that device for its needs only. CSIC: What ASICs should have been called from the beginning. Some companies differentiate an ASIC from a CSIC by who completes or is responsible for the majority of the IC design effort. If it is the IC producer, the part is labeled a CSIC, if it is the end-user, the device is called an ASIC. This term is not currently used very often in the IC industry. ASSP: A relatively new term for ICs targeting specific types of systems. In many cases the IC will be manufactured using ASIC technology (e.g., gate or linear array or standard cell techniques) but will ultimately be sold as a standard device type to numerous users (i.e., put into a product catolog).
    [Show full text]
  • UCLA Electronic Theses and Dissertations
    UCLA UCLA Electronic Theses and Dissertations Title Implications of Modern Semiconductor Technologies on Gate Sizing Permalink https://escholarship.org/uc/item/56s9b2tm Author Lee, John Publication Date 2012 Peer reviewed|Thesis/dissertation eScholarship.org Powered by the California Digital Library University of California University of California Los Angeles Implications of Modern Semiconductor Technologies on Gate Sizing A dissertation submitted in partial satisfaction of the requirements for the degree Doctor of Philosophy in Electrical Engineering by John Hyung Lee 2012 c Copyright by John Hyung Lee 2012 Abstract of the Dissertation Implications of Modern Semiconductor Technologies on Gate Sizing by John Hyung Lee Doctor of Philosophy in Electrical Engineering University of California, Los Angeles, 2012 Professor Puneet Gupta, Chair Gate sizing is one of the most flexible and powerful methods available for the timing and power optimization of digital circuits. As such, it has been a very well-studied topic over the past few decades. However, developments in modern semiconductor technologies have changed the context in which gate sizing is performed. The focus has shifted from custom design methods to standard cell based designs, which has been an enabler in the design of modern, large-scale designs. We start by providing benchmarking efforts to show where the state-of-the-art is in standard cell based gate sizing. Next, we develop a framework to assess the impact of the limited precision and range available in the standard cell library on the power-delay tradeoffs. In addition, shrinking dimensions and decreased manufacturing process control has led to variations in the performance and power of the resulting designs.
    [Show full text]
  • Introduction to ASIC Design
    ’14EC770 : ASIC DESIGN’ An Introduction Application - Specific Integrated Circuit Dr.K.Kalyani AP, ECE, TCE. 1 VLSI COMPANIES IN INDIA • Motorola India – IC design center • Texas Instruments – IC design center in Bangalore • VLSI India – ASIC design and FPGA services • VLSI Software – Design of electronic design automation tools • Microchip Technology – Offers VLSI CMOS semiconductor components for embedded systems • Delsoft – Electronic design automation, digital video technology and VLSI design services • Horizon Semiconductors – ASIC, VLSI and IC design training • Bit Mapper – Design, development & training • Calorex Institute of Technology – Courses in VLSI chip design, DSP and Verilog HDL • ControlNet India – VLSI design, network monitoring products and services • E Infochips – ASIC chip design, embedded systems and software development • EDAIndia – Resource on VLSI design centres and tutorials • Cypress Semiconductor – US semiconductor major Cypress has set up a VLSI development center in Bangalore • VDAT 2000 – Info on VLSI design and test workshops 2 VLSI COMPANIES IN INDIA • Sandeepani – VLSI design training courses • Sanyo LSI Technology – Semiconductor design centre of Sanyo Electronics • Semiconductor Complex – Manufacturer of microelectronics equipment like VLSIs & VLSI based systems & sub systems • Sequence Design – Provider of electronic design automation tools • Trident Techlabs – Power systems analysis software and electrical machine design services • VEDA IIT – Offers courses & training in VLSI design & development • Zensonet Technologies – VLSI IC design firm eg3.com – Useful links for the design engineer • Analog Devices India Product Development Center – Designs DSPs in Bangalore • CG-CoreEl Programmable Solutions – Design services in telecommunications, networking and DSP 3 Physical Design, CAD Tools. • SiCore Systems Pvt. Ltd. 161, Greams Road, ... • Silicon Automation Systems (India) Pvt. Ltd. ( SASI) ... • Tata Elxsi Ltd.
    [Show full text]
  • Predictive Aging of Reliability of Two Delay Pufs
    Predictive Aging of Reliability of two Delay PUFs Naghmeh Karimi1, Jean-Luc Danger2;3, Florent Lozac'h3, and Sylvain Guilley2;3 1 ECE Department, Rutgers University, Piscataway, NJ, USA 08854 [email protected] 2 LTCI, CNRS, T´el´ecom ParisTech, Universit´eParis-Saclay, 75013 Paris, France [email protected] 3 Secure-IC SAS, 35510 Cesson-S´evign´e,France [email protected] Abstract. To protect integrated circuits against IP piracy, Physically Unclonable Functions (PUFs) are deployed. PUFs provide a specific signature for each integrated circuit. However, environmental variations, (e.g., temperature change), power supply noise and more influential IC aging affect the functionally of PUFs. Thereby, it is important to evaluate aging effects as early as possible, preferentially at design time. In this paper we investigate the effect of aging on the stability of two delay PUFs: arbiter-PUFs and loop-PUFs and analyze the architectural impact of these PUFS on reliability decrease due to aging. We observe that the reliability of the arbiter-PUF gets worse over time, whereas the reliability of the loop-PUF remains constant. We interpret this phenomenon by the asymmetric aging of the arbiter, because one half is active (hence aging fast) while the other is not (hence aging slow). Besides, we notice that the aging of the delay chain in the arbiter-PUF and in the loop-PUF has no impact on their reliability, since these PUFs operate differentially. 1 Introduction With the advancement of VLSI technology, people are increasingly relying on electronic devices and in turn integrated circuits (ICs).
    [Show full text]
  • ICS904/EN2 : Design of Digital Integrated Circuits
    ICS904/EN2 : Design of Digital Integrated Circuits L5 : Design automation : The "liberty" file format Yves MATHIEU [email protected] Standard Cell characterization "Liberty" files Give all necessary informations to the synthesis and P&R tools A de-facto standard : "Liberty" files from "Synopsys" company. For each cell : • Logic behavior • Area • Power Consumption • Timing But also, for a whole library : • Characterization conditions (Process, Supply Voltage , Temperature) • Characterization conditions (Max rising time, Max capacitances,...) • Statistical capacitance model for wiring... 3/35 ICS904-EN2-L5 Yves MATHIEU An example library Nangate 45nm Open Cell Library Nangate is company creating characterization tools for standard cell libraries. Library distributed by Si2 (Silicon Integration Initiative) an association of electronic design automation companies. No way to process any true circuit, but usable for research and teaching purposes. Based on the NCSU (North Carolina State University) FreePDK45 process kit. FreePDK45 : An open source fictitious, non manufacturable process. 4/35 ICS904-EN2-L5 Yves MATHIEU Nangate 45nm Open Cell Library Units for measurements /* Units Attributes */ voltage_unit : "1V"; current_unit : "1mA"; pulling_resistance_unit : "1kohm"; capacitive_load_unit (1,ff); All measurements use defined units. 6/35 ICS904-EN2-L5 Yves MATHIEU Nangate 45nm Open Cell Library Characterization conditions /* Operation Conditions */ nom_process : 1.00; nom_temperature : 25.00; nom_voltage : 1.10; voltage_map (VDD,1.10);
    [Show full text]
  • Full-Custom Ics Standard-Cell-Based
    Full-Custom ICs Design a chip from scratch. Engineers design some or all of the logic cells, circuits, and the chip layout specifi- cally for a full-custom IC. Custom mask layers are created in order to fabricate a full-custom IC. Advantages: complete flexibility, high degree of optimization in performance and area. Disadvantages: large amount of design effort, expensive. 1 Standard-Cell-Based ICs Use predesigned, pretested and precharacterized logic cells from standard-cell li- brary as building blocks. The chip layout (defining the location of the building blocks and wiring between them) is customized. As in full-custom design, all mask layers need to be customized to fabricate a new chip. Advantages: save design time and money, reduce risk compared to full-custom design. Disadvantages: still incurs high non-recurring-engineering (NRE) cost and long manufacture time. 2 D A B C A B B D C D A A B B Cell A Cell B Cell C Cell D Feedthrough Cell Standard-cell-based IC design. 3 Gate-Array Parts of the chip are pre-fabricated, and other parts are custom fabricated for a particular customer’s circuit. Idential base cells are pre-fabricated in the form of a 2-D array on a gate-array (this partially finished chip is called gate-array template). The wires between the transistors inside the cells and between the cells are custom fabricated for each customer. Custom masks are made for the wiring only. Advantages: cost saving (fabrication cost of a large number of identical template wafers is amortized over different customers), shorter manufacture lead time.
    [Show full text]
  • A Fine-Grained 3D IC Technology with NP-Dynamic Logic
    Received 13 June 2016; revised 24 February 2017; accepted 8 March 2017. Date of publication 20 March 2017; date of current version 7 June 2017. Digital Object Identifier 10.1109/TETC.2017.2684781 NP-Dynamic Skybridge: A Fine-Grained 3D IC Technology with NP-Dynamic Logic JIAJUN SHI, MINGYU LI, MOSTAFIZUR RAHMAN, SANTOSH KHASANVIS, AND CSABA ANDRAS MORITZ J. Shi, M. Li, and C.A. Moritz are with the Department of Electrical and Computer Engineering, University of Massachusetts, Amherst, MA 01003 M. Rahman is with the School of Computing and Engineering, University of Missouri, Kansas City, MO 65211 S. Khasanvis is with BlueRISC Inc., Amherst, MA 01002 CORRESPONDING AUTHOR: J. SHI ([email protected]) ABSTRACT A new 3D IC fabric named NP-Dynamic Skybridge is proposed that provides fine-grained vertical 3D integration for future technology scaling. Relying on a template of vertical nanowires, it expands our prior work to incorporate and utilize both n- and p-type transistors in a novel NP-Dynamic circuit-style compatible with true 3D integration. This enables a wide range of elementary logics leading to more compact circuits, simple clocking schemes for cascading logic stages and low buffer requirement. We detail new design concepts for larger-scale circuits, and evaluate our approach using a 4-bit nanoprocessor implemented in 16 nm technology node. A new pipelining scheme specifically designed for our 3D NP-Dynamic circuits is employed in the nanoprocessor. We compare our approach with 2D CMOS as well as state-of-the-art tran- sistor-level monolithic 3D IC (T-MI) approach. Benchmarking results for the 4-bit nanoprocessor show bene- fits of up to 56.7x density, 3.8x power and 1.7x throughput over 2D CMOS.
    [Show full text]
  • Standard Cell Library Design and Optimization with CDM for Deeply Scaled Finfet Devices
    Standard Cell Library Design and Optimization with CDM for Deeply Scaled FinFET Devices. by Ashish Joshi, B.E A Thesis In Electrical Engineering Submitted to the Graduate Faculty of Texas Tech University in Partial Fulfillment of the Requirements for the Degree of MASTER OF SCIENCES IN ELECTRICAL ENGINEERING Approved Dr. Tooraj Nikoubin Chair of Committee Dr. Brian Nutter Dr. Stephen Bayne Mark Sheridan Dean of the Graduate School May, 2016 © Ashish Joshi, 2016 Texas Tech University, Ashish Joshi, May 2016 ACKNOWLEDGEMENTS I would like to sincerely thank my supervisor Dr. Nikoubin for providing me the opportunity to pursue my thesis under his guidance. He has been a commendable support and guidance throughout the journey and his thoughtful ideas for problems faced really been the tremendous help. His immense knowledge in VLSI designs constitute the rich source that I have been sampling since the beginning of my research. I am especially indebted to my thesis committee members Dr. Bayne and Dr. Nutter. They have been very gracious and generous with their time, ideas and support. I appreciate Dr. Nutter’s insights in discussing my ideas and depth to which he forces me to think. I would like to thank Texas Instruments and my colleagues Mayank Garg, Jun, Alex, Amber, William, Wenxiao, Shyam, Toshio, Suchi at Texas Instruments for providing me the opportunity to do summer internship with them. I continue to be inspired by their hard work and innovative thinking. I learnt a lot during that tenure and it helped me identifying my field of interest. Internship not only helped me with the technical aspects but also build the confidence to accept the challenges and come up with the innovative solutions.
    [Show full text]
  • Development and Verification of a Small CMOS Digital Standard Cell Library Based on SMIC 130Nm Process
    4th International Conference on Mechatronics, Materials, Chemistry and Computer Engineering (ICMMCCE 2015) Development and Verification of A Small CMOS Digital Standard Cell Library Based on SMIC 130nm Process Yiwen Wang1,a,*, Hang Su1,a, Mingjiang Wang1,a, Jipan Huang2,b, Hao Chen2,b 1School of Electronic and Information Engineering, Harbin Institute of Technology Shenzhen Graduate School, Shenzhen, China 2School of Electronic and Computer Engineering, Peking University Shenzhen Graduate School, Shenzhen, China [email protected], [email protected] Keywords: Standard cell library; Full adder; Optimization; Verification; P&R Abstract. Nowadays, Semi-custom design based on the standard cells is the mainstream design method for digital IC chip. In this thesis, the standard cell library is built and verified based on the SMIC 130nm technology, especially the optimization of a 1-bit full adder cell, during which the structure and layout of the full adder in the SMIC library is analyzed. As a result, the structure and size of the adder cell are improved better, which is simulated by H-spice. The comparison shows that the optimized adder is not only smaller in area, with width decreased by 0.82μm, but also have advantages in power consumption and timing, with energy delay product reduced by 7.7% .In the end, the s298 circuit in ISCAS Benchmark89 is used as the benchmark to complete the verification method of the standard cell library. Introduction Standard cell library is the basis of gate-level module based circuit design, and it has a direct impact on the performance[1,2], power consumption, size and yield of the final flowing out circuits.
    [Show full text]
  • Standard Cell Library Design with Transistor Folding Using
    STANDARD CELL LIBRARY DESIGN WITH TRANSISTOR FOLDING USING 65NM TECHNOLOGY BY GLOBAL FOUNDRIES by Vibhav Kumarswami Salimath APPROVED BY SUPERVISORY COMMITTEE: ___________________________________________ Dr. Carl M. Sechen, Chair ___________________________________________ Dr. William Swartz ___________________________________________ Dr. Benjamin Carrion Schaefer Copyright 2018 Vibhav Kumarswami Salimath All Rights Reserved To my family and my teachers STANDARD CELL LIBRARY DESIGN WITH TRANSISTOR FOLDING USING 65NM TECHNOLOGY BY GLOBAL FOUNDRIES by VIBHAV KUMARSWAMI SALIMATH, B.E. THESIS Presented to the Faculty of The University of Texas at Dallas in Partial Fulfillment of the Requirements for the Degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING THE UNIVERSITY OF TEXAS AT DALLAS May 2018 ACKNOWLEDGMENTS I want to thank my advisor, Dr. Carl Sechen, for his continuous supervision and guidance. I took Dr. Sechen’s course during my first semester in the master’s degree program. I loved the way he taught and came away from the course with a clear idea of my research interests. Working at the Nanometer Design Lab has been an incredible experience and I am most grateful for this opportunity. Thank you to my friends at Nanometer Design Lab for their valuable input, especially Xiangyu Xu and Qiongdan Huang (Olivia). I wish to specially thank Dr. William Swartz Jr. for providing me with timely help and support. Thank you to Dr. William Swartz Jr. and Dr. Benjamin Carrion Schaefer for serving as the committee members for my defense and providing me with their support and advice. I am extremely grateful to my family and friends for their encouragement, which has motivated me to do my best academically.
    [Show full text]
  • Timing Analysis of Integrated Circuits
    UNIVERSITY OF THESSALY SCHOOL OF ENGINEERING Department of Computer & Communication Engineering TIMING ANALYSIS OF INTEGRATED CIRCUITS Master Thesis : Alexandros Mittas Lazaridis July 2012 Volos - Greece 1 Institutional Repository - Library & Information Centre - University of Thessaly 08/12/2017 17:59:09 EET - 137.108.70.7 DEDICATION To my parents and friends Hope for better days. 2 Institutional Repository - Library & Information Centre - University of Thessaly 08/12/2017 17:59:09 EET - 137.108.70.7 ACKNOWLEDGMENTS First I would like to thank Dr. George Stamoulis for advising me for the last 4 years. I have learned many things from him and consider myself fortunate to have been one of his students. I would also like to thank Dr. Nestoras Eymorfopoulos and Dr. Ioannis Moudanos. Without their patience and crucial support this thesis would not have been completed. Finally I am really grateful to my roommates in E5 room of Glavani Steet whose help was really appreciated. Konstantis, Giorgos, Babis, Tasos, Sofia, and Alexia I am really obliged. Forgive me if having forgotten to mention anyone. 3 Institutional Repository - Library & Information Centre - University of Thessaly 08/12/2017 17:59:09 EET - 137.108.70.7 1 Introduction _________________________________ 6 1.1 Goal of this Thesis.............................................................................................6 1.2 Moore’s Law……………………..……………………………..…………………………………………..6 2 Timing Analysis 8 2.1 What is Timing Analysis......................................................................................8
    [Show full text]
  • Introduction • ASIC Is an Acronym for Application Specific Integrated Circuit
    Introduction • ASIC is an acronym for Application Specific Integrated Circuit. • As the name indicates, ASIC is a non- standard integrated circuit that is designed for a specific use or application. • Generally an ASIC design will be undertaken for a product that will have a large production run , and the ASIC may contain a very large part of the electronics needed on a single integrated circuit. SCRIET(CCS University Meerut) 1 Contd. • Examples for ASIC Ics are : a chip for a toy bear that talks; a chip for a satellite; a chip designed to handle the interface between memory and a microprocessor for a workstation CPU; and a chip containing a microprocessor as a cell together with other logic. SCRIET(CCS University Meerut) 2 Contd. • Two ICs that might or might not be considered as ASICs are, a controller chip for a PC and a chip for a modem. Both of these examples are specific to an application (shades of an ASIC) but are sold to many different system vendors (shades of a standard part). ASICs such as these are sometimes called application- specific standard products ( ASSPs ). SCRIET(CCS University Meerut) 3 Types of • The classificationASICs of ASICs is shown below SCRIET(CCS University Meerut) 4 Full-Custom ASICs • A Full custom ASIC is one which includes some (possibly all) logic cells that are customized and all mask layers that are customized. • A microprocessor is an example of a full-custom IC . Designers spend many hours squeezing the most out of every last square micron of microprocessor chip space by hand.
    [Show full text]