<<

DESIGN AND IMPLEMENTATION OF EPS (ELECTRICAL POWER ) OF A CUBESAT

A Thesis Submitted In partial fulfillment of the requirement for the degree of

B.Sc. (HON) in Electrical and Electronic

(COMMUNICATION ENGINEERING)

BY

IBRAHIM TAHIR OSMAN

INDEX NO.074002

Supervisor

Dr. Tahani Abdalla Attia

To

Department of Electrical and Electronics Engineering

Faculty of Engineering

University of Khartoum

September 2012

DECLARATION OF ORIGINALITY

I declare that this report entitled “ and Implementation of EPS (Electrical Power System) of a Cubesat” is my own work except as cited in the references. The report has not been accepted for any degree and is not being submitted concurrently in candidature for any degree or other award. Signature: ______Name: ______Date: ______

i

Dedication

To my parents, for their over whelming care and love …

To my dear sister and brothers ….

To my teachers

To my friends and colleges

ii

Abstract

Cubesats are picosatellites of standardized dimensions which can be operated from universites or radio amateur ground stations. They offer hands-on experience to engineering students in designing, developing, testing and operating a real system and its ground segment.

One of the most critical aspects of the Cubesat is the Electrical Power System (EPS) since the electrical power is necessary for a Cubesat to operate. The EPS of the Cubesat consists mainly of solar cells, batteries, voltage converters and protection circuits. The EPS is responsible of providing stable power to the Cubesat subsystems.

The purpose of this project is to design and implement an EPS for the KN-SAT1. The KN- SAT1 is a Cubesat that has been developed in the University of Khartoum as the first educational Cubesat in the Sudan. The design must provide a reliable power source by satisfying the requirements of charging the batteries with power from the solar cells, regulating the battery voltage to the requested bus voltage and protecting the Cubesat subsystems from the overcurrent associated with the device failure.

iii

ال مُستُ خل صُ القمر المك ع ب أو “الكيوبسات” هو نوع من األقمار المتناهية الص غ ر التي تمتا ز بإمكانية تشغيلها من الجامعات أو المحطا ت األرضية البسيطة. ونظرا لهذه المزايا فإنه يتم استعمال الكيوبسات كوسيلة تعليمية إلعطاء طالب الهندسة خبرة عملية في مجال تصميم وتطوير المركبات الفضائية و المحطات األرضية المصاحبة لها.

إن أحد أهم األجزاء المكونة للكيوبسات يتمثل في نظام الطاقة الكهربائية و ذلك يرجع إلى أن الكيوبسات ال يستطيع العمل بدون الطاقة الكهربية الالزمة لذلك. هذا النظام الخاص بالطاقة الكهربائية يتكون في عمومه من خاليا شمسية وبطاريات ومحوالت للجهد و دوائر حماية وذلك حتى يتمكن من توفير الطاقة بصورة مستقرة.

إن الغرض من المشروع الذي تتناوله هذه األطروحة هو تصميم و تنفيذ نظام الطاقة الكهربائية الخاص بالكيوبسات ”KN-SAT1“. وهو قمر صناعي تم تطويره في جامعة الخرطوم بإعتباره أول كيوبسات تعليمي تم بناؤه على مستوى السودان. هذا النظام الخاص بالطاقة الكهربائية للكيوبسات يجب أن تكون له القدرة على توفير مصدر فعال للطاقة الكهربائية وذلك عن طريق إستيفاء الشروط المتمثلة في شحن البطاريات من الطاقة المولدة من الخاليا الشمسية و من ثم تحويل جهد البطاريات إلى الجهد المطلوب لتغذية بقية أنظمة القمر. هذا باإلضافة إلى حماية أنظمة الكيوبسات الفرعية من التيار الزائد الذي ينتج عن فشل األجهزة داخل الكيوبسات.

iv

Table of Contents

DECLARATION OF ORIGINALITY...... i Dedication ...... ii Abstract ...... iii iv ...... المستخلص List of Tables ...... vii List of Figures ...... viii List of Abbreviations ...... x Introduction ...... 1 1.1 Overview ...... 1 1.2 Problem Statement ...... 1 1.3 Motivation ...... 2 1.4 Objectives ...... 2 1.5 Thesis layout ...... 2 2. Literature Review ...... 4 2.1 General Overview...... 4 2.2 Cubesat Electrical Power System ...... 4 2.2.1 Power Source ...... 5 2.2.2 Power Storage ...... 7 2.2.3 Power Monitoring ...... 8 2.2.4 Protection...... 14 2.3 EPS Review ...... 14 2.3.1 EPS Review For Distributed Vs. Centralized ...... 14 2.3.2 EPS Review for DET vs. PPT Architecture ...... 16 2.3.3 Power System Review for Bus Voltages ...... 16 2.4 Previous Work ...... 18 2.4.1 AAU Cubesat(University of Aalborg, Denmark) ...... 18 2.4.2 SACRED ...... 18 2.4.3 CAPE-1 and CAPE-2 ...... 18 2.4.4 Cute-1.7 + APD II Project ...... 19 3. Design and Implementation ...... 20 3.1 Overview ...... 20 3.2 Power Budget ...... 20 3.3 Design of the EPS...... 22 3.3.1 Solar Cells ...... 22 v

3.3.2 Battery Pack ...... 25 3.3.3 Design of Power Monitoring Unit ...... 28 3.3.4 Protection Circuit ...... 37 3.3 Simulation ...... 38 3.3.1 Solar Cell ...... 38 3.3.2 MPPT ...... 39 3.3.3 Power Conditioning converter ...... 39 3.3.4 Protection Circuit ...... 40 4. Results and Discussion ...... 41 4.1 Introduction ...... 41 4.2 Simulation results ...... 41 4.2.1 Maximum Power Point Tracking Converter ...... 41 4.2.2 Power Conditioning Converter ...... 43 4.2.3 Current limiting circuit ...... 43 4.3 Hardware results ...... 45 4.3.1 Boost converter ...... 45 4.3.2 Buck Converter ...... 49 Conclusion and Recommendations ...... 53 5.1 Conclusion ...... 53 5.2 Recommendations ...... 54 References ...... 55 Appendix A ...... 1

vi

List of Tables

Table NO.

Table ‎2.1: Cubesat Missions ...... 15 Table ‎2.2: Centralized Vs Distributed architecture ...... 16 Table ‎2.3: Cubesats with the listed EPS architecture type ...... 16 Table ‎2.4: Cubesats with the listed regulated voltage outputs ...... 16 Table ‎2.5: Cubesats with the listed regulated voltage outputs ...... 17 Table ‎3.1: Cubesat Power Budget ...... 21 Table ‎3.2: The vote of the different converter solutions ...... 32 Table ‎4.1: Output Voltage of the Boost Converter at L=1 mH ...... 46 Table ‎4.2: Output Voltage of the Boost Converter at L= 10 mH ...... 48 Table ‎4.3: Output Voltage of the Buck Converter at L= 1 mH ...... 50 Table ‎4.4: Output Voltage of the Buck Converter at L= 10 mH ...... 52

vii

List of Figures

Figure NO.

Figure ‎2.1: Overview of the EPS main functionality ...... 5 Figure ‎2.2: The characteristic of a solar cell with MPP ...... 6 Figure ‎2.3: Temperature effect on I (a) and V (b) characteristics of a solar cell ...... 7 Figure ‎2.4: Direct Energy Transfer with Battery Bus ...... 9 Figure ‎2.5: Linear Mode ...... 11 Figure ‎2.6: Voltage Regulation ...... 13 Figure ‎3.1: Overall purpose of the EPS ...... 20 Figure ‎3.2: Series connection of solar cells ...... 22 Figure ‎3.3: I/V characteristics of cells with varying degree of illumination connected in series . 23 Figure ‎3.4: Parallel connection of solar cells ...... 24 Figure ‎3.5: I/V characteristics of cells with varying degree of illumination connected in parallel ...... 24 Figure ‎3.6: Hybrid connection of solar cells ...... 25 Figure ‎3.7: Series connection of batteries ...... 26 Figure ‎3.8: Parallel connection of batteries ...... 27 Figure ‎3.9: Hybrid connection of batteries ...... 27 Figure ‎3.10: Linear DC-DC converter ...... 28 Figure ‎3.11: Solution Tree ...... 28 Figure ‎3.12: Possible solutions with one converter ...... 29 Figure ‎3.13: Possible solutions with two converters ...... 30 Figure ‎3.14: Solution with three converters ...... 31 Figure ‎3.15: Chosen solution ...... 32 Figure ‎3.16: Boost Converter ...... 33 Figure ‎3.17: Buck-Boost Converter ...... 34 Figure ‎3.18: Flow chart of P&O algorithm ...... 35 Figure ‎3.19: Buck Converter ...... 36 Figure ‎3.20: Current Limiter ...... 38 Figure ‎3.21: Solar cell equivalent circuit ...... 38 Figure ‎3.22: Connection of solar cells and MPPTC...... 39 Figure ‎3.23: Buck converter ...... 39 Figure ‎3.24: Current limiting circuit ...... 40 Figure ‎4.1: The Input (purple) and the Output (yellow) voltage of the MPPT at D=50% ...... 41

viii

Figure ‎4.2:The Input (purple) and the Output (yellow) voltage of the MPPT at D=75% ...... 42 Figure ‎4.3: The Output voltage of the PCC at D=50% ...... 43 Figure ‎4.4: Output Current when(a) switch is opened,(b) switch is closed (short circuit) ...... 44 Figure ‎4.5: Output voltage of Boost Converter at R=100 Ω ...... 45 Figure ‎4.6: Output voltage of Boost Converter at R=1 KΩ ...... 45 Figure ‎4.7: Output voltage of Boost Converter at R=13 KΩ ...... 46 Figure ‎4.8: Output voltage of Boost Converter at R=100 Ω ...... 47 Figure ‎4.9: Output voltage of Boost Converter at R=1 KΩ ...... 47 Figure ‎4.10: Output voltage of Boost Converter at R=13 KΩ ...... 48 Figure ‎4.11: Output voltage of Buck Converter at R=100 Ω ...... 49 Figure ‎4.12: Output voltage of Buck Converter at R=1 KΩ ...... 49 Figure ‎4.13: Output voltage of Buck Converter at R=13 KΩ ...... 50 Figure ‎4.14: Output voltage of Buck Converter at R=100 Ω ...... 51 Figure ‎4.15: Output voltage of Buck Converter at R=1 KΩ ...... 51 Figure ‎4.16: Output voltage of Buck Converter at R=13 KΩ ...... 52

ix

List of Abbreviations

ACS Attitude Control System

DET Direct Energy Transfer

EPS Electrical Power System

GEO Geostationary Earth

LEO Low earth orbit

MPP Maximum Power Point

MPPT Maximum Power Point Tracking

MPPTC Maximum Power Point Tracking Converter

OBC On Board

P&O Perturb and observe

PCC Power Conditioning Converter

PMU Power Monitoring Unit

PPT Peak Power tracking

SEL Single Event Latch-up

SEU Single Event Upset

TRD Transmitter/Radio Device

x

Chapter One

Introduction

1.1 Overview Since its inception in 1960, the advancement in the spacecraft design has increased exponentially. Today’s spacecraft are far more capable than those of past years, consolidating multiple missions into a single platform. This evolving accommodation however, is not without a price. Current are ever increasing in complexity, design times, and, perhaps most significantly, cost.

As a consequence of this, it was not possible to inject the satellites studies practically in the academic courses because of the difficulties associated with manufacturing the satellites and the enormous budget incorporated with those projects. Such difficulties have encouraged the world leaders in space technology, including the US and Europe to focus their efforts on smaller satellites that can perform missions traditionally assigned to large/medium satellites and hence the concept “Cubesat” arises.

A Cubesat is a type of miniaturized for space research that usually has a volume of exactly one litre (10 cm cube), has a mass of no more than 1.33 kilograms, and typically uses commercial off-the-shelf electronics components. Although the Cubesats appears as a smaller versions of the satellites, they are not merely a scaling of larger satellite design and capability, but it’s a complete renovation of current satellite convention.

So, why using Cubesats? Cubesats are ideal as space development projects for universities around the world. In addition to their significant role in introducing the students to a realistic and practical spacecraft design and mission launch process, Cubesats provide a low-cost platform for testing and space qualification of the next generation of small payloads in space.

1.2 Problem Statement For a Cubesat to be operative, it is important to have a stable and reliable power system. Without electrical power the Cubesat will not be able to do anything else than drifting around in orbit.

1

Introduction Chapter One

The EPS of a Cubesat is a fully autonomous system that receives power from a power source and conditions/distributes this power to a number of subsystems onboard the satellite. If the power obtained from the power source is greater than the consumed power by the connected subsystems, then the excess power must be stored in a number of batteries.

It may happen that the power consumed by the subsystems exceeds the input power from the power source. In this case the batteries must be able to produce enough power to provide the needed output power. But, if a subsystem draws a current that is large enough to suggest a malfunction, then this current must be limited to protect the subsystem.

1.3 Motivation In the recent years there has been a general growth of interest in space missions from several universities all over the world. But, it has traditionally been limited by cost and time aspects associated with a space mission. However, the introduction of the Cubesat technology have opened up opportunities for universities to design and develop their own satellites.

Like many universities, the University of Khartoum initiated a project to develop its own Cubesat which named KN-SAT1. It was a challenge to participate in the design of the EPS of the first Sudanese educational Cubesat.

1.4 Objectives The purpose of this project is to design a Cubesat’s EPS with the following characteristics:

 An ability to receive input power from solar cells and distributes it to the various subsystems of the Cubesat.  An ability to store the excess power into batteries to be used during eclipse and/or when the consumed power exceeds the generated one.  To be able to protect various subsystems of the Cubesat from the overcurrent associated with a SEL.

1.5 Thesis layout The general layout of this thesis can be described as follows:

 Chapter 2 (Literature Review): This chapter handles the literature review as well as the theoretical background concerning the concepts discussed the through the thesis.  Chapter 3 (Methodology) : This chapter considers the design of the system that is expected to meet the goals of the project.

2

Introduction Chapter One

 Chapter 4 (Results and Discussion): This chapter describes the implementation of the tests, the results obtained from the design described in chapter 3 and the discussion and interpolation of the obtained results.  Chapter 5 (Conclusion): This chapter contains the problem faced when implementing the design in both of simulation and hardware implementation, the future work and the recommendations.

3

Chapter Two

2. Literature Review

2.1 General Overview The Cubesat is a standardized picosatellite developed as part of a collaborative effort between California Polytechnic State University, San Luis Obispo, and Stanford University’s Space System’s Development Lab . The goal of the Cubesat program is to provide standardized design specifications and deployment so that universities can design, build and launch satellites more affordably . The basic Cubesat consists of a 10 cm cube with a mass of up to 1.33 kg. Other common Cubesat consist of two or three of the 10 cm cube units oriented linearly.

The Cubesat concept was initially presented and proposed by Twiggs at the USSS (University Space Systems Symposium). Twiggs initial proposal was met with considerable skepticism as inexpensive, miniature satellites was not envisioned to posses much useful potential. However, Cubesats have since demonstrated their merit to various industries and academic institutions as a valuable space asset primarily for their inexpensive cost. The low cost of the Cubesats opened the door of space to many who might otherwise have been financially prohibited from participation.

2.2 Cubesat Electrical Power System The various equipments on board the Cubesat need to be supplied by the electric power. Thus, the Cubesat needs a reliable power system that is capable of handling the task of providing the needed power for each subsystem in the Cubesat.

The Cubesat Electrical Power System aims to provide conditioned power for the Cubesat which involves continuous power generation for supplying the Cubesat, power storage for supplying the Cubesat when the main power generation cannot, monitoring the power to be distributed and protection of the Cubesat in case of a fault situation. The parts of the EPS are shown in Figure (2.1).

4

Literature Review Chapter Two

Figure ‎2.1: Overview of the EPS main functionality

2.2.1 Power Source The Cubesat must somehow be supplied with electrical power. The power generation can be realised using:

 Nuclear / thermal / electrical conversion  Chemical / electrical conversion  Light / electrical conversion

The nuclear approach involves decaying of radio-active matter. The decaying results in heat- generation, which can be turned into electrical power by means of a thermogenerator (Peltier element). Although this approach is best suited for deep-space (inter-planetary) missions because of its long-lasting capabilities, there is a high risk of spreading of nuclear matter in case of a failed launch.

The chemical approach involves batteries, which are charged before launch. But this method is suitable only for short missions (hours or days).

The third possible power source arises from converting the light irradiated by the sun into electrical power by means of solar cells. Since The cells are placed outside around the Cubesat, then the power generated by the cells becomes a function of the location of the satellite compared to the location of the Earth and the sun.

5

Literature Review Chapter Two

Usually, the solar cells approach forms a very reliable power source. Therefore it is used by the most Cubesats around the world.

The solar cells that are used in the Cubesats contains photovoltaic cells, which denotes the use of photodiodes in unbiased operating mode. The Photovoltaic cells are used to generate electrical power by converting radiation from the sun to an electrical current. To convert the radiation energy to electrical current, the photovoltaic cells use semiconductors that exhibit the photovoltaic effect. The photovoltaic effect occurs when photons of light exit electrons into a higher state of energy. This allows the electrons to act as charge carriers for an electric current [1].

The efficiency of the solar cells is determined by the doping characteristics of the semiconductors. When doping the semiconductors, it is important to either have an excess of positive charged carriers (p-type) or a surplus of negative charged carriers (n-type). When contact occurs between the two layers of the semiconductor, a p-n junction is formed. As a result, an electrical field arises, causing the separation of charge carriers released by light. This leads to free electrons in proximity of the electric field, which then forces the electrons from the p-side to the n-side, creating a current [2].

The irradiation and the temperature of the cell are two important factors that influence the amount of solar energy that the cell can harvest. This leads to an optimal operating point or a Maximum Power Point (MPP) for maximum utilization of the solar cell. Figure (2.2(a)) and Figure (2.2(b)) shows the I-V characteristics and the P-V characteristics of a solar cell, respectively.

Figure ‎2.2: The characteristic of a solar cell with MPP

6

Literature Review Chapter Two

Therefore, it’s highly desirable to maintain the MPP in order to harvest the maximum amount of energy available from the cell at any time. The solar cell has two limiting factors, the open-circuit voltage VOC and the short-circuit current ISC. The relationships between the VOC, ISC and the temperature are shown in Figure (2.3).

Figure ‎2.3: Temperature effect on I (a) and V (b) characteristics of a solar cell

It’s evident that the short circuit current increases nonlinearly with a rising temperature as shown in Figure (2.3(a)), while the voltage decreases linearly with rising temperature as shown in Figure (2.3(b))

2.2.2 Power Storage A battery converts chemical energy to electric energy through the use of an electrolyte, which is a substance that consists of free ions and as thus electrically conductive. The battery consists of two or more voltaic cells. These voltaic cells consist of two electrodes of different metals or metallic compounds, which are connected in series by the conductive electrolyte. One electrode contains an overflow of negatively charged ions while the other electrode contains an overflow of positively charged ions which represents the anode and cathode of the electrolyte connection respectively [3]. A redox reaction creates an electric potential difference between the electrodes.

During discharge, a chemical process occurs which generates energy that can be utilized from the battery in form of an electric current at a certain voltage. This chemical process starts when the electrodes are immersed in an electrolyte substance. An oxidation occurs at the anode

7

Literature Review Chapter Two which leads to the release of electrons. Consequently, a reduction occurs at the cathode which leads to a reduction of electrons. As a result, the cathode is now acceptable for new electrons. These electrons travel through the lead connection, forcing a current in form of electron movement from anode to cathode. This current is used to power the load over the battery.

During charging, this chemical process has to be reversed. By introducing a higher voltage over the batteries, the electrons are forced in the opposite direction. As a result, the potential at the anode and cathode is reversed. When charging a battery, there are some issues to consider when using lithium-ion batteries. Over-charging and over-current are crucial issues when operating with lithium-ion batteries. When lithium batteries are charged beyond their nominal voltage, plating of metallic lithium will start to form at the anode and the cathode material will become an oxidizing agent. As a result, the batteries lose stability and produces carbon dioxide, which increases the pressure inside the cells [4]. This can lead to an explosion of the batteries if the over-charging continues for longer periods of time. If an over-charging incident occurs and is handled immediately, by cancelling the charging, the result of the over-charging will only have an effect on the capacity of the batteries. The capacity suffers a reduction due to the plating that forms on the anode, and therefore changes the electrical potential between the anode and cathode of the battery. However, the batteries are still functional compared to if they explode.

Usually, the batteries are used to provide power to the Cubesat when it is in eclipse. The required stored energy varies with the different . For instance, a satellite travelling in a Geostationary Earth Orbit (GEO) has a maximum eclipse transit of 72 minutes during a 45-day period around the spring and autumn equinoxes. The batteries must be able to supply power during these occasional eclipses. However, in a Low Earth Orbit (LEO) a Cubesat sees an eclipse period of up to 40 % of the total orbit. As a result, the batteries are exposed to many more charge-discharge cycles. The capacity of the batteries will gradually decrease with the number of charge-discharge cycles it is exposed to. The result is that a battery pack of a LEO satellite has to have a larger capacity then a battery pack of a satellite in the GEO with the same power requirements.

2.2.3 Power Monitoring It involves controlling the power generated by the solar cells to be distributed to the Cubesat’s subsystems. It can be further divided into:

8

Literature Review Chapter Two

2.2.3.1 Power Management The power management can be implemented in a number ways. The most common implementation approaches found on today’s Cubesats are the Direct Energy Transfer (DET) and the Maximum Power Point Tracking (MPPT).

The DET is one of the most simple power system configurations. The system has a low mass because it has only a solar array regulator interface with no switch mode power supply element as shown in Figure (2.4) . Whilst this apparently seems a desirable system to be used on a Cubesat, it is a false economy. The coupling of the battery and array voltages directly, results in the need for much larger solar arrays, resulting in a more expensive and heavier spacecraft.

Figure ‎2.4: Direct Energy Transfer with Battery Bus

The main functional drawback of this system is that the solar array performance is only at its maximum when the panels are at their maximum temperature and the battery is fully charged. These are usually the conditions when need the power is not needed anymore.

On the other hand, the MPPT incorporates a Maximum Power Point Tracking Converter (MPPTC) between the solar arrays and the battery[5], this system works on the principle of charging the battery and supplying the bus during sunlight while setting the array voltage at the maximum power point.

Once the battery has reached the end of its charge state, the MPPT fixes the bus voltage at the end of charge voltage and allows the battery current to naturally taper off to a trickle charge level, at the same time backing off the power from the arrays.

Although array power is maximised, there is an inefficiency associated in the MPPT system, and approximately 5-10% of the array power will be lost before it reaches the bus. This is because the MPPT system is a DC-DC converter. The efficiency of this converter is about 90- 95%, and introduces the main inefficiency in the power system.

9

Literature Review Chapter Two

The MPPT is implemented in the EPS using one of three algorithms:

i. Perturb and Observe

In this method, the MPPTC adjusts the voltage by a small amount from the solar cell and measures the power. If the power increases, further adjustments in that direction are tried until the power no longer increases. It is referred to as a hill climbing method, because it depends on the rise of the curve of power against voltage below the Maximum Power Point (MPP), and the fall above that point. Perturb and observe is the most commonly used MPPT method due to its ease of implementation.

ii. Incremental Conductance

In the incremental conductance method, the controller measures incremental changes in photovoltaic cell’s current and voltage to predict the effect of a voltage change. This method requires more computation in the MPPTC, but it can track changing conditions more rapidly than the perturb and observe method. This method utilizes the incremental conductance (dI/dV) of the photovoltaic cell to compute the sign of the change in power with respect to voltage (dP/dV).

The incremental conductance method computes the MPP by comparison of the incremental conductance (ΔI/ΔV) to the photovoltaic conductance (I/V). When the incremental conductance is zero, the output voltage is the MPP voltage. The controller maintains this voltage until the irradiation changes and the process is repeated.

iii. Constant Voltage

In the constant voltage method, the power delivered to the subsystem is momentarily interrupted and the open-circuit voltage with zero current is measured. The controller then resumes operation with the voltage controlled at a fixed ratio, such as 0.76, of the open-circuit voltage, which has empirically been determined as the estimated MPP. The operating point of the photovoltaic cell is kept near the MPP by regulating the cell voltage and matching it to a fixed reference voltage. The reference voltage is set equal to the MPP voltage of the characteristic of the photovoltaic module or to another calculated best fixed voltage.

One of the approximations of this method is that variations of individual cells are not considered. The constant reference voltage can be considered as the voltage of the MPP. The data for this method varies with geographical location and has to be processed differently for different geographical locations. The constant voltage method does not require any input. It is important to observe that when the photovoltaic cell is in low isolation conditions, the constant

10

Literature Review Chapter Two voltage technique is more effective than the P&O method. Thanks to this characteristic, constant voltage is sometime combined together with other MPPT techniques.

The benefits of the MPPT system are only realised in situations where the maximum power point of the solar cell is changing significantly whilst the spacecraft is in sunlight. This is the case in the LEO where the array temperature, and hence the MPP, changes considerably over the sunlight period of the orbit. In an orbit such as geostationary orbit, where there are extended periods of sunlight and solar arrays at equilibrium temperature, the inefficiency of the MPPT would make the use of this topology impractical. During eclipse, however, energy is directly transferred from the battery to the bus. This is especially relevant for spacecraft in orbits that experience frequent or long duration eclipse.

2.2.3.2 Power conditioning The power conditioning is associated with regulating the voltage to accommodate for the charging voltage and the voltages of the satellite's subsystems. In most systems, the need for a specific voltage requires a regulation of either a step-up or a step-down of the supplied voltage. The voltage regulation can be performed in two modes: linear mode and switching mode.

i. Linear Mode

This method of voltage regulation can be used only to step down the voltage by dissipating the excess power into resistors as heat using a voltage divider as shown in Figure (2.5).

Figure ‎2.5: Linear Mode

Denoting the input voltage as Vin and the output (divided) voltage as Vout :

11

Literature Review Chapter Two

Usually, the linear mode is not used due to the its great loss of power.

ii. Switching mode

This mode can be used to step the voltage up and down depending on the used type of converter:

a. Buck Converter

A buck converter, or a "step-down" converter, is a regulator that converts the input voltage down to a requested voltage. A simple schematic of the buck converter is presented in Figure (2.6(a)). The basic operation of a buck converter is to regulate the energy provided to the load. By using a switch, the buck converter stores energy in the inductor when the power supply is connected. When the power supply is disconnected, the inductor with the stored energy discharges into the load. The energy stored in the inductor is given by:

Where L is the inductance of the inductor and IL is the current through the inductor. When the switch is ON, the voltage over the inductor is . When the switch is OFF, the voltage over the inductor becomes . As shown in Appendix A, the energy stored in the inductor is determined by the current and the periods of the ON and OFF states.

Therefore, the output voltage over the load is then determined by the ratio of the ON/OFF state of the converter, also referred to as the duty cycle. To convert 6 V down to 3 V, which is a half of the original voltage, a duty cycle of 50 %is required under ideal conditions. The duty cycle of the converter is given by :

Where VI is the input voltage, or the power supply, and VO is the regulated output voltage.

12

Literature Review Chapter Two

Figure ‎2.6: Voltage Regulation

b. Boost Converter A boost converter, or a "step-up" converter, does the opposite of the buck converter. It produces a larger output voltage than the input voltage. A simple schematic of a boost converter is present in Figure (2.6(b)). The difference from the buck converter is that the inductor is placed on the same side as the power supply of the switch. As a result, the power supply stores energy in the inductor when the switch is ON. However, the boost converter does not supply any energy to the load in the ON state, which results in a voltage over the inductor of VL = VI . When the switch alters, the energy in the inductor tends to collapse and the polarity changes. This results in that the energy in the inductor is added to the supplied energy, giving it a boost and hence produces a larger output than the input. The energy of the inductor is given by Equation (2.2).

The output voltage is determined by the changes in the inductor current, which depends on the time periods in ON or OFF states. The change in inductor current is shown in Appendix A and from these equations the duty cycle of the converter can be determined. The duty cycle of a boost converter is given by:

A boost converter is used in the battery charger to "boost" the voltage produced by the solar cells to the proper battery voltage. When operating with voltage converters it is important to consider the Ohm's law of power, P = VI. Since the power is equal on both sides of the converter, Ohm's law presents that the current changes when the voltage changes to maintain the power. It is therefore important not to make large conversions when the current is a factor in the system. Hence, a major increase in the voltage will result in a major decrease of the current.

13

Literature Review Chapter Two

2.2.4 Protection In space there are basically two kinds of radiation that affects the Cubesat. The electromagnetic radiation and the particle radiation. Most of this radiation comes from the sun, but cosmic radiation and particle radiation from Earth’s magnetic field are also significant. The particle radiation from the magnetic field is basically particle radiation from the sun that has been caught in the earth’s magnetic field, and moves around the earth in broad belts.

Both kinds of radiation, particle and electromagnetic, will wear down electronics over time. Moreover, the radiation can also cause errors in logic devices. This phenomenon is called a Single Event Upset (SEU) , and can change digitally stored data or cause a gate to open or close at the wrong time. Sometimes the high energy particle can directly cause damage to the devise. This is called a Single Event Latch-up (SEL). If unlucky, these events can also affect other devices, e.g. by causing a bus contention, where separate devices attempt to force different voltages at the same point, and thereby burn out. Software can be programmed to detect and correct digital errors, but bus contentions and SEL’s must be detected using hardware.

Sometimes an internal latch-up can cause a subsystem to draw a current that is higher than the specified maximum for the subsystem. Therefore, protection circuits are needed to protect the Cubesat’s subsystems.

2.3 EPS Review The following review represents various EPS Cubesat designs. Table (2.1) provides a complete list of the Cubesats included in the review. Most of the information came from universities or university affiliated institutions. Some information from non-university affiliated Cubesats was available, but much less, as they often consider their designs to be proprietary. A complete list of the documents cited in this review are found in the references [6-25].

It’s clear from Table (2.1) that the EPS has many parameters upon which the Cubesat may be classified. But the most important one is the architecture type. Other parameters include the rated voltage of the bus used in the Cubesat and the number of buses.

2.3.1 EPS Review For Distributed vs. Centralized Architecture Table (2.2) shows the number of systems that uses the centralized architecture as opposed to the distributed architecture. The centralized systems are very standard in that they produce most all of the regulated bus voltages required for the satellite and then bus them out to the individual loads. Each load has access to the bus voltage.

14

Literature Review Chapter Two

Table ‎2.1: Cubesat Missions

15

Literature Review Chapter Two

On the other hand, the distributed systems, are unique and listed as distributed because they did not fit the classical centralized architecture.

Table ‎2.2: Centralized Vs Distributed architecture

EPS Architecture Type Quantity Centralized 20 Distributed 5

2.3.2 EPS Review for DET vs. PPT Architecture Table (2.3) shows the number of Cubesats that employed the two main types of EPS . It is split quite evenly between DET and PPT.

Table ‎2.3: Cubesats with the listed EPS architecture type

EPS architecture type Quantity Direct Energy Transfer 12 Peak Power Tracking 15

The very first few EPS systems that were launched consisted of DET EPS architectures. However, for later designs, the PPT architecture appears to be the favourite. The need to squeeze the maximum power from the arrays is no doubt the motivation for the PPT designs. DET designs are still viable and are being used for current Cubesat missions.

2.3.3 Power System Review for Bus Voltages The other power system parameters are the number of voltage buses that each Cubesat outputs, and the voltage rail values, both regulated voltages and unregulated battery voltages. The most common number of buses for each Cubesat is three, as shown in Table (2.4).

Table ‎2.4: Cubesats with the listed regulated voltage outputs

Number of buses Quantity One bus 4 Two buses 2 Three buses 10 Four buses 4

16

Literature Review Chapter Two

Five buses 1 Six buses 2 Seven buses 1

Table (2.5) shows the number of Cubesats that use the listed regulated voltage. There is a pretty wide spread, but the obvious most common regulated outputs are 3.3V and 5.0V.

Table ‎2.5: Cubesats with the listed regulated voltage outputs

Common regulated bus voltages Quantity 3 volt 2 3.3 volt 13 3.6 volt 1 5 volt 17 -5 volt 2 6 volt 3 -6 volt 1 7.4 volt 1 8 volt 1 12 volt 1

One insight from the above information is that most of the EPS are custom designs. There were a few that used an off-the-shelf design, but most of them are unique. This is quite odd because the original purpose of the Cubesat is to be used as a teaching tool for universities to help students learn fundamentals of spacecraft design. However, if Cubesats are to take on a more operational purpose, then having a generic design that can be used for more than one custom application is important.

Also, for the most of the Cubesats in the review the converter performance is often lower than manufacture specification. This situation arises because the stated specifications in manufacture data sheets are often best case or they are single point efficiency numbers rather than actual curves. These efficiency numbers are obtained through optimization of the load, the inductors, capacitors, and other components in the circuit. When the design is completed, it is rare that the circuit will be sufficiently optimized. Many times, optimization at the rated peak load is not even possible when the design has to account for a wide range of loads.

17

Literature Review Chapter Two

2.4 Previous Work Below are four examples of Cubesat power systems that were designed with the intent to be used in space.

2.4.1 AAU Cubesat(University of Aalborg, Denmark) Begun in September 2001, the AAU Cubesat was a 1U Cubesat initiated with the intent to provide students the opportunity to design and launch a small satellite. The power of the Cubesat was provided by solar panels and batteries. Solar panels were triple-junction cells from EMCORE and placed in pairs on five of the six sides of the Cubesat(each cell measured 68.96mm x 39.55mm). What was unique was that four batteries from DANIONICS were used, considering the limited space of a 1U Cubesat. Unfortunately, the AAU Cubesat report did not include any more detailed data on their power system. While the AAU Cubesat did make it to space, after two and a half months, the battery capacity significantly deteriorated and satellite operations were unable to continue[26].

2.4.2 SACRED SACRED was a 1U Cubesat developed by over 50 University of Arizona students belonging to the Student Satellite Program to conduct radiation experiments. SACRED used six solar cells (one on each face) to provide power, with optimum power generation of 2W and an average of 1.5W. It was also mentioned that SACRED used several batteries, but locating any further data about the power system was futile as no official reports could be found. This could most likely be due to the fact that the satellite was destroyed shortly after takeoff when the failed, and subsequent continuity was not considered necessary[27].

2.4.3 CAPE-1 and CAPE-2 CAPE-1 was designed as a preliminary Cubesat project to give students at the University of Lafayette the skills needed to design, build, and launch a satellite. CAPE-2 was a more ambitious project, with a primary mission to "develop a cutting-edge Cubesat Communication platform for the Cubesat community to improve data gathering" and secondary missions including "local educational outreach, deployable solar panels, peak power tracking, and software defined radio." In CAPE-1, solar cells were fixed to the body of the Cubesat, while CAPE-2 will have four deployable solar panels in addition to fixed cells. Additionally, CAPE-2 will be integrating a "peak power tracker" into its PMAD to assist the satellite in orienting itself and its solar panels to generate the most power possible[28].

18

Literature Review Chapter Two

2.4.4 Cute-1.7 + APD II Project Cute-1.7 + APD II is a continuation of Cute 1.7 + APD from the Small Satellite Program (SSP) at the Laboratory for Space Systems (LSS), Tokyo Institute of Technology. A notable improvement in Cute-1.7 + APD II is the power generation, which had previously limited the Cubesat operations. The solar cells are 38.4mm x 63.2mm high-efficiency (23.2%) Gallium- Arsenide panels from EMCORE placed on all six sides of the satellite, which produce 2.12V at 363mA to power the satellite and charge the Lithium battery. The battery is a four-parallel configuration made by BEC-TOKIN with a nominal capacity of 1130mAhx4 and nominal voltage of 3.8V. Lastly, the PMAD (called the EPS or Electric Power System) is responsible for "detecting the voltage and current of the solar cells," "heating the Lithium Battery," "detecting the charge/discharge current of the battery," and load-levelling functions[29].

19

Chapter Three

3. Design and Implementation

3.1 Overview The purpose of the EPS is to transfer the power from the solar arrays to the various subsystems in the Cubesat as shown in Figure (3.1). When the Cubesat lies in the shadow of the earth the EPS uses batteries to provide the power needed to operate the necessary subsystems.

Figure ‎3.1: Overall purpose of the EPS

Sometimes condition may develop when the needed power by a certain subsystem exceeds the power generated by the solar arrays and therefore the batteries must be used along with the solar arrays to provide the required power.

Also, besides being able to start up by its own, the EPS must have a way to limit the current of a certain subsystem if it’s drawing a current higher than the specified maximum.

Finally, the EPS must be able to carry out MPPT, which ensures that the solar array operates at its best all time and thereby produces the maximum power.

3.2 Power Budget In order to do the power budget properly the orbit is divided into four phases each with its own characteristics. The decision has been made from considerations about power consumption and power input. However, it is important to remember that this is a simplified way to model the power budget.

20

Design and Implementation Chapter Three

i. Active mode in sun : In this phase the Cubesat is located in the sun and thus has maximum power input from the solar array. The Cubesat is in active mode which means that the electronics of the Cubesat are working at maximum and thus have a maximum power consumption. ii. Idle mode in sun : In this phase the Cubesat has maximum power input and the electronics are working at minimum giving minimum power consumption. iii. Active mode in shadow : In this phase the Cubesat is located in the shadow without power input and the power consumption is at maximum. iv. Idle mode in shadow : In this phase the Cubesat is located in the shadow and therefore there are no power input and the power consumption is minimal.

In the first phase all the power produced by the solar cells is consumed by the electronics and the battery is being discharged. In the second phase the battery is being recharged with almost all the power produced by the solar cells. In the third and fourth phase the power input is close to zero and all power consumption in the Cubesat is taken from the battery. Table (3.1) shows the power budget of the Cubesat.

Table ‎3.1: Cubesat Power Budget

21

Design and Implementation Chapter Three

From Table (3.1) it clear that the maximum power consumed by the Cubesat subsystems is 11950 mW (phase three). Therefore a battery with a capacity of 11950mW or more will be sufficient to supply the Cubesat with the maximum needed power at eclipse.

3.3 Design of the EPS In order to be able to create a system which fulfill the demands mentioned above, the design process is divided into four parts

. Solar arrays . Power supply . Battery pack . Protection

3.3.1 Solar Cells This section provides an overview of the solar cells and the specific design requirements that applies to this part.

Solar cells may be connected in serial or parallel configuration depending on the design requirement.

3.3.1.1 Series Connection When connected in series, the current running through the cells will be the same for all the cells in the connection, whereas the voltage will vary from cell to cell, with the total voltage over the connection being the sum of the individual voltages of each cell. Figure (3.2) shows the series connection of the solar panels.

Figure ‎3.2: Series connection of solar cells

22

Design and Implementation Chapter Three

As can be seen on the Figure (3.3), if a large current is drawn (I1), the strongly illuminated panel (P1) will have a reasonably high voltage and the overall power from that panel will be high. The less illuminated panel (P2) on the other hand will experience a voltage drop instead of gain, which will result in loss of power. If a small current is drawn, the less illuminated panel will give a reasonable high voltage level, but because of the small current the overall power from this cell will not be very high, even though it may be close to optimal for this panel. The strongly illuminated panel will still have a high voltage, but again the low current will cause the power output of that cell to be far from optimal.

Figure ‎3.3: I/V characteristics of cells with varying degree of illumination connected in series

It is obvious that the maximum power of the series connection is less than the maximum power of the single most illuminated panel. This will however only be true, when big differences occur in the intensity of the illumination on the different panels, e.g. one panel in sun and the other in shadow.

Therefore, when the different panels in a series connection are illuminated differently, it is not possible to get the maximum power output from all panels. Moreover, if the difference in illumination is big enough, the total power output will be less than the output power obtained from the single best illuminated panel.

3.3.1.2 Parallel Connection When the solar cells are connected in parallel, then the voltage level will be the same for all panels in the connection, whereas the current flow will be independently determined for each panel. This gives, compared to the series connection, an overall greater current, and an overall smaller voltage. Figure (3.4) shows parallel connection of the solar panels.

23

Design and Implementation Chapter Three

Figure ‎3.4: Parallel connection of solar cells

As can be seen on the Figure (3.5), the change of the voltage level over the panels will affect both panels in a similar manner. At low voltage (V1) both panels will work in a low power mode, with little power on the connection output. Operating at a higher voltage (V2) will cause both panels to operate in a higher power mode, resulting in a higher output on the power bus.

Figure ‎3.5: I/V characteristics of cells with varying degree of illumination connected in parallel

For parallel connection the point of maximum power of the panels will be close to each other, and therefore the maximum power output on the power bus will be very close to the added maximum power of the individual cells.

Also using the parallel connection will mean that the power produced by the illuminated cells will be dissipated through the unilluminated cells. 24

Design and Implementation Chapter Three

It is recommended to make the output voltage as high as possible to decrease the burden on the converter. This can be achieved by increasing the number of solar cells connected serially. But, this configuration may lead to inefficient use of the solar panel when the cells are not equally illuminated. On the other hand using parallel configuration decreases the input voltage and thus the burden on the converter increases.

Therefore, a trade-off between series and parallel connections is needed to overcome their problems. This is done by using a hybrid configuration.

On the Cubesat there will be some panels in the sun for the most of the time, though with varying angle, and some in the shadow of the satellite. Therefore the panels on the different sides of the satellite will be connected in parallel.

On the other hand, the cells on the same side will have the same degree of illumination, and therefore do not present the same problem in a series connection.. Therefore the panels on the same side are connected in series.

To avoid the problem with shadowed or damaged panels, each set of series connected panels, are to be connected with a series diode as shown in Figure (3.6).

Figure ‎3.6: Hybrid connection of solar cells

Because of lack of data on the solar cells, the maximum power points for different conditions are not known, but a qualified guess is that the maximum power point will be at somewhere between 1.8 V and 2.2 V for a single panel.

3.3.2 Battery Pack This section provides an overview of the battery pack and the specific design requirements that applies to this part.

In a Cubesat of one kilogram and one litre, the batteries have high chances to be the biggest and heaviest component. 25

Design and Implementation Chapter Three

The chosen battery for the satellite is a lithium-ion battery with a nominal voltage level of 3.7 V(range from 3 to 4.2V). A quantum of four batteries will be used to fulfill the capacity-need of the Cubesat.

There are basically two different ways of couple the four batteries together: either in parallel or in series.

3.3.2.1 Series connection When connected in series, the overall capacity will be limited to the capacity of the individual battery, whereas the total voltage will be the sum of the individual battery voltages. Figure (3.7) shows the series connection of the battery pack.

Figure ‎3.7: Series connection of batteries

In this connection, the overall voltage increases as the number of batteries increase, which makes it easier to regulate the bus voltage.

3.3.2.2 Parallel Connection When connected in parallel, the overall voltage will be limited to the voltage of the individual battery, whereas the total capacity will be the sum of the individual battery capacities. Figure (3.8) shows the parallel connection of the battery pack.

When coupled in series the voltage difference over the batteries is between 12 and 16.8 V. When coupled in parallel the voltage difference over the batteries is between 3 and 4.2V. In all cases the power measured in Watt is the same.

26

Design and Implementation Chapter Three

Figure ‎3.8: Parallel connection of batteries

In the parallel solution the battery voltage is very close to the bus voltage which gives an advantage of small power loss in the converter between them. However, a problem arises when coupling the batteries in series because if one of the batteries is fully charged, then the charge must be stopped, leaving the other batteries not fully charged if they are not completely balanced.

Therefore, a trade-off between series and parallel connections is needed to overcome their problems. This is done by using the hybrid configuration as shown in Figure (3.9). In this hybrid configuration the voltage is between 6 and 8.4 V which is close to the bus voltage which is selected to be 5V. Also it avoids the charging problem encountered when connecting all the batteries in series.

Figure ‎3.9: Hybrid connection of batteries

27

Design and Implementation Chapter Three

3.3.3 Design of Power Monitoring Unit The Power Monitoring Unit (PMU) can function based on the linear mode or the switched mode.

i. Linear Mode This mode uses a passive elements (resistors) as a voltage divider to step down the voltage by dissipating the excess power into the resistors as heat as shown in Figure (3.10).

Figure ‎3.10: Linear DC-DC converter

This mode will not be used due to its great loss of power.

ii. Switched Mode A converter is an electronic circuit capable of changing a voltage level into another, higher or lower to provide stable voltage to the bus supplying the various subsystems.

Under the switched mode the number of converters used in the EPS may vary depending on the needed efficiency, complexity, mass, ….etc.

Usually, one, two or three converters can be used in the EPS as shown in Figure (3.11).

Figure ‎3.11: Solution Tree 28

Design and Implementation Chapter Three

Therefore there will be three solutions for the number of converters:

3.3.2.1 Solutions Using One Converter In this solution the location of the converter may vary as shown in the Figure (3.12).

Figure ‎3.12: Possible solutions with one converter

Solution A: The disadvantage of this solution is that it is not possible to make maximum power point tracking and constant voltage on the bus at the same time with just one converter.

Solution B: The disadvantage of this system is that the voltage level on the bus must be the same as the battery voltage level. Furthermore the voltage on the DC-Bus will be continuously varying.

Due to the fact that the energy only has to travel through one converter, the power loss is restricted to only this converter. Another advantage of the systems with only one converter is the limited weight that it adds to the Cubesat.

3.3.2.2 Solutions Using Two Converters In the system solutions that uses two converters, the solar array, battery pack and the converters can be lined up in different ways as shown in Figure (3.13).

Solution C: If one converter fails, the other one will continue supplying. A disadvantage is that if the power has to be stored before use then it has to travel through three converters. Through two converters, when it is in charge mode and through one converter when the battery pack is supplying the DC-bus, which increases the power loss. Also, this approach requires that a two way converter to be used between the battery pack and the DC-bus.

29

Design and Implementation Chapter Three

Figure ‎3.13: Possible solutions with two converters

Solution D: In this approach there is a short way from the solar array to the battery pack. This ensures less power loss in the charging phase. Also, the presence of the two convertors provide the MPPT and a stable voltage on the DC-bus. The disadvantage is that there is a long way from solar array to DC-bus.

Solution E: The advantage of this system is that there is a short way from the solar cells to the DC-bus. The disadvantage in this system is that there are no possibility for maximum power point tracking.

The systems with two converters can use the energy from the solar cells better then the systems with only one converter. This is mainly due to the fact that one converter can control the MPPT, while the other controls the output voltage to the DC-bus.

3.3.2.3 Solutions Using Three Converters In the system solutions that uses three converters, the solar array, battery pack and the converters can be lined up as shown in Figure (3.14).

Solution F: The main advantage of this approach is that it is possible to supply power even if one of the converters fails. Another advantage is that no matter where the power is sourced from, there will only be a power loss through one converter under normal condition. A

30

Design and Implementation Chapter Three disadvantage is that the overall system requires three converters, which all must be able to function in both directions.

Figure ‎3.14: Solution with three converters

3.3.2.4 Choice Of Solution To find out which of the above solutions is the best option, a comparison was made depending on the following characteristics:

. Reliability: Which determine the degree of the system performance if some of the circuits fails. . Mass: Which determines the space and weight of the PMU. . Efficiency: The ratio of the output power to the input power. . Complexity: The number and type - single or two directions - of converters used. . MPPT: Which determines the PMU’s ability to achieve the PPT.

In this method of choosing the design solution, the system solutions were listed horizontally and the criteria were listed vertically. Then the weight of the criteria were defined in the scale from 1 to 3 (were 1 is lowest and 3 highest). The criteria are representative of the group opinion

31

Design and Implementation Chapter Three of what is important in a space based power system. After each criteria had been given weight the relative scores were filled in for each solution. The scores were from 0 to 2. In the end the total score of each solution was calculated.

Table ‎3.2: The vote of the different converter solutions

Weight (A) (B) (C) (D) (E) (F)

Reliability 2 0 0 2 1 1 2

Mass 3 2 2 1 1 1 0

Complexity 1 2 2 1 2 2 0

MPPT 3 0 0 2 2 0 2

Efficiency 2 2 2 0 1 1 1

Total 12 12 14 15 9 12

Therefore, the best choice will be solution D as shown in Figure (3.15).

Figure ‎3.15: Chosen solution

Therefore, the converters of the chosen solution will be used to perform the following:

. Converter (1) is used to extract the maximum available power from the solar arrays which is known as the MPPTC. . Converter (2) is used to convert the voltage of the MPPTC to the required bus voltage which is known as PCC.

32

Design and Implementation Chapter Three

Since the chosen design among the alternatives is containing two converters: the MPPTC and the PCC, the EPS design may be subdivided into two parts:

i. Maximum Power Point Tracking Converter The input of the MPPTC consists of solar arrays. Each array is composed of number of solar cells connected in serial-parallel configuration. The output from the MPPTC is connected to the intermediate power bus.

The task of the converter is to draw the maximum current from the solar array and step up the voltage. There are several types of converters that are capable of accomplishing this task, but the Boost converter and the Buck-Boost converter are usually used.

a. Boost Converter Figure (3.16(a)) shows a diagram of the Boost converter.

Figure ‎3.16: Boost Converter

As shown in Figure (3.16(b)) the inductor is connected to the ground and the current rises in the inductor while the capacitor is discharging through the resistor. When the switch is in position 2, the inductor acts as a current source, as shown in Figure (3.16(c)). The Boost converter draws a continuous current from the solar array, which is efficient because the current from the solar array is drawn in both switch situations.

33

Design and Implementation Chapter Three

b. Buck-Boost Converter Figure (3.17(a)) shows a diagram of the Buck-Boost converter.

Figure ‎3.17: Buck-Boost Converter

Figure (3.17(b)) shows the switch when it is in position 1 where the inductor current is drawn from the solar array. But when the switch is in position 2 the solar array is switched out and the inductor now serves as a current source as in Figure (3.17(c)). This means that the current from the solar array is not used when the switch is in position 2 and the maximum power from the solar cells cannot be drawn in this case. The current is reversed in the Buck-Boost converter, and therefore the voltage is reversed. The Buck-Boost converter is able to step the voltage up and down.

Upon examining the Boost converter and the Buck-Boost converter it’s clear that the Boost converter is more suited to the MPPT because a continuous current is drawn from the solar array in both switch situations. Besides the need to step down the voltage will never arise.

To satisfy the MPPT requirements and uses the Boost converter, a trade-off between the switching frequency, inductance value and capacitance value is needed to produce an efficient converter.

Figure (3.18) shows the flow chart of the perturb and observe algorithm that is used for the peak power tracking.

34

Design and Implementation Chapter Three

Figure ‎3.18: Flow chart of P&O algorithm

35

Design and Implementation Chapter Three

ii. Power Conditioning Converter This converter transfers the power from the intermediate power-bus to the main power- bus. On the intermediate power-bus the voltage-level varies slowly due to changes in the battery charge condition. The output side of the converter is the main power-bus that is distributed to the subsystems on the Cubesat. Since the bus voltage is selected to be 5V and the intermediate bus voltage ranges between (6 - 8.4)V. The obvious choice of the PCC is the buck converter.

 Buck Converter The circuit diagram of the Buck-converter is depicted in Figure (3.19). It basically consists of a switch and an output filter.

When the switch is in position 1, a current flows from the input side to the inductor as shown in Figure (3.19(a)). Since the input voltage is higher than the output voltage the inductor current rises and the capacitor charges while the output-voltage grows.

Figure ‎3.19: Buck Converter

When the switch is shifted to position 2 the current continues to flow through the inductor at a declining rate and the capacitor voltage decreases because it is being discharged by the power drawn from the load as shown in Figure (3.19 (b)). In effect the load will receive power with a

36

Design and Implementation Chapter Three

DC-voltage proportional to the duty cycle of the switch and an imposed AC-voltage that is dependent on the power required by the load and the size of inductor and capacitor.

3.3.4 Protection Circuit This section provides an overview of the protection circuit and the specific design requirement that applies to this circuit.

The circuit used for the protection purpose is the current limiting circuit. The input of the circuit is coming from the PCC and the output is delivered to the subsystem.

3.3.4.1 Current Limiting Circuit Unlike a fuse that breaks a circuit connection, a current limiter only limits the current at a predetermined level. The current limiting circuit can be as simple as a single resistor (a passive current limiter). With the voltage drop across the resistor being dependant on the consumed current by the load. The higher the current drawn by the load, the higher the voltage drop on that resistor. In many cases, this is not preferable.

On the other hand, active current limiting circuits try not to drop the voltage if the current drawn by the load is below the allowable range. With this mechanism, the limiter circuit try not to dissipate the power, so almost all power is delivered to the load in the normal condition. If the load tries to draw a current that is more than allowed then the current limiting circuit will act as a resistor, controlling it’s resistant value to limit the current to a predetermined level.

As shown in Figure (3.20), the output voltage at Q1 emitter acts as a voltage follower, meaning that the voltage will follow its base voltage. Because the Rsense value is chosen to be a low resistance, the voltage will appear at the load as a full voltage delivered from voltage source.

Actually there is a little voltage drop caused by Q1 base-emitter voltage (Vbe) and the resistor

Rsense, but this voltage drop can be neglected. If the load draws more current then, at some level, the voltage drop across Rsense will reaches the level where the transistor Q2 begins to conduct, and the current will flow from its collector to its emitter, decreasing the base voltage of Q2.

Because now the Q1 Vbe has decreased, the voltage output of the Q2 emitter will also decrease as it works as a voltage follower circuit. When this output voltage decreases then the load current will also decrease. Upon reaching the point of the maximum allowable current, the load current will remain constant.

37

Design and Implementation Chapter Three

Figure ‎3.20: Current Limiter

Its apparent from Figure (3.20) that the maximum current drawn by the load is given by:

Where is the base – emitter voltage of the transistor Q2.

First the value of maximum load current (Iload) is determined. Then the value of the limiting resistance Rlimit is calculated from the above equation. For the time, the value of the maximum load current will be set to 200 mA which gives a value of .

3.3 Simulation The first step after the design completion was to simulate the circuits of the EPS parts using Proteus Simulation Program.

3.3.1 Solar Cell

Figure ‎3.21: Solar cell equivalent circuit 38

Design and Implementation Chapter Three

3.3.2 MPPT

Figure ‎3.22: Connection of solar cells and MPPTC

3.3.3 Power Conditioning converter

Figure ‎3.23: Buck converter 39

Design and Implementation Chapter Three

3.3.4 Protection Circuit

Figure ‎3.24: Current limiting circuit

40

Chapter Four

4. Results and Discussion

4.1 Introduction The project is implemented in two ways: using simulation programs and hardware. The results obtained from both types are shown and discussed in this chapter. The obtained results from the hardware implementation and the simulation are compared with each other to observe if the requirements that the system was dedicated to fulfill are satisfied or not and to what extent.

4.2 Simulation results The following Figures show the results obtain from the EPS parts using Proteus Simulation Program.

4.2.1 Maximum Power Point Tracking Converter The MPPTC has been tested using the simulation program only. Figure (4.1) shows the input and the output voltage of the MPPTC when the duty cycle is 50%.

Figure ‎4.1: The Input (purple) and the Output (yellow) voltage of the MPPT at D=50%

41

Results and Discussion Chapter Four

Figure (4.2) shows the input and the output voltage of the MPPTC when the duty cycle is 75%.

Figure ‎4.2:The Input (purple) and the Output (yellow) voltage of the MPPT at D=75%

 It’s evident from Figure (4.1) that the output voltage is equals to 6.6V when the input voltage is 1.7V for a duty cycle equals to 50%. But, using the same input voltage and duty cycle in Equation (2.4) gives a theoretical output voltage of 3.4. It can be seen that the result obtained from the simulation program doesn’t comply with the theoretical result. This difference in the output voltage can be referred to the problem encountered upon simulating the solar cell using its equivalent circuit.  The actual output of the solar cell is a dc voltage. But it’s evident from Figure (4.1) that the output voltage is a train of pulses. This problem arises also from the unexpected behaviour due to the simulation of the solar cell.  The output of the MPPTC has been observed for different values of the duty cycle and it was evident that the above shortcomings applies to all values of duty cycle.

42

Results and Discussion Chapter Four

4.2.2 Power Conditioning Converter The Power Conditioning Converter (PCC) can be realized using the buck or the boost converter. However, the simulation program has shown an unexpected behaviour for the output of the buck converter represented by a constant voltage regardless the value of the duty cycle, therefore the boost converter has been chosen.

The boost converter has been tested using the simulation program and the hardware implementation. Figure (4.3) shows the output voltage of the boost converter. The input voltage is 5V and the Duty cycle (D) is 50%.

Figure ‎4.3: The Output voltage of the PCC at D=50%

 Equation (2.4) gives the output voltage of the boost converter. Substituting the input voltage by 5V and the duty cycle by 50% gives an output voltage of 10V. Also, it can been seen from Figure (4.3) that the mean output voltage using the simulation program is 9.15V. It is clear that the theoretical results and the simulation results are nearly the same.

4.2.3 Current limiting circuit The Current limiter circuit has been tested using the simulation program and by hardware implementation. Figure 4.4(a) shows the current limiting circuit in normal operation where Figure 4.4(b) shows the circuit when the load (R3) is drawing a high current due to a malfunction (short circuit).

43

Results and Discussion Chapter Four

(Figure 4.4: Output Current when(a) switch is opened,(b) switch is closedُ(short circuit

 Before using the current limiter, the value of the resistor (R2) was set to limit the current running through the load R3 to 200mA.  In Figure (4.4) the load failure, i.e., the short circuit was simulated using a switch. In Figure (4.4 (a)) the switch is opened, which indicates that the load is in the normal operation. The current running through the load is given by 12.4mA.  In Figure (4.4(b)) the is switch is closed to indicate that a fault has occurred. It is evident that the current running through R3 has been limited to 200mA.  The hardware implementation of the Current limiting circuit has given the exact response of the simulated one.

44

Results and Discussion Chapter Four

4.3 Hardware results The following Figures show the results obtained from the EPS parts upon implementing them into hardware:

4.3.1 Boost converter The output of the boost converter has been observed for different values of Resistors and inductors as follows:

I. Using an inductor with L=1 mH a) Setting R=100 Ω

Figure ‎4.5: Output voltage of Boost Converter at R=100 Ω

b) Setting R=1 KΩ

Figure ‎4.6: Output voltage of Boost Converter at R=1 KΩ

45

Results and Discussion Chapter Four

c) Setting R=13 KΩ

Figure ‎4.7: Output voltage of Boost Converter at R=13 KΩ

Table ‎4.1: Output Voltage of the Boost Converter at L=1 mH

R(KΩ) Mean Output Voltage(V) Ripple( ) 0.1 3.5 1 1 8 0.5 13 12

 It’s evident from Table (4.1) that as the load increases, the ripple in the output voltage decreases. This is a reasonable result since the discharging time of the capacitor increases as the load increases.

46

Results and Discussion Chapter Four

II. Using an inductor with L=10 mH a) Setting R=100 Ω

Figure ‎4.8: Output voltage of Boost Converter at R=100 Ω

b) Setting R=1 KΩ

Figure ‎4.9: Output voltage of Boost Converter at R=1 KΩ

47

Results and Discussion Chapter Four

c) Setting R=13 KΩ

Figure ‎4.10: Output voltage of Boost Converter at R=13 KΩ

Table ‎4.2: Output Voltage of the Boost Converter at L= 10 mH

R(KΩ) Mean Output Voltage(V) Ripple( ) 0.1 3 1.3 1 7 0.5 13 9

 It’s evident from Table (4.2) that as the load increases, the ripple in the output voltage decreases. This is a reasonable result since the discharging time of the capacitor increases as the load increases.  Despite changing the inductor value from 1mH to 10mH it’s evident that the output voltage of the boost converter changes in the same manner.

48

Results and Discussion Chapter Four

4.3.2 Buck Converter The output of the buck converter has been observed for different values of Resistors and inductors as follows:

I. When using an inductor with L=1mH

a) Setting R=100 Ω

Figure ‎4.11: Output voltage of Buck Converter at R=100 Ω

b) Setting R=1 KΩ

Figure ‎4.12: Output voltage of Buck Converter at R=1 KΩ

49

Results and Discussion Chapter Four

c) Setting R=13 KΩ

Figure ‎4.13: Output voltage of Buck Converter at R=13 KΩ

Table ‎4.3: Output Voltage of the Buck Converter at L= 1 mH

R(KΩ) Mean Output Voltage(V) 0.1 1.2 1 3 13 4.5

50

Results and Discussion Chapter Four

II. When using an inductor with L=10 mH

a) Setting R=100 Ω

Figure ‎4.14: Output voltage of Buck Converter at R=100 Ω

b) Setting R=1 KΩ

Figure ‎4.15: Output voltage of Buck Converter at R=1 KΩ

51

Results and Discussion Chapter Four

c) Setting R=13 KΩ

Figure ‎4.16: Output voltage of Buck Converter at R=13 KΩ

Table ‎4.4: Output Voltage of the Buck Converter at L= 10 mH

R(KΩ) Mean Output Voltage(V) 0.1 1 1 2 13 3.8

52

Chapter Five

Conclusion and Recommendations

5.1 Conclusion According to the results obtained in chapter four, it could be stated that most of the project design objectives and requirements have been achieved. However, some objectives could not be fulfilled due to the lack of certain hardware components.

 The total circuit of the EPS has been implemented in hardware. But, the solar cells could not be obtained to be used as a power source.  To overcome the shortage of the solar cells it’s equivalent circuit has been used. However, all the simulation programs used to test the EPS have shown an unexpected behaviour of the solar cell equivalent circuit represented in an output in the form of a train pulses rather than the DC output that must be supplied by the actual solar cell.  The original design of the EPS was supposed to include 3 buses with rated voltages 5V, 3.3V and 12V. However, only the 5V bus was implemented in hardware due to the problems associated with the weight of the converters needed to provides the other two buses since the total weight of the Cubesat must remains with 1.33 Kg due to the Cubesat’s standards.  The EPS has been designed to operate using the MPPT instead of the DET. This has been done to overcome the inefficiency associated with the EPS of the KN-SAT1 that uses the DET. This is due to the fact that the MPPT is superior to the DET in terms of the efficiency of the output power. This superiority appears in the situations when the MPP of the solar cell is changing significantly whilst the spacecraft is in sunlight, as in the LEO spacecrafts. And since all the Cubesats operates in the LEO, the MPPT becomes the best choice for the power transfer of the EPS.  Most of the EPS used in the Cubesats tend to shut down the subsystem when a fault occurs to protect it from the damages that the overcurrent may cause. However, in the design carried out in this thesis a current limiter was used as a protection circuit to limit the current when a fault occurs. This approach improves the reliability of the EPS significantly since it saves time needed to restart the operation of the faulty subsystem upon shutting it down.

53

Conclusion and Recommendations Chapter Five

5.2 Recommendations The EPS of the Cubesat can be upgraded by perform the following:

 Implementing the solar cells to be used as the power source of the EPS to realize the complete design that has been set by the objectives of the project.  An interesting feature can be added to the design of the EPS using a Micro-Controller Unit (MCU) to obtain an EPS that is capable of instructing the On Board Computer (OBC) to operate the Cubesat in a predetermined power mode. Those power modes are classified according to the available input power from the solar cells and the batteries.

54

References

[1] A. Luque and S. Hegedus, Eds., Handbook of Photovoltaic Science and Engineering. Wiley, 2011. [2] M. H. Rashid, Ed., Power Electronics Handbook, 3rd ed. Butterworth- Heinemann, 2011. [3] H. A. Kiehne, Ed., Battery Technology Handbook, 2nd ed. Expert Verlag, Germany, 2003. [4] Charging Lithium-ion. Battery University. [Online]. Available: http://www.batteryuniversity.com/learn/article/charging_lithium_ion_batteries , accessed in Augest 2012. [5] Electrical Power Subsystem of Globalstar, W. Denzinger, Daimler-Benz Aerospace – Dornier GmbH, Fourth European Space Power Conference, Poitiers, France 4-8 September 1995. [6] User Manual: Cubesat 1U Electronic Power System and Batteries, Clyde Space LTD., Glasgow, Scotland, 2010. [7] M. Long et al., “A Cubesat derived design for a unique academic research mission in earthquake signature detection,” in Proceedings AIAA Small Satellite Conference, Logan, 2002. [8] I. Bland. (2011, May 30). Cal Poly EPS and Side Panels [Online]. Available: http://polysat.calpoly.edu/CP2_docs.php, accessed on April 2012. [9] G. J. Wells et al., “Canada’s Smallest Satellite: The Canadian Advanced Nanospace eXperiment (CanX-1).” Space Flight Laboratory University of Toronto Institute for Aerospace Studies., Toronto, Canada [Online]. Available: http://www.utias-sfl.net/docs/canx1-ssc-2002.pdf, accessed on April 2012. [10] K. Sarda et al., “Canadian advanced nanospace experiment 2: Scientific and technological innovation on a three-kilogram satellite,” Acta Astronautica, vol. 59, no. 1-5, p. 236–245, 2006. [11] A. Scholz and J. Giesselmann. (2005, November 5). Compass-1 The first Pico Satellite Project at the Aachen University of Applied Sciences [Online]. Available: http://www.raumfahrt.fh-aachen.de/compass-1/dwnoload/18_RFK_COMPASS-1_final.pdf59, accessed on April 2012. [12] “Cute-1.7 + APD - Sub Systems > EPS -.” [Online]. Available: http://lss.mes.titech.ac.jp/ssp/cute1.7/cute1.7-1/subsystem_eps_e.html, accessed on April 2012.

55

[13] “Delfi-C3 - EPS (Electrical Power Subsystem).” [Online]. Available: http://www.delfic3.nl/index.php?option=com_content&task=view&id=28&Itemid=42, accessed on April 2012. [14] Y. K. Chang et al., “Design and development of HAUSAT-1 picosatellite system (Cubesat),” in Recent Advances in Space Technologies, 2003. [15] P. Thirion, “Design and Implementation of On-board Electrical Power Supply of Student Nanosatellite OUFTI-1 of University of Liege,” MS thesis, , University of Liege, Wallonia, Belgium, 2009. [16] M. Blanke, “DTUsat-1: Power Supply,” DTUsat Project. [Online]. Available: http://dtusat1.dtusat.dtu.dk/group.php?c_gid=9&PHPSESSID=d5b7a0b93364dbe2a30cf3a21105 913b, accessed on April 2012. [17] M. Balan et al., “GOLIAT Space Mission: Earth Observation and Near Earth Environment Monitoring Using NanoSatellites,” in 60th IAC B4. 13th Small Satellite Missions Symposium, Daejon, Korea, 2009. [18] M. Obland, D. M. Klumpar, S. Kirn, G. Hunyadi, S. Jepsen, and B. Larsen, “Power Subsystem Design for the Montana EaRth Orbiting Pico-Explorer (MEROPE) Cubesat-class Satellite,” in Aerospace Conference Proceedings, 2002, IEEE, vol. 1, p. 1–465. [19] L. Alminde et al., Power Supply for the AAU Cubesat. Aalborg Denmark: Aalborg University, 2001. [20] “Power System.” [Online]. Available: http://www.space.t.u- tokyo.ac.jp/Cubesat/mission/dev/pow/index-e.html, accessed on April 2012. [21] “Power: Hermes Cubesat: Colorado Space Grant Consortium/University of Colorado Boulder.” [Online]. Available: http://spacegrant.colorado.edu/COSGC_Projects/co3sat/Power.htm, accessed on April 2012. [22] B. Pendalo, S. Carrato, and M. Fragiacomo, “Progettazione Del sistema di alimentazione per il satellite atmocube.” Universita degli studi di trieste, 2008. [23] K. Ainoura, (2011, February 11), SEEDS Power System [Online]. Available e-mail: [email protected], accessed on April 2012. [24] C. Day, “The Design of an Efficient, Elegant, and Cubic Pico-Satellite Electronics System,” MS thesis, Electrical Engineering, California Polytechnic State University, 2004. [25] K. Nakaya et al. (2002, Dec 1). Tokyo Tech Cubesat: CUTE-I - Design & Development of Flight Model and Future Plan [Online]. Available: http://lss.mes.titech.ac.jp/ssp/Cubesat/paper/AIAA_TokyoTechCubesat.pdf, accessed on April 2012.

56

[26] AAU Cubesat – University of Aalborg (Denmark)[Online]. Available: www.Cubesat.auc.dk, accessed on November 2011. [27] University of Arizona Cubesat Program – SACRED [Online]. Available: en.wikipedia.org/wiki/SACRED, accessed on August 2012. [28] CAPE Cubesat Project [Online]. Available: http://ulcape.org/projects/Cubesats/ , accessed on August 2012. [29] LSS–Tokyo Institute of Technology [Online]. Available: http://lss.mes.titech.ac.jp/ssp/cute1.7/subsystem_eps_e.html, accessed on August 2012.

57

Appendix A

Switched-Mode Converters A.1 Buck converter

A.1.1 Principle The power stage of the buck converter is composed of a switch, an inductor, and a capacitor as shown in Figure A.2. The switch is in position 1 during a time interval DTs (phase 1), and in position 2 during an interval D0Ts (phase 2), with D’ = 1 − D. D is called the duty cycle. Ts is the switching period, and fs = 1/Ts is the switching frequency. The voltage Vs is equal to the input voltage Vin when the switch is in position 1 and equal to zero when the switch is in position 2.

Figure A.1: Buck Converter

The DC component of Vs is equal to DVin. The inductor and the capacitor form a low pass filter. As a consequence, the DC voltage Vout on the load R is equal to DVin. The Buck converter is able to convert a voltage to a lower voltage, with a better efficiency than linear converters, especially if the difference between Vin and Vout is important. The ratio between the output voltage and the input voltage is

Since D ≤ 1, this formula confirms the fact that a buck converter can only lower or maintain equal the input voltage. This is actually reflected by the name of the converter which is related to the verb “to buck”. This formula is true assuming that all components are ideal. In practice, the switch is composed of semiconductor devices, i.e. a transistor and a diode, which implies switching losses. Furthermore, the inductor and the capacitor have a series resistance. To take

A-1

SWITCHED-MODE CONVERTERS Appendix A

losses into account, a coefficient is introduced in the formula. This coefficient is the efficiency of the converter,

A.1.2 Inductor design

The three main criteria to choose the inductor are:  The current ripple in the inductor is inversely proportional to the inductance. A good practical rule is to keep the ripple below 20% of the maximum inductor DC current.

 The saturation current of the inductor must be higher than the inductor peak current Imax.  The equivalent series resistance of the inductor must be low, to avoid power losses.

The voltage across the inductor, vL(t), is equal to Vs(t) − Vout(t). The inductance and the capacitor form a second-order low-pass filter. This filter attenuates the high frequencies components of Vs. If the filter is well designed, the variation of Vout can be neglected. We have vL(t) = Vin − Vout when the switch is in position 1, and vL(t) = −Vout when it is in position 2.

The relation between vL and iL is vL(t) = LdiL(t)/dt . Thus, the slope of the inductor current

The inductor current is illustrated in Figure A.3 (third curve).

The maximum current in the inductor, Imax is equal to Iav, the average current in L plus half the current ripple ∆iL (peak to peak). The average current Iav is equal to the current Iout flowing in the load. We can thus write

A-2

SWITCHED-MODE CONVERTERS Appendix A

Figure A.2: Evolution of voltages and currents in a buck converter

Where the ripple ∆iL is given by the slope of iL times the phase 1 interval, i.e. DTs. As a result, we get

We write the constraint as

Where k represents the ratio between the ripple and the average output current.

Using the above equation, we get

This provides the minimum value for the inductance.

A-3

SWITCHED-MODE CONVERTERS Appendix A

2 The power losses in the inductor are equal to I avRL, where RL is the series resistance of the inductor. They represent a loss of 1% efficiency if

We now have all the elements necessary to design the inductor. The procedure is as follows:

 The inductance is given by Eq. A.9, with maximum Vin and maximum Iout.

 The peak current in the inductor is given by Eq. A.7, with maximum Vin and maximum

Iout.  An acceptable inductor series resistance is given by Eq. A.10.

A.1.3 Capacitor design

From the integration of iC = C dvC/dt as shown in Figure A.3, the voltage ripple on the capacitor

∆vC is found to be

Using the value of ∆iL given by Eq. A.6, we have

This formula gives the value of the capacitor C corresponding to a chosen voltage ripple ∆vC.

A.2 Boost Converter A.2.1 Principle The power stage of a boost converter includes the same components than a Buck converter, but the position of the switch and the inductor are inverted as shown in Figure A.4. The switch is in position 1 during a time interval DTs (phase 1) and in position 2 during an interval D’Ts (phase 2), with D’ = 1 − D.

In phase 1, the voltage vL across the inductor is equal to the input voltage Vin. The inductor is being charged of magnetic energy. The current in the inductor is increasing and the slope of the waveform is given by vL(t) = LdiL(t)/dt . The variation of iL over the phase 1 is

A-4

SWITCHED-MODE CONVERTERS Appendix A

Figure A.4: Boost Converter

In the phase 2, the magnetic energy of the inductor is released. The voltage across the inductor is inverted. Vs = Vin + vL and Vout = Vs. As a result, C is charged at a voltage higher than Vin. The current in the inductor iL is decreasing and the variation over the phase 2 is

In steady-state as shown in Figure A.5, the net variation of iL equals zero.

Figure A.4: Evolution of voltages and currents in a Boot Converter

As a consequence, from Eq. A.14 and Eq. A.15, we have

A-5

SWITCHED-MODE CONVERTERS Appendix A

Since D’ ≤ 1, this formula confirms the fact that a boost converter can only raise or maintain equal the input voltage. This is actually reflected by the name of the converter which is related to the verb “to boost”. Introducing the losses, the expression becomes

A.2.2 Inductor design In steady state, the net change in the capacitor charge over one switching period must be equal to zero. During phase 1, the capacitor supplies the load. During phase 2, the current from the inductor recharges the capacitor and supplies the load. The charge balance equation is thus

where Iav is the average current in the inductor. This leads to

We write the constraint as

Where k represents the ratio between the ripple current and the output current. Using the above equation, we get

Which provides the minimum value for the inductance. To find the largest possible value of the RHS of Eq. A.26, we take the derivative of the 2 numerator N = V inVout − ƞV 3in, i.e.

This gives

A-6

SWITCHED-MODE CONVERTERS Appendix A

This value of Vin is used if it is in the range of possible Vin for the converter. Otherwise the closed Vin within the range of possible Vin is used. The peak current in the inductor is thus successively given by

2 The power losses in the inductor are equal to I avRL, where RL is the series resistance of the inductor. They represent a loss of 1% efficiency if

We now have all the elements necessary to design the inductor. The procedure is as follows:

 The inductance is given by Eq. A.26, where Vin is given by Eq. A.28 and at maximum

Iout.

 The peak current in the inductor is given by Eq. A.31, with maximum Vin and maximum

Iout.  An acceptable inductor series resistance is given by Eq. A.32.

A.2.3 Capacitor design

During phase 1, dvC/dt = iC/C = −Iout/C , and during phase 2, dvC/dt = iC/C = Iav−Iout/C .

If the slope of vC during one phase is known, the voltage ripple on the capacitor ∆vC can be obtained as follows:

Using the value of ∆iL given by Eq. A.14, we have

This formula gives the value of the capacitor C corresponding to a chosen voltage ripple

∆vC.

A-7