<<

PAPERS

Principles of OversamplingA/D Conversion*

MAX W. HAUSER

Ithaca, NY 14850, USA

Growing practical importance of oversampling analog-to-digital converters (OSADCs) reflects a synergism between microelectronic technology trends and theory, neither of which alone is sufficient to explain OSADCs fully. This paper reviews the overall problem of performing signal acquisition--antialiasing, sampling, and quantization--with real hardware, and the ways in which oversampling facilitates this task, sometimes counterintuitively. OSADCs can be seen to overlap and redispose these three traditionally separate operations so as to use manufacturable technology efficiently. Various analog topologies in OSADCs--multibit, 1-bit, feedback, and feedforward--can accomplish the critical step of . They differ in their detailed behavior and the'ir dependence on fabrication technology. Insight into the roles of oversampling, and its diverse explanations in the literature, arises from surveying the disparate "cultures" of analog-to-digital conversion that have contributed to its development. Finally, in various ways OSADCs can (and cannot) be compared both to more conventional (sample-by-sample) analog-to-digital converters, and to predictive coders, such as delta modulators.

0 INTRODUCTION design. These sections emphasize the crucial interplay between signal theory and implementation technology. Oversampling analog-to-digital converters (OSADCs) Sec. 6 returns to perspectives on OSADCs, reviewing address multiple technical problems simultaneously, their relationship to two other types of systems with and moreover, these devices arose historically from which OSADCs are (sometimes inappropriately) com- developments in at least three identifiable research pared--"conventional" A/D converters and delta mod- communities, each with a separate perception of why ulators--_and summarizing the history of their devel- oversampling is useful. Yet transcending the many im- opment. The references are organized by subtopic as plementations, topologies, explanations, and com- a bibliography on OSADCs and their technical foun- mercial terms of OSADCs are a few basic principles, dations. This tutorial-review paper seeks to gather these prin- ciples; to place OSADCs in relation to other practical I BACKGROUND analog-digital interfaces; and to illuminate the intuitive and "cultural" issues of concern to users and designers 1.1 Signal Acquisition of OSADCs for audio. Any complete interface between continuous-time The paper is organized as follows. Sec. 1 summarizes analog and their discrete-time digital represen- the signal-acquisition problem and, briefly, the different tation entails the three distinct tasks of AA filtering, pictures of A/D conversion that coincide in OSADCs. sampling, and quantization. Recently Carley [49] has The next four sections, 2-5, treat technical principles: popularized the term signal acquisition for this set of oversampling for antialias (AA) filtering, for signal tasks. In the traditional configuration of Fig. 1, the quantization, alternative analog topologies, and a few three mathematical operations were performed sepa- comments on the broad subject of OSADC decimator rately. Implementing signal acquisition with real tech- nologies is a problem that differs significantly from * Manuscript received 1990 August 21; revised 1990 Oc- both digitizing isolated analog samples ("data acqui- tober31. sition") and encodinganalog signal sourcesfor data-

J.AudioEngS. oc.,Vol.39,No.1/2,1991January/February 3 HAUSER PAPERS rate efficiency--problems that form the context for or it may omit the analog AA filter of Fig. 2, which is much of the existing literature related to analog-digital much simpler to implement than that of Fig. 1. This interfaces, paper developsthe themesthat introducingthe elevated To review Fig. 1 from right to left, quantization intermediate sampling rate in Fig. 2 not only can sim- converts from a continuous to a discrete set of values, plify the required analog AA filter and quantizer, but while sampling discretizes the time scale. Provided more fundamentally will tend to overlap the operations that the sampling rate Fs exceeds twice the bandwidth of antialiasing, sampling, and quantizing, so that they (in hertz) of the analog signal to be captured (that is, no longer occur in isolated steps, as in Fig. 1. exceeds the for that signal),] the original There is no fundamental (signal- or information-the- analog signal may in principle he reconstructed exactly oretic) reason why signal-acquisition systems need to from its samples. Finally, the AA filter ensures that oversample. The motivations for doing so derive not the signal to be sampled, including unwanted corn- from the three basic tasks in Fig. 1, but rather from ponents such as noise and out-of-band interference, is the technology that implements these tasks with finite properly band-limited prior to sampling, which would component repertoires, tolerances, and costs. Any otherwise fold (alias) high frequencies into the Fs/2 electrical performance achievable with oversampling baseband, is achievablewithout it, although not necessarily at Further general background about antialiasing, sam- the same cost or in the same implementation technology. pling, and quantization is available in Blesser' s exten- sive 1978 monograph on audio data conversion [1], 1.2 "Cultures" of A/D Conversion and in many signals-and-systems and digital-signal- One pervasive issue in the development and appli- processing texts such as Oppenheim and Schafer [11]. cation of OSADCs is more sociological than electrical. This paper addresses the common objective of linear OSADCs by their nature cut across several traditionally quantization with constant sampling rate. This is the separate specialties in electrical engineering. These most widely applicable signal-acquisition format and specialties, for historical reasons, have evolved very the basic starting point for digital different, usually unstated, often unquestioned as- (DSP), for most audio storage and transmission systems, sumptions about what precisely "analog to digital" and for more sophisticated source-dependent quanti- means and, more particularly, what issues are important zation schemes to reduce data rates [1]. in OSADCs. Consequently the disparity of premises Oversampling denotes representing an analog signal discernible in the overall literature of OSADCs, al- at a sampling rate deliberately above (often far above) though rarely mentioned per se, is one of its prominent its Nyquist rate. Often this is an intermediate step in features. handling a signal destined for Nyquist-sampled rep- Circuit-design, including IC-design, literature tra- resentation. A signal-acquisition system with over- ditionally presumes that "A/D conversion" transforms sampling takes the generic form of Fig. 2, in which an isolated analog sample into a digital number, in a sampler and quantizer operate at the elevated rate DFs. manner describable by a staircase-shaped input-output A commercial OSADC may realize this entire system, curve. 2 The focus is on implementations (counting, flash, successive approximation); specific topologies (D/A feedback, pipelined, recirculating remainder); and Originally in this context the adjective "Nyquist" un- fabrication-imposed constraints (array-element interval"ambiguously(or equivalentlyconnoted the Nyquistsamplingrate)spacing,for samplingas in"Nyquistsome matching, layout effects). This perspective embraces analog signal. The terminology was introduced by Shannon many A/D converter applications beyond signal ac- [5]. Some authors have begun applying the adjective instead quisition. Representative are Gordon's A/D conversion theto theNyquistcorrespondingrate by aanalogfactor ofbandwidth,two, bothwhichquantitiesdiffers, never-from overview paper [2] the Analog-Digital Conversion theless, bearing physical units of frequency. This has intro- Handbook from Analog Devices [3], and most 1C-design duced a sometimes crucial factor-of-two ambiguity into such idioms as "a frequency near Nyquist.' The ambiguity can be averted by further qualifying (or avoiding) references to 2 Communication theory would call this a memoryless de- Nyquist"frequency." terministicuniformquantizer.

Fs CLOCK i

LOWPASS [ Y QUANTIZE SEQUENCE

ANALOG ANTIALIAS SAMPLER "A/D" SOURCE FILTER

Fig. l. Traditional signal-acquisition system with no oversampling.

4 J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February PAPERS OVERSAMPLING/VD CONVERSION texts treating data conversion, such as Grebene's [4]. The critical frequency Fs/2 is usually designed not far Sec. 6 reexamines OSADCs explicitly from this context, above the maximum input frequency of interest FB to Communication-theory literature pictures "analog- avoid wasting digital data rate (examples are telephony, to-digital" interfacing both more generally and more nominal passband 3200 Hz, Fs/2 = 4000 Hz; compact- abstractly, in terms of its mathematical effect on in- disc audio, passband 20 kHz, Fs/2 = 22.05 kHz). The formation [6]-[12]. The classic communications mis- AA filter must pass frequencies below FB with flat sion of low-bit-rate transmission of signal sources frequency response, suppress frequencies above Fs/2 heavily informs this perspective; reference to A/D with high attenuation, and roll offrapidly between these conversion as "coding" or "encoding," or to the de- two behaviors over a narrow transition band. Such a cimator of Fig. 2 as a "decoder," bespeaks it. Here a filter is a difficult part of a non-oversampling signal- single-sample staircase curve describes only one narrow acquisition system even when the technology is available class of quantizers [7], among others equally useful in to build it. practical signal acquisition, such as quantizers whose error is inherently wide band, or is only statistically 2.1 Antialiasing Without Oversampling known (such that any single sample may experience Realizing a continuous-time low-pass filter (LPF) error much greater than the average). OSADCs com- with standard (lumped) elements entails two basic steps monly display both attributes. [13], [ 14]. First, the desired frequency response is ap- Other engineers view signal acquisition as chiefly a proximated with a Laplace-transform transfer function filtering issue because of the great practical difficulty having a finite number of poles and zeroes. The elliptic, of analog AA filtering. This approach stresses pole and Chebyshev, and Butterworth approximants are examples zero placement, frequency response, and filter imple- of different mathematical approaches to this step. Sec- mentations. It is likely to perceive the object of over- ond, the resulting numerical pole and zero values are sampling as filtering rather than quantization [24]- implemented using electrical networks containing ac- [26]. Among the sources with this perspective is a sub- curate parameters with the physical dimensions of time, literature, described in Sec. 6.3, that explicitly regards such as RC products. Successful contemporary examples OSADCs as digital filters that happen to have "analog of such networks are the generalized-impedance-con- input." verter (GIC)biquad sectionand themodified-leapfrog Real OSADCs draw upon all of the foregoing tech- (MLF) ladder [13], [14]. Trade-off between the number nical perspectives and entail careful trade-offs between of poles (hence cost of manufacture) and the ideality them, even though much of the essential research occurs within the confines of one or another of the contributing cultures. ENERGY I 20VERSAMPLING TO FACILITATE ANTIALIAS SIGNAL OF FIRST I FILTERING _ INTEREJ ST ALIA,.S.-'1"'5 Fig. 3 illustrates components in the frequency spec- N_ Y i trum of a sampled and quantized (i.e., digitized) analog _ QUANTIZATION ] i signal. The sampling step is mathematicallyequivalent _ ERROR J I

------FREQ. toscalewrappingof extenttheFanalogs, whichfrequencyrepeats outsidedomainofintothisa finite ___-'_ - }-- _ I -- __ - , range of frequencies and, when plotted as in Fig. 3, is 0 Fa F Fs symmetric about the midpoint F2/2. The analog AA 2 filter of Fig. 1 must suppress any frequency components Fig. 3. Illustrating components in frequency spectrum of above Fs/2 to prevent the emergence of aliased replicas sampled and quantized signal. In this example the quanti- below Fs/2 in the course of the sampling operation, zation-error spectrum is white.

CONT. RATE RATE RATE TIME DFs DFs Fs r ...... I r ...... I

ANALOG I I _ QUANTIZE DIGITAL DROP _--'-E__ LOWPASS r_! _ i_'_ LOWPASS RATE I ...... J I ...... l

"AA FILTER.... SAMPLER.... MODULATOR.... DECIMATOR"

Fig. 2. Signal-acquisition system using an oversampling factor D, showing progression of sampling rates (above) and typical nomenclature (below). Dashed lines around filter-and-resampler sections before and after quantizer emphasize their analogy. Decimator limits digital signal bandwidth to Fs/2, permitting sampling rate to fall to Fs.

J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February 5 HAUSER PAPERS of low-pass response (passband ripple, stopband re- 4). A continuous-time AA filter is still necessary, but jection, and phase linearity) occurs in the approximation only for AA protection against the high initial sampling step; second-order circuit behavior and technology rate DFs. The. large difference between the desired- sensitivity reflect primarily the implementation step. 3 signal bandwidth and the new AA cutoff frequency The implementation step is a major stumbling block DFs/2 means that the available transition bandwidth in manufacturable AA filtering for audio and many for the filter is now many times its passband width, other applications. Standard IC fabrication processes and this makes it much easier to realize the AA filter do not support accurate, stable continuous-time time with imprecise analog circuity. For example, if D = constants (such as RC products) [15]. The traditional 100, a single (noncritical) pole of passive RC filtering alternatives have been nonstandard monolithic, or hy- will give some 40 dB of attenuation between passband brid monolithic-discrete, fabrication processes [16]- and stopband edges. [18]. From a distant perspective these might appear In order to accommodate the same final sampling simple options, but to VLSI circuit and system designers rate Fs as before, the oversampled signal must be further they have the effect of excluding this one vital function filtered to suppress frequencies above FJ2, but this from implementation with the rest of signal acquisition further filtering can occur digitally, after the signal has and signal processing. The manufactured cost of signal been quantized, as in Fig. 2. In practice the digital acquisition also then fails to realize the economies of low-pass filtering and the rate reduction occur simul- existing and evolving mainstream IC fabrication, taneously (which simplifies the digital arithmetic); the One promising approach to this problem within the combination is popularly called a decimator [24]-[26]. mainstream silicon processes consists of the various The decimator therefore finally completes the sampling analog schemes to stabilize and linearize nonlinear de- operation of signal acquisition, to the target rate Fs. vice (transistor) resistances or transconductances, Philosophically, sampling brings the time-domain combined with existing high-quality oxide capacitors representation of a signal source down from continuous [19]-[22]. This class of techniques has an extensive to a coarseness of Fs (the lower limit being set by the history [23]. However, because of the difficulty of cor- Nyquist rate for the source). Instead of effecting this recting for actual device nonlinearities and variations, change in one jump, with the AA filter and sampler of large-signal harmonic distortion has generally been on Fig. 1, the OSADC of Fig. 2 does it in two steps, the order of 1%, relatively severe for high-quality audio, through a middle sampling rate DFs. Dashed boxes in These techniques have been less broadly successful for Fig. 2 emphasize that the functions of the analog AA the specific task of on-chip AA filtering than has over- filter and sampler, and of the decimator, are closely sampling, whose philosophy is not to satisfy the need analogous [26]. OSADCs trade off complexity in the for high-quality RC products but instead to eliminate analog dashed block for complexity in the digital block it. (anexchangeappropriateto thecapabilitiesandtrends of lC technologies). Of course the quantization step 2.2 Antialiasing With Oversampling must now occur at a faster rate than in Fig. 1; but this Oversampling circumvents the need for a sharp-cutoff change is augmented by the capability of the oversam- (and therefore precision-time-constant) continuous-time pling process to also simplify the quantizer, the subject AA filter by sampling initially at an elevated rate DFs of the next section. when the final sampling rate desired is still Fs (Fig. 30VERSAMPLING TO FACILITATE 3 Confusion between these two steps is not uncommon, QUANTIZATION owing perhaps to the pervasive label "filter." Thus "elliptic filter" describes a pole-placement strategy, not a circuit to- Completely separate in motivation from AA filtering, pology. Similarly a "biquad" accurately denotes a section of filter with biquadratic transfer function_quadratic numerator though overlapping in hardware, is the use of over- and denominator--rather than any specific circuit, sampling and decimation to increase a quantizer's ef-

I FIRST I ALIAS I

I -- _ FREQ.

0 Fs 2-Fs DF2s DFs

Fig. 4. Oversampling for AA filtering. A high intermediate sampling rate DFs in Fig. 2 permits magnitude response of analog filter (dashed line at left) to roll off gradually.

6 J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February PAPERS OVERSAMPLING A/D CONVERSION fective resolution. Intuitively, this process is a time- different from the 1.76 dB in Eq. (3) if its peak-to-rms domain averaging by which the fast, coarse digital ratio differs from that of a sinusoid. numbers from an oversampling quantizer (or "modu- Thus, for example, an ideal 16-bit conventional linear lator") in Fig. 2 are interpolated in level to yield a finer A/D converter exhibits a peak sinusoidal-signal SNR quantized, slower sequence; However, efficient ar- of 98 dB (as does a practical 16-bit A/D converter rangement of the quantizer, to control the spectral shape performing fully to implied specifications, which means of the quantization error power in its coarse output, approaching the ideal staircase curve within an analog permits this error to "average out" more efficiently accuracy of one-half LSB weight, or one-half step size than , yielding more-than-intuitive resolution [3], and exhibiting no further error sources to impair enhancements through oversampling. SNR).

3.1 Quantization "Noise" 3.2 Dither for Non-Oversampling A/D An ideal conventional (sample-by-sample, staircase- Converters curve) N-bit linear A/D converter operating on a "busy" It is widely recognized that low-amplitude signals input sequence x(n) of moderate amplitude (well above can violate the preconditions of the AIWN quantization the analog step size, or least significant bit (LSB) model. Dijkmans and Naus [89] have demonstrated weight, but smaller than the saturation limits) tends to how conventional A/D converters with full ]/2 LSB introduce a wide-band quantization error resembling accuracy can, with low-level inputs, exhibit both highly additive independent white noise (AIWN), as illustrated correlated, nonnoiselike quantization error and quart- in the spectrum of Fig. 3. This observation motivates tization error whose nature varies radically among the common practice of approximating such an A/D similar A/D converters due entirely to the different converter mathematically as a source of AIWN [1[, possible forms of 1/2LSB error. [7]-[9], [11]. Like other models, this one can be On the other hand the ideal of wideband, noiselike treacherous outside the limits of its validity, as Gray quantization error can be forced, to some extent, from has recently emphasized [12]. The terms noise and a conventional A/D converter by adding some form of noise shaping are used broadly in this paper to reflect dither signal to the analog input [27]-[33]. This forces current OSADC practice, even with 1-bit quantization the A/D converter's input to be "busy." Sometimes the error, whose nonrandomness and signal dependence dither component is subsequently subtracted out dig- are widely recognized. These terms should not be con- itally [27[, [30] when the dither waveshape permits fused with the AIWN model, accurate simultaneous generation in both analog and The AIWN model itself is nevertheless a pervasive digital forms. The idea of dither in A/D converters was reference point and accurate in the context cited. It articulated in detail by Roberts [27] and Schuchman predicts a ratio of signal to noise powers (SNR), at the [28] and has since been studied extensively in the context output of an ideal N-bit linear A/D converter, of of digital audio [31]-[33]. It also resembles the use of smoothing oscillators, a venerable analog-computer

SNR= (2// \22) /LNAAmas/i-12 (1) techniqueditionalare somewhatoptions[29].different,InariseoversamplingforasditheringdescribedA/Dbutinconverters,Sec.its objectives4.2.7. ad- with sinusoidal signal, where A is the (zero-to-peak) 3.3 Generalized "Resolution" Measures input sinusoid amplitude and Ama x is the maximum Conventional reference to an A/D converter resolution input amplitude of the A/D converter, of N bits is meaningful and self-explanatory with an This SNR reaches a maximum value when the sinusoid ideal linear-staircase A/D converter. The situation amplitude just fills (saturates) the converter's input range (A = Amax) so that as a power ratio

SNRma x = 2 2N (2) ERROR POWER

or, in decibel form, as it is more usually expressed, SNRmax "-_ '_" SNRmax(dB) _ 6.02N + 1.76. (3)

Still larger input amplitudes will cause the A/D converter to saturate (limit). The character of the output error changes,but in anyeventthe SNRfallsoff as shown qualitatively in Fig. 5. SIGNAL LEVEL, dB Eq. (3) is a frequently cited rule of thumb. A signal Fig. 5. Representative curve of SNR versus signal level for waveform other than a sinusoid yields an additive term linear quantizer.

J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February 7 HAUSER PAPERS complicates, however, with more general quantizers, 0-70°C commercial temperature range) or a junction which may exhibit statistical, or highly signal-depen- current down to 83 IxA, assuming that either was the dent, quantization error. Also, when low-order bits sole source of noise. At 22 bits these constraints become contain only noise or distortion, then a total A/D con- 0.6 IxV, a maximum of 310 _, and a minimum of 340 verter output bit width does not meaningfully gauge mA (impractically large for many small-signal tran- "resolution." This is important with OSADCs, whose sistors). The foregoing incidentally implies that the output emerges from digital filtering and may exhibit circuitry of a real data converter must considerably a convenient digital-logic width, or residual filtered exceed these constraints in order to accurately support noise, thespecifiedresolution. On the other hand, SNR performance, as illustrated From a complete SNR-amplitude relationship, as in Fig. 5, applies much more generally than does a in Fig. 5, various single dB parameters can be picked sample-by-sample staircase curve. Noise may again be off. Some used in the literature to concisely characterize defined broadly to capture all error at the quantizer a practical quantizer follow: output other than dc and linear errors ( a measure also 1) SNRmax, as described, is perhaps the most pes- straightforward to instrument in hardware or simulation simistic performance measure since not all applications [65]). The resulting, stringent SNR measure is some- depend on peak SNR, yet many electrical aberrations, times called the ratio of signal to error, or to the sum such as nonlinearity, will limit it. of noise plus distortion. Any deviations from ideal 2) Perhaps the most optimistic single-number measure quantizer behavior (such as nonlinearity, step-size im- is the range of input levels yielding SNR above 0 dB balance, dynamic error, harmonic distortion, physical (the versatile term is sometimes defined noise, or interfering signals) will impair such an SNR this way). A variant is to specify an SNR minimum curve. 4Different quantization processes useful for audio maintained over a range of input levels (for example, then exhibit "equivalent N-bit resolution" in an etec- 40 dB over 50 dB). trically rigorous sense if their SNR equals that of an 3) Idle-channel noise, the quantizer's noise output ideal N-bit linear-staircase A/D converter with the same (often in decibels below maximum signal output) with signal, negligible signal input, is also used (and abused, since An SNR curve as in Fig. 5 actually arises in many in general a quantizer may behave differently with large analog components as well, when they contain a physical and small input signals). noise source [34], [35] in the presence of a signal- amplitude limit. Comparing analog-noise and quanti- 3.4 Elementary Oversampling and Decimation zation SNRs in unified terms illuminates the magnitudes The spectrum in Fig. 3 showed quantization noise of electrical accuracy implicit in high-resolution audio occupying the same frequency range as the analog signal A/D conversion, as follows, of interest. In contrast, Fig. 6 shows quantization oc- Consider an analog signal with a bandwidth FB of curring at an oversampled rate DFs, where again Fs/2 20 kHz and a maximum (peak-to-peak) voltage excur- is the analog bandwidth of interest. A conventional N- sion of Vpe. Then the same SNR ceiling imposed by bit A/D converter used as the quantizer in Fig. 6 will ideal N-bit linear A/D conversion occurs from either introduce a total quantization noise power corresponding the thermal noise in a series resistance of value to its resolution, but independent of the sampling rate. A higher sampling rate will spread this power over a V2p wider range of frequencies. Subsequently filtering out Rmax - 48kTFB(22N ) (4) frequencies above Fs/2 with a digital low-pass filter (the dashed line in the spectrum) will reduce the quart- or the shot noise in a single pn junction (or for that tization noise power, effectively increasing the reso- matter, a vacuum tube) carrying a forward direct current lution of the quantizer. The can again be approximately a decimator, since the sampling rate can drop to Fs once spectral components above Fs/2 have been removed /min ---= 6qFB(22N) (5) from the signal path. This resolution-enhancement process is notoriously where k is Boltzmann's constant, T is absolute tem- counterintuitive for data-converter users and designers perature, and q is electron charge, accustomed to dc staircase curves. The added resolution If Vpp is 5 V, 16-bit linear quantization implies a arises, in effect, from the digital arithmetic in the dec- staircase-converter accuracy of about 38 txV. In pure imator, which must consequently have adequate bit analog hardware the same SNR requirement would widths to accommodate an output word wider than its permit a series resistance of up to 1.3 MI2 (over the input (shown schematically in Fig. 6). Note also that this process presumes wide-band (though not necessarily random) quantization error. It is possible to contrive pathological situations, such as an ideal staircase A/D 4 This paper addresses only electrical characterization of OSADCs. Perceptual fidelity measures, a large and appli- converter with dc input and no dither, that will gain cation-dependent topic, are of course the ultimate figures of no resolution from this technique. merit in audio and other human-interface encoding [9]. With the oversampling and decimation factor D in

8 J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February PAPERS OVERSAMPLING A/D CONVERSION

Fig. 6, 5 and white quantization noise from the quantizer, Half a bit per octave may be useful in practice for a perfect (ideal low-pass) decimator will reduce the slight gains in A/D converter resolution, but it is a less quantization noise power by a factor of D while leaving than linear trade-off. To achieve, for example, an en- the signal power unaffected. This means that the SNR hancement of 10 bits requires an oversampling factor enhancement through this process, expressed as a power D of one million (L -- 20 octaves). From Fig. 6 and ratio, is the foregoingdevelopmentit willbe apparentthat the half-bit-per-octave figure derives from the flatness of SNR enhancement = D . (6) the noise spectrum introduced by the quantizer. Ar- ranging for this spectrum to be high pass instead-- Accordingly when the quantizer of Fig. 6 is an N-bit that is, with most of its power outside of the base- linear A/D converter, the peak SNR in decibels for a band--yields a higher SNR gain from the oversam- sinusoidal signal, as in Eq. (3), becomes piing-decimating process. This is the objective of noise shaping. SNRmax(dB) _ 6.02N + 1.76 + 10 logl0 D . (7) 3.5 How Noise Shaping Works Fig. 7 illustrates a basic noise-shaping feedback loop. It is also convenient to write D = 2r, so that L is the Allowing for variations in the H(z) block and the internal number (not necessarily integer) of octaves of over- A/D and D/A blocks, Fig. 7 underlies the majority of sampling. Then Eq. (7) can be rearranged, OSADC designs in current use. This section outlines the method; the following section analyzes its per- SNRmax(dB) _ 6.02(N + 0.5L) + 1.76 . (8) formance. In Fig. 7, H(z) denotes the transfer function of a Eq. (8) shows directly that the oversampling A/D con- discrete-time analog filter [11].6 At minimum, this H(z) verter yields baseband SNR equivalent to that of a non- block is a discrete-time integrator (an analog accu- oversampling converter with a higher number of bits, mulator), as described in the time domain by the in this case a trade-off of 0.5 bit per octave of over- sampling. 6 The H(z) block is specified most naturally in discrete- time form since the loop of Fig. 7 operates inherently in 5 A few authors define an "oversampling factor" as the discrete time. Continuous-time integrator circuitry is some- ratio between initial sampling rate and final analog bandwidth, times used, at the cost of introducing an additional clock- rather than final sampling rate. Under that definition, sampling period dependence in the behavior of the loop [65] and a an analog source at the minimum, or Nyquist, rate is termed dependence on exact analog waveshapes, absent from discrete- 2:1oversampling, timecircuitry.

D%

BANDDFsWID/2 TH BANDWIFs/2DTH

MODULATOR DECIMATOR

ENERGY

li]_l SIGNAL Q. ERROR AT MODULATOR OUTPUT FREQUENCY._ 0 Fs % 2 2

Fig. 6. Oversampling for resolution enhancement.

J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February 9 HAUSER PAPERS input-output difference equation 3.6 Basic Noise-Shaping Theory v(n + l) = v(n) +' u(n) . (9) Within the limitations of the additive-independent- noise approximation for the quantization in the internal The H(z) filter and the internal N-bit A/D and D/A A/D block, a model like that in Fig. 8 accurately predicts converters in Fig. 7 all operate at the oversampling the error spectrum and resulting SNR of the topology clock rate DFs. in Fig. 7. Because the analog input sequence x(n) has been The following analysis applies to OSADCs that over- oversampled, its value will change only slowly com- sample and decimate by a factor of D, with a decimator pared to the DFs clock rate. One way to view the be- sufficiently ideal so as not to limit the converter's SNR. havior of the loop in Fig. 7 is that the A/D and D/A A general formulation that includes Figs. 7 and 8 but blocks form a fast-changing coarse approximation y(n) applies to other configurations as well begins with a that oscillates around the slow-changing value ofx(n), generic expression of the modulator's output z trans- The integrator will constantly force this approximation form, to move in a direction bringing the integrator's own input u(n) toward a long-term average of zero, as in Y(z) = Fx(z)X(z) + FQ(Z)Q(z) (10) other integrating feedback loops, such as regulators or phase-locked loops. Consequently the dc error between where Fx(z) is some signal transfer function and FQ(Z) analog input x(n) and digital approximation y(n) will some noise transfer function, which link the output to approach zero. the signal input and to the source of quantization noise, Cutler, inventor of record for this process, described (in 1954) the action of such a loop as [36] · . . modifying each [y(n)] sample in a manner to O(Z)

resulttizationof ofcompensationthe immediatelyin thisprecedingmanner sample.is that thereThe X(z)-_ -! H(z) _,-Y(z) compensatefor the error associatedwith the quan-/ _+l +_ is little D.-C. error in the [y(n)] signal, the power- / frequency spectrum of the quantizing error sloping INTEGRATOR upward with increasing frequency. Fig. 8 shows a linear feedback system analogy for I the loop in Fig. 7, based on the additive quantization- error model. X(z) and Y(z) denote z transforms of the H(z) 1 corresponding sequences x(n) and y(n) in Fig. 7. The Y(z) - 1+_z) X(z) + _ Q(z) quantization performed by the internal A/D converter I + H(z) in Fig. 7 appears in Fig. 8 as an additive error signal r ' -_ r- _, Q(z) (a "disturbance" input, in classical control theory). GAIN GAIN The figure illustrates how a low-pass frequency response in H(z) causes white quantization error Q(z) to produce 3t a high-pass error spectrum at the loop output. FinallythedecimatorinFig.7 suppressesfrequencies \ _, / above the baseband of interest, excluding the quanti- FREQ. FREQ. zation error in those frequencies as in the white-noise Fig. 8. Linear feedback-system analogy for noise-shaping case of Fig. 6, to yield a finer resolution digital replica loop of Fig. 7. Q(z)--quantization error from internal A/D of the analog input, but at a reduced sampling rate Fs. converter.

(RATE DFs) (RATE Fs)

BITS SAMPLEDx(n) ._!_ H(z)v_ N.BiTA/D __ DECIMATOR > N+M ANALOG INPUT N BITS

N-BIT D/A

Fig. 7. Generic noise-shaping feedback loop. Block H(z)--discrete-time analog filter containing one or more integrations.

10 J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February PAPERS OVERSAMPLING ND CONVERSION respectivelyfi For the class of circuits in Figs. 7 and general form, this power ratio is 8, with a single loop filter H(z), these transfer functions are dh f,/D [Fx(eJX)12Sx(X) J0 SNR - Pb_ _ ' (14) H(z) (lla) P0. I'/° [FQ(eJX)[2 SO(X) dh Fx(z)- 1 + H(z) Jo

With the topologies in Figs. 7 and 8, exhibiting the 1 (l lb) signal and noise transfer functions of Eqs. (1la) and FQ(Z) - 1 + H(z) (1 lb), and with white quantization noise from the in- ternal A/D converter [making SQ(X) a constant], Eq. Using a random-signals formulation [11], the analog (14) becomes

+ H(eJX)] Sx(X) dX frequencyinput signalaccordinghas someto atotalpowerpowerspectralPx densitydistributed(PSD)in ]f=/OlH(eJX)/[1 12 JO Sx(h), while the source of quantization noise in the SNR - _/o system has power Pq and power spectral density SQ(×), Pq [ [1/11 + H(eJX)l2] dX normally but not necessarily white. Here k is the nor- JO malized frequency variable for discrete time, taking the range 0 to 2,r, where 3, = 2xr corresponds to a (15) physical (hertz) frequency equal to the current sampling rate. TofurtherevaluatetheOSADC'soutputSNRrequires In general the system described by Eq. (10) may knowledge of the specific loop-filter function H(z). frequency filter the signal input as well as the quanti- For a simple example, let this filter be the unity-gain zation noise; both must be considered. From Eq. (10), discrete-time integrator described in Eq. (9). Its in- at the modulator output y(n), the signal-component put-output z transform is

PSD Srs(h) and the noise-component PSD Syn(k) are -1 2 H(z) - I - z-1 ' (16) Sy_(X) = [Fx(eJX)12Sx(X) (12a) Such an H(z) function yields a basic first-order noise-

SYn(h ) = [FQ(e jx) [2SQ(h) . (12b) shaping loop. From Eq. (11 a) the signal transfer function is then

Now the total signal power and noise power in y(n) H(z) - z-1 (17) over the baseband of interest (the range of frequencies 1 + H(z) that will pass through the decimator) are, respectively, while the noise transfer function of Eq. (1 lb) is 1 f_r/D SYs(h) dX 1 Pbs 'Ir JO - 1 - z-l (18) 1 + H(z)

= 1 f_/D iFx(eJX)[2 Sx(h) dh (13a) Note that the signal transfer function, Eq. (17), is 'Ir .Jo the z-transform expression of a pure delay (with unity magnitude for z = ejx) while the noise transfer function,

f Eq. (18), is a first-orderhigh-passresponse(in the P bn = _1"IT vt/'tr)/O SYn(h) dX time domain, a first-order difference operator). It is easy to show that the frequency-response magnitude of this noise transfer function, at normalized frequency is = 1 i_/O [FQ(eJX)12SQ(h) dh . (13b) k, 'ir J0

The ratio of these two baseband powers is the output i1 + H(eJX)I - 2 sin . (19) SNR of the oversampling A/D converter. In its most (Single-zero, or first-order high-pass, frequency re- sponses for discrete time from h -- 0 to h = ,r look accommodates7 Expressing anytheseOSADCtwo transferanalog functionstopology, independentlysuch as a loop like the first quarter of a sinusoid. The corresponding where the H(z) block is split into multiple pieces with multiple high-pass response magnitude in continuous time would feedback paths, a minor and common variation of Fig. 7. be linearly increasing with frequency.)

J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February 11 HAUSER PAPERS

From Eq. (17) the numerator of Eq. (15) simplifies Comparing these results with Eqs. (3) and (8) reveals to that first-ordernoiseshapinghas boostedthe oversam- pling payoff in equivalent A/D converter resolution to Sx(X) xP x (20) per high-pass fo_/° dh = shaping1.5 bits in theoctave.quantizationIncreasingnoiseorderspresentedof to the de- cimator (as in Fig. 9) will tend to increase this resolution where Px is just the original analog-input-signal power, payoff· Note also, however, from Eq. (24) that a fixed [This signal is in the baseband and therefore Sx(M is -5.17-dB loss in SNR [corresponding to the multi- zero above h -- w/D. ] From Eq. (19) the denominator plicative factor 3/x 2 in Eq. (23)] accompanies the first- integral in Eq. (15) is order noise shaping, unlike the white-noise oversam- pling system of Fig. 6. This is because the noise-shaping

folio 11 + H1(eJ×)[ 2 dh = 4 Jo_,,/D sin 2 (__) dh quantization-noiseloop described by Eqs.spectrum(1 la) andwith(1 lb),a simplein alteringtransferthe function, also boosts its total power. This effect too becomes more pronounced as the order of the noise-

= 2ID sin (D) ] · shapingloopgrows.A typical second-order noise-shaping loop [63], [65] (21) yields results paralleling the previous analysis. The gain magnitude from the signal input is again unity, With these results the power-ratio SNR at the OSADC and in power terms, output, from Eq. (15), is

SNR = Px x/2 (22) 6_/D - 8 sin (T/D) + sin (2x/D) 23w/D - sin (T/D) (26)

The first factor, Px/Pq, is just the SNR that would For D >> 'rr, result if the same internal A/D converter operated di- rectly on the signal input x(n) with no oversampling. 5D 5 Thus the remaining factor in the right-hand side of Eq. SNR enhancement _ _- (second order) . (27) (22) is a net SNR enhancement attributable to the over- sampling-decimating process with the topology of Fig. Again expressing the oversampling factor as L octaves, 7 and the particular loop filter of Eq. (16). so that D = 2L, Eq. (22) entails no assumption about the magnitude of the oversampling factor D. However, for large over- SNR enhancement (dB) = 15.05L - 12.90 . sampling factors D > > w, the [x/D - sin (T/D)] term (28) is accurately approximated using a Taylor expansion of the sine function, and this yields a simplified With sinusoidal input and N-bit linear internal con- expression for the SNR enhancement in Eq. (22). In verters, power-ratio terms, SNRmax(dB) -= 6.02(N + 2.5L) - 11.14 (29) 3D 3 SNR enhancement _ _7 (first order) . (23) ENERGY As in the earlier SNR enhancement analysis, expressing the oversampling factor as L octaves, so that D -- 2L, gives a convenient decibel form of this result: SECOND-ORDERNOISE SHAPING ] / FIRST-ORDER

SNR enhancement (dB) = 9.03L - 5.17· (24) "'_ .ql(l, / / NOISE SHAPING The specific case of a maximum-amplitude sinusoid \_._NAL input signal, which in Eq. (3) yielded a maximum SNR of 6.02N + 1.76 dB from an N-bit linear A/D converter NO NOISE in the absence of oversampling, will now achieve a _ SHAPING maximum SNR of --_-'"'"_ [ '" I FREQUENCY SNRmax(dB) = 6.02(N + 1.5L) - 3.41 (25) -_,IBASEBAND!-"_-- Fig. 9. Spectra of signal and quantization-error components when the same N-bit A/D converter is embedded in the in oversampled quantized signal y(n) for frequencies invicinity noise-shaping loop of Fig. 7. of signalbaseband.

12 J.AudioEng.Soc.Vol., 39,No.1/2,1991January/February PAPERS OVERSAMPLING A/D CONVERSION or an equivalent SNR payoff of 2.5 bits per octave with from the actual digital filter coefficients [70]). a fixed - 12.9-dB or approximately 2-equivalent-bit 2) Finite tolerances in the definition of the D/A output SNR penalty, points in a multibit OSADC loop like Fig. 7. This Thus for example, to increase the SNR of an N-bit introduces a slope-matching issue that can cause large- A/D converter by 10 equivalent bits (60.2 dB) with signal distortion in the OSADC. Sec. 4.2 treats this this second-order loop would require, from Eq. (28), crucial subject in detail. L = 4.857 octaves or an oversampling factor D of 29. 3) A gamut of standard electrical imperfections in The precise expression, Eq. (26), yields 60.22 dB of the analog components that make up the OSADC front SNR enhancement with 29:1 oversampling. Fig. 10 end. Prominent in practice are noise sources in the summarizes typical SNR enhancements as a function subtracting (Y,) and H(z) blocks, nonlinearity sources of oversampling factor D for noise-shaping orders of and finite coefficient accuracy in the H(z) block, and zero, one, and two. (Higher orders are considered in technology-dependent effects such as parasitic coupling Sec. 4.) and 1/f noise [65]. Some of these aberrations can be Such linear additive-noise analyses are the basic an- predicted analytically; most require simulation and some alytical tool to date on oversampling for resolution cannot even be simulated accurately. The majority of enhancement. Although presented here in a unified form fully-integrated OSADCs today use MOS switched- with contemporary signal-theory notation, the under- capacitor analog circuits, for which technology-linked lying method dates at least to Inose and Yasuda in 1962 ultimate speed and resolution limits can be derived [55],[56]. [731. SNR in high-resolution OSADCs has consistently 3.7 Practical Imperfections in Noise-Shaping been limited by these practical electrical aberrations OSADCs rather than by noise-shaping theory. Fig. 10 and others like it, showing the calculated impact on SNR of oversampling with noise shaping, 4 ALTERNATIVE APPROACHES TO NOISE are best-case results, which presume idealized modu- SHAPING lator components and perfect low-pass decimation. Implementating this signal-theoretic process with real 4.1 "Interpolative" A/D Converters [39]-[46], hardware introduces nonidealities, any one of which [48] may constrain the actual resolution of an OSADC: To the relatively low-frequency analog input signals, 1) Imperfect suppression of out-of-baseband quan- the overall analog-digital transformation in Fig. 7 can tization noise by the decimator. This is a key issue in be viewed roughly as a set of coarse A/D mapping practical decimator design [64], [70]. Increasing sup- points established in the modulator, followed by in- pression is increasingly expensive, so an economical terpolation between them, through the time-averaging decimator design will be only as "perfect" as the ap- process in the decimator (Fig. 11). These concepts plication requires. On the other hand, any out-of-bas- underlie the term "interpolative" data converter, applied eband quantization noise from the modulator that passes originally (and still occasionally) to oversampling data through the decimator will lower the SNR at the A/D converters in general [39], [40], [48]. converter output (to a value that can be easily calculated The label "interpolative data converter" is, however, now widely associated with a special subclass of these circuits developed by Candy and Wooley [40], [41],

the analog-digital plane of Fig. 11 is the internal N- 100 , ' Rxg.c'/ _ .J ' 4+16 BITS [43]-[46]. The actual source of the anchor points in m _,bl"_''' ._+14 BITS bit D/A block in Fig. 7. Accordingly an OSADC can 'o 80 ,o_ _ be built with an N-bit D/A element, but without a

ZI'_ .0_.0_..__,;,,, ix?,_j,,,,,_ 4+12 BITS LU 60 _,,r.,Oy _O,s<¢_ O

Z '*

-r 40 ,.,' OZ _y __?_.f .e Ltl ._* z 20 : : , : : u') ,,.'* .' A .f e · 0 ! ! I I e° 16 32 64 128 256 512 ..' OVERSAMPLING FACTOR "D" e"

Fig. 10. Theoretical enhancements in A/D converter signal- Fig. 1l. Interpolation between coarse analog-digital mapping to-quantization-error ratio (SNR) through oversampling-dec- points, which are defined by internal D/A converter in Fig. imatingprocess. 7.

J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February 13 HAUSER PAPERS matching A/D circuit, using instead some simplified Their popular name is delta-sigma or sigma-delta means to estimate the H(z) integrator output and close A/D converters, 8 after the 1-bit, oversampled, noise- the loop. The method of Candy and Wooley was to shaped delta-sigma modulation of Inose and Yasuda sense only the pola¥ity of the integrator output. This [55], [56]. Historically, the explicit use of noise-shaping controlled an exponential digital growth or decay, which oversampling began in multibit form, both for source in turn drove the feedback D/A converter. In this system coding in the 1950s [36] and for integrated-circuit the quantization of y(n) grew coarser or finer according A/D converter implementation in the 1970s [39]-[41]. to the amplitude of the analog signal x(n), a fact reflected In both contexts, 1-bit forms became prominent later in the SNR- amplitude curve for these data converters, in practical implementation [55], [56], [59], [60], [62]. characteristically flatter than that of Fig. 5, as the 1-bit OSADCs differ significantly from multibit ver- quantization noise varied up and down with the signal sions in the following ways. amplitude. This method, with a 1-bit A/D and multibit D/A converter, may. be considered a hybrid between 4.2.10versampling Factor the pure multibit OSADCs analyzed in Sec. 3.6 and Other aspects (such as noise-shaping order) being the pure l-bit OSADCs of Sec. 4.2. equal, OSADCs that "start with" only 1bit of resolution Unfortunately, discerning the exact meaning of "in- will require a larger oversampling factor D to achieve terpolative data converter" is often the reader's task, a specified final resolution. since many authors understand the term to mean only one or the other of the two categories mentioned, and 4.2.2 Analog-Circuit Implementation hence use it as though unambiguous (in exactly those It is much easier to realize "good" 1-bit internal A/D situations where the distinction is important). A separate and D/A elements in Fig. 7 than multibit elements, in and worse confusion also arises with the DSP idiom most solid-state technologies. (It is, so to speak, much interpolator, a digital filter that is the reverse of a more than twice as easy as building comparably "good" decimator [24]-[26]. The DSP sense of interpolation 2-bit elements) [62], [65], [73]. is a purely digital operation with a completely different objective. Unfortunately both senses of interpolation 4.2.3 Unlimited Potential SNR entail producing some type of new values between old When the input to the decimator is only 1 bit wide, ones (and moreover, oversampling digital-to-analog the potential resolution is theoretically unlimited in converters use both kinds of interpolation simulta- the OSADC, from the absence of the slope-matching neously, for different purposes), which is not very issue characteristic of multibit OSADCs [65]. helpful to the newcomer. Fig. 11 showed interpolation between precisely aligned analog-digital mapping points and neglected 4.2 1-bit, or delta-sigma, OSADCs [55]-[76] the inaccuracy of these points inevitable with finite- In view of the large A/D converter resolution eh- tolerance analog components. Fig. 12 illustrates the hancements possible through oversampling (Fig. 10), effects of finite errors in the D/A output values, for a natural option is to start with l-bit internal A/D and both multibit and 1-bit oversampling. The effect in the D/A elements in Fig. 7, obtaining most of the final multibit case is nonlinearity in the interpolated transfer OSADC resolution through the oversampling-deci- curve, while in the 1-bit case it is dc offset and gain mating process. This approach imparts both practical benefits and theoretical complications, elaborated 8 The correct (and in initial years, the only) idiom is hereafter. Many versions of 1-bit oversampling noise- delta-sigma, coined and popularized by Inose and Yasuda. Candy, present at the origin of the Bell Labs variant "sigma- shaping OSADCs exist, identifiable unambiguously by delta," has recently corroborated this [75], [76]. Other var- the bit width of the digital signal entering the decimator, iants, such as "sigma-sigma-delta," are seen occasionally.

D D ,e m

o '_ e_

/

..w' A / -' A

O* O* ee [ _eeee (a) (b) Fig. 12. Effect of finite accuracy in output values of internal D/A converter in Fig. 7. (a) Multi-bit OSADC, showing slope mismatch. (b) 1-bit (delta-sigma) OSADC.

14 d. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February PAPERS OVERSAMPLING A/D CONVERSION error, which in most signal-acquisition applications is oryless mapping f(v). (For a 1-bit quantizer this is a more benign. Thus, for example, if a technology permits signum function.) The feedback loop is described ex- component matching sufficient to realize an 8-bit half- actly in the time domain by a nonlinear time-invariant LSB-accurate D/A converter, and this is embedded in (NTI) difference equation of the form the loop of Fig. 7, oversampling and decimation might yield a small-signal resolution of 16 bits, but large y(n + 1) = f(h(n) * [x(n) - y(n)]) (30) signals, or large and small signals together, will en- counter the nonlinearity illustrated in Fig. 12(a), for a when the D/A block is ideal, here a minor assumption. net peak SNR of only roughly 8 bits. 9 The same tech- Here h(n) is the impulse response corresponding to nology applied to a 1-bit OSADC would still yield an H(z) [11], and the convolution operator * embodies absolute overall accuracy of only about 8 bits, but the the effect of the loop filter (expressible alternatively error would take the form of offset and gain. as an additional difference equation). Eq. (30) or its equivalent can be calculated numer- 4.2.4 Decimator Design ically for the successive output samples y(n) under a When the input to the decimator is only 1 bit wide, particular input sequence x(n). This procedure is exact this can greatly simplify the digital filter arithmetic for the input sequence and loop parameters used; hence, and hence the implementation of the decimator [70]. arguably, it is not a simulation in the usual sense, in In particular, a finite-impulse-response (FIR) first stage which finite-element models simulate continuous media, in the decimator, often desirable for other reasons as or circuit simulators approximate continuous time. well, requires no full multiplications, since FIR arith- However, it does not generalize to different inputs or metic can be arranged with an input sample as a factor loop parameters and so must be repeated many times in every product, under different conditions in order to be useful. This is the most general and successful method to date for 4.2.5 Analysis and Simulation predicting the actual behavior of 1-bit OSADCs. With The AIWN quantization-error model of Sec. 3.1 for provisions for modeling nonideal components that ac- the internal N-bit A/D block in Fig. 7, quantitatively tually realize Fig. 7, it also has underlain the study of accurate under specific conditions forN > 1, collapses circuit requirements and tradeoffs essential for building completely whenN = 1 [62], [69], [70]. Much literature OSADCs in IC technologies [65], [68], [71]. on 1-bit oversampling notably neglects this vital point. Efforts toward direct, exact analysis of filter-and- Analyses based on additive white noise (as in Sec. quantizer loops such as that of Fig. 7 focus on the 3) can still reveal some OSADC properties when N = properties of the underlying difference equation, Eq. 1. But they fail to predict highly correlated quantization (30). This is a subject of active research [12]. error in the loop with certain input signals. This can produce oscillatory error (limit-cycle tones) in the final PEAK OSADC output (discussed further in Sec. 4.2.7) which SNR , , ' /' 20 BITS is extremely objectionable in audio. The AIWN model 120 dB _ · also overestimates ultimate SNR capability for 1-bit OSADCs even under the most favorable input condi- / · 16 BITS tions. The often quoted linear-loop N-bit oversampling 90 dB _ · SNR analysis, summarizedin Eq. (29) for a second- _ 12 BITS order loop, predicts a peak SNR of 100 dB when N = / · 1 with 128:1 oversampling. The observed value in near- 60 dB _ · ideal circuits and careful simulations [70] is actually _ 8 BITS about 86 dB; the linear-loop model overestimates peak _ · SNRs by about 14 dB with second-order noise shaping 30 dB " (Fig.13). · The fundamental difficulty in analyzing the loop of Fig. 7 when N = 1 is that it contains both a linear filter , , , , with memory [the H(z) block] and a gross nonlinearity 8 32 128 512 (the 1-bit quantizer). While 1-bit loops are extremely OVERSAMPLING FACTOR "D" useful in practice, established engineering mathematics lacks the means to analyze them generally in closed Fig. 13. Example of quantitative error in additive-quanti- zation-noise model of Sec. 3.6, when resolution of internal form, and work proceeds instead from experiment and A/D and D/A converters is only 1 bit. Plot shows peak base- simulation, bandSNRas a functionof oversamplingfactorfor repre- In Fig. 7 the essential nonlinearity resides in the sentative second-order delta-sigma modulators as in Fig. 14(c). Dashed line is prediction using linear-additive-noise internal A/D block, describable at minimum by a mem- model of N-bit internal quantization, with N taken as 1, and sinusoidal input. Dots are SNR values computed directly from nonlinear difference equation, Eq. (30), under same 9 On the other hand, Larson, Cataltepe et al. have explicitly conditions [65], and represent limiting SNR achievable in addressed this problem through digital correction of the D/A practice. Linear model overestimates this peak SNR by some nonlinearity [51]- [53]. 14 dB.

J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February 15 HAUSER PAPERS

where Vsup is the total power supply voltage, Cs the 4.2.6 Practical Topologies size of the input sampling capacitor, and again k is Fig. 14 shows some low-order delta-sigma-modulator Boltzmann's constant, T absolute temperature, and D (DSM) [opologies with the discrete-time analog loop- the oversampling and decimation factor. filter configurations explicit. Each of the small feedback loops with a delay and a summation is a discrete-time 4.2.7 Limit-Cycle Tones and Dither analog integrator. Figs. 14(b) and (c) illustrate the Even with ideal analog components, low-order, and common option of multiple-section loop filters with especially first-order, 1-bit noise-shaping loops are multiple feedback paths, noted in Sec. 3.6. (This option, prone to output quantization error that is deterministic electrically equivalent to a single feedback path plus or oscillatory rather than noiselike. This again manifests a separate prefilter on the x(n) input, allows more flex- the non-AIWN character of 1-bit quantization. Under ibility than the single-filter single-feedback configu- certain dc and small amplitude signal inputs, the binary ration shown in Fig. 7.) In an OSADC, each of these idling sequence at the DSM's digital output will exhibit modulators would be followed by a decimator, as shown a long and often complex, but repetitive, pattern. If in Fig. 7 with a multibit modulator, the period of this pattern is long enough, its fundamental Both the modulators in Fig. 14 and their more com- frequency component will lie in the audio baseband plex, higher order variants rely on a subtracting-inte- and pass through the decimator unattenuated, yielding grator front end. The fundamental ability to perform a limit-cycle tone or "birdie" in the OSADC output, this function accurately using combinations of switches, whose frequency typically depends noticeably on slight capacitors, and high-input-impedance amplifiers un- changes in the modulator's operating conditions. Inose derlies the relative success of the MOS technologies and Yasuda called attention to this issue in 1962 in the at realizing DSMs [65]. Similarly the practical analog original work on "delta-sigma modulation" [55], [56]. limitations in OSADCs typically stem from the com- The problem of limit-cycle tones is most acute with ponents that make up this subtracting-integrator front first-order DSMs. (It diminishes rapidly with both loop end [65], [68], [71], [73]. For example, the thermal order and internal quantizer resolution [69], [85], [87]). noise in analog-switch and amplifier FETs in a minimal To mitigate this, designers of first-order DSMs routinely single-ended switched-capacitor subtracting integrator add some form of ac dither signal at the analog x(n) imposes a ceiling on the final OSADC SNR, in power input. The dither tends to disrupt the long deterministic ratio terms, of [65], [73] idling patterns in the DSM output and hence to prev_ent narrowband error power from appearing in the base- V_upDCs band. SNR_<_-- (31) 16kT Everard in 1979, in a mixed monolithic-discrete im-

d _ xtn) + +

(a)

- _ ONE-BIT OUTPUT

(b)

x(n) + _ 1/2 _ Z.1 + _ -I- __. Z.1 -I- ONE-BIT -'I 1 - I'- ...... I- (c)

Fig. 14. Common delta-sigma modulator configurations of (a) first order (one integrator) and (b), (c) second order (two integrators). All time delay in these diagrams is explicit in the unit-sample delay blocks, labeled z-_. The rightmost blocks are called l-bit quantizers in communication theory and comparators in circuit design. Configurations (b) and (c) differ in circuit timing and other practical details but yield similar OSADC SNR performance.

16 J.AudioEng.Soc.,Vol.39,No.1/2,1991January/February PAPERS OVERSAMPLING A/D CONVERSION plementation, added a squarewave input dither at the modulator of a given speed limit can provide higher frequency of the final sampling (or "Nyquist") rate. output SNR, or higher output sampling rate at a given This aliases to dc upon decimation and Everard removed SNR--both useful. Practical approaches to this goal it with a dc autozero loop that was part of the converter in recent years have split between higher order pure- [58]. Note that a squarewave added to the input of Fig. feedback noise shaping (the subject of this section) and 14(a) integrates to a triangle wave component at the multistage low-order noise shaping (treated in the fol- comparator input, which in effect scans the 1-bit quan- lowing section). tization threshold over a controlled range. This and The elementary noise-shaping loop of Sec. 3.6 yields ease of generation are the rationales for squarewave a high-pass output noise spectrum reflecting the order dither in first-order DSMs, and it appears to perform of the low-pass loop filter H(z). This principle does well in practice. However, dither that aliases to dc not extend straightforwardly to noise-shaping orders precludes digitizing dc inputs with the same OSADC. beyond two, because of the ac instability problem in Fox and Garrison, in an MOS RC-integrator (floating- high-order feedback loops, as has long been pointed capacitor) version, employed a squarewave dither at out [36], [63], [78], [79]. When the loop filter H(z) four times the final sampling rate [60]. The work that in Figs. 7 and 8 contains three or more integrations, Hurst, Brodersen, and the author did on MOS switched- the phase shift in H(z) can be 180 degrees at the fre- capacitor implementations of first-order DSMs em- quency where the gain magnitude around the loop ployed a squarewave dither at twice the final sampling crosses unity (the "crossover frequency"), leading to rate [62], although extensive simulations showed that large-signal oscillations (just as in a feedback amplifier other such binary multiples also gave good results. In or other feedback system). the two foregoing studies, the dither fundamental and Higher order feedback noise shaping can, however, its harmonics were at frequencies where zeroes naturally work successfully with a more complex loop transfer occur in the frequency response of the decimator, so function. The essential idea is for the loop filter H(z) that dither components at the DSM output are removed to exhibit a high-order low-pass response at low fre- by the decimator automatically. Subsequently, Leung quencies, as its gain rolls off rapidly from a high dc et al. employed high-frequency squarewave dither (250 value, but to drop back to a first- or second-order low- kHz, with an 8-kHz output rate). This fell in the stop- pass response prior to the crossover frequency. The band of, and so was again removed by, the decimator first property provides the desired noise shaping while [67]. the second imparts stability--formally, conditional Dithering for 1-bit OSADCs differs from that for stability. Such a feedback system can still break into conventional (nonoversampling, staircase-curve) A/D sustained oscillation if an overload occurs, even mo- converters both in implementation and in objective, mentarily, in the loop, since to a fundamental-frequency With OSADCs, the extra bandwidth outsidethe analog component in the loop, overload will effectively reduce baseband affords additional options in the type of dither the magnitude of loop gain, inducing crossover at lower signal used and permits this signal to be removed easily frequencies, where the phase shift is too large for sta- by the decimator. The primary purpose of.dither in 1- bility. The problem is also more acute with a 1-bit than bit OSADCs is to prevent limit-cycle tones, which, as with a multibit quantizer in the loop. Adams et al. have with multibit conventional A/D converters, requires elaborated these issues lucidly [97]. keeping the quantizer "busy." With a 1-bit OSADC, Because of the overload instability problem, higher however, the required dither signal may be large com- order feedback noise shapers routinely employ some pared to that for a conventional A/D converter. In the form of deliberate nonlinearity to prevent overload or practical first-order DSMs described, the dither signals to suppress oscillations. NV Philips, a pioneer in the employed were typically -24 dB to -12 dB of the use of higher order noise-shaping loops for audio, has full-scale input amplitude, developed and analyzed a modified delta-sigma mod- Naus and Dijkmans [69], [89], and Stikvoort [85], ulator topology incorporating a limiter block [85], [87], [87], have explored this subject in detail, including [89], while Harris in 1982, in possibly the first corn- perceptual effects. Notable among the several counter- mercial high-order 1-bit OSADC (for a different ap- intuitive features of OSADCs is that wideband analog plication), employed diode-clamped state variables in noise in a DSM's input circuitry, which is otherwise the loop filter [80]. Refinements on the basic condi- undesirable, will tend to dither an otherwise undithered tionally stable loop include moving the noise-spectrum OSADC and therefore can actually improve its final zeroes away from dc into the analog baseband (i.e., SNR. employingresonators,rather than integrators),which can increase OSADC SNR by a few decibels with no 4.3 Higher Order Feedback Noise Shaping increase in loop order [82]. [77]-[97] Ganesan [92] has trenchantly observed that an Secs. 3.4-3.6 and Figs. 9 and 10 demonstrate how OSADC's resolution can rise faster through increases increasingly high-order high-pass noise shaping in a in the oversampling factor than through increases in modulator's output spectrum will yield increasing SNR the loop-filter order. The SNR improvement realized payoffs (in bits per octave, for example) after deci- by a unity increment in the loop order rarely approaches mation. Larger SNR payoff means in principle that a a full bit per octave, owing to additive dB penalties as

J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February 17 HAUSER PAPERS

in Eqs; (24) and (28), and to the increasing measures In Fig. 15 the second DSM forms a digital estimate (such as headroom control) necessary to ensure stability _(n) of the error component introduced internally by with. higher orders. Moreover, raising the loop order the 1-bit quantizer in the first DSM. This estimate must costs somewhat in analog circuity (IC die area) as well then be digitally differentiated to compensate for the as design time. One consistent benefit of higher order differentiation (spectral shaping) of the quantizer's error feedback noise shaping, however, is its immunity from in the first DSM (analogous to Eq. (18) in the basic the low-level limit-cycle tones characteristic of low- noise-shaping analysis). Simultaneously the output of order modulators [69], [85], [87]. the first DSM is delayed to match the overall signal delay (signal transfer function, Eq. (17)) through the 4.4 Multistage Noise Shaping ("MASH") second DSM. Combining the two outputs yields a _[98]-[103] composite digital output y(n) whose quantization error An'alternative strategy for high-order noise shaping, exhibits, in principle, second-order noise shaping, without the stability issue of high-order feedback loops, similar to that in the outputs of the second-order feed- is a series of first- or second-order loops, each of which back loops of Figs. 14(b) and (c). However, the fidelity operates on an analog quantization-error residue from of the noise-shaping process in Fig. 15 rests on different the previous stage. The various digital outputs are then circuit components than in Figs. 14(b) and (c), and properly combined to form a composite oversampled unlike pure-feedback noise shaping, the extension of sequence for the decimator· Fig. 15 shows a simple the Fig. 15 topology to higher orders of noise shaping example containing two first-order delta-sigma mod- is straightforward [99]-[102]. ulators (DSMs). Versions of this idea have circulated Inherent in Fig. 15 and related feedforward topologies for many years. The current embodiment was introduced is a multibit digital output, from the linear combination and popularized by Hayashi et al. [98] and subsequently of individual stage outputs, even when each is 1 bit dubbed multistage noise shaping or MASH [99]. wide. This precludes the use with these topologies of The arrangement oi: multiple DSMs in this manner the efficient decimator structures that exploit 1-bit inputs is sometimes called a "cascade" (after the cascade to- (Sec. 4.2.4). It also implies that feedforward modulators pology of filters or amplifiers, for example), but a more are subject to the slope-matching issue of Fig. 12(a), descriptive label is feedforward, after the structure fa- in common with other multibit-output oversampling miliar in amplifier design [103]. In feedforward mul- modulators. They are, however, relatively robust against tistage amplifiers, an error residue (such as nonlinearity) this problem provided the analog subtractions in Fig. from one stage is sensed and amplified in a subsequent 15 occur with high accuracy,l° for then the error residue stage, and the outputs of all such stages are ultimately combined. This technique, due to Black (1925), not only engendered the now-generic term feedforward, l0 In the usual switched-capacitor realizations, this re- quirement implies high operational-amplifier open-loop gain. but even predated the landmark invention and patent Hayashi [98] has also stressed the importance of high op- (also by Black) of electrical negative feedback, erational-amplifier gain. x--

· · , Il-BIT D/AI - _ r-Z DELAY

-i- +, T _'__ _lin)T__DIFFERENTI_ _' ATOR

,_ , .+ I! I ANALOG . DIGITAL m 1

Fig. 15. A 'basic multistage-noise-shaping modulator, comprising two first-order delta-sigma modulators and feedforward quantization-'residue c6rrection [98]. ,,

18 J.AudioEng.Soc.,Vol.39,No.1/2,1991January/February PAPERS OVERSAMPLING A/D CONVERSION passed from each stage to the next accurately reflects A complete decimator in an OSADC is characterized the actual error remaining at each point. Another related by a baseband frequency response, an out-of-baseband requirement is that the integrator in the first DSM of (AA) rejection response, and the effect of the decimator Fig. 15 and the signal transfer function in the second on spectrally shaped quantization error. The first two DSM--which are implemented in analog circuitry-- are standard digital-filter metrics, while the last may must match, respectively, the gains of the differentiator be computed efficiently for each stage using g(n) and and delay, which are digital, the expression for propagation of error autocorrelation sequences (inverse Fourier transforms of the error 5 DECIMATORS FOR OSADCS spectra) peculiar to this linear-time-varying (LTV) system [70]: The element responsible for suppressing both quan- tization error and unwanted high-frequency analog in- 0_ - puts in Figs. 2, 6, and 7 is the decimator. An OSADC qbout(P) = k =_-0c qbin(pD k) decimatoris a real-timespecial-purposedigitalfilter, (32) with an input sampling rate normally in the megahertz. = This is a complex digital circuit routinely using several x _'_ g(m)g(m + k) . thousand transistors (comparable to a microprocessor), m=-= The availability of dense, low-cost MOS-VLSI tech- One of the most remarkable departures that OSADCs nologies to implement such a filter was a prerequisite have occasioned from the traditional signal-acquisition to the widespread use of oversampling in A/D conver- picture of Fig. 1 is the substantial merging of the op- sion (and in parallel, D/A conversion, which uses an erations of antialiasing, sampling, and quantization. analogous filter to manipulate rates in the opposite di- In Fig. 2, AA filtering begins in the remaining (sim- rection), plified)analog filter,samplingoccurspartially (to the A stage of decimation is equivalent to a linear time- initial high rate DFs) before quantization, and the invariant digital filter [characterized therefore by some quantization process is set up by the oversampling impulse response g(n), of length L if finite] followed modulator. The decimator consummates all three op- by a skipping of D - 1 out of every D output samples, erations simultaneously as it limits digital bandwidth in order to effect a D: 1 sampling-rate reduction [24]- and drops the sampling rate to its final value Fs. [26]. A complete decimator may contain more than one such stage. It is characteristic of finite-L (FIR) decimator stages that the costs (in operation rates and 60SADCS IN PERSPECTIVE memory) of their digital arithmetic scale according to 6.1 Comparison with "Conventional" A/D the ratio L/D, so that a filter whose order L is large by Converters analog standards (say, 4096) may nevertheless be rel- Commerce and technical literature on "A/D conver- atively simple if its decimation factor D is also large sion" have familiarized many users with practical A/ [62], [70]. The ratioL/D is also the length of the impulse D converters on the single-sample, staircase-curve response (IR), g(n), measured in output samples; or- model. Especially from the viewpoint of applications, dinarily the group delay through the stage is exactly questions are frequently asked about the relative role half this number [11]. of OSADCs in comparison with these "conventional" Many topologies for decimators are in use, informed A/D converters. by the analog modulator design, the target specifications Briefly, designers of N-bit linear conventional A/D of the OSADC, and the VLSI logic costs in imple- converters have evolved three broad implementations, menting them. The original, and simplest, OSADC each with a characteristic speed or accuracy demand decimator is an accumulator (which for 1-bit OSADCs reduces to a binary counter). This sums up D successive samples y(n) from the modulator, passes the sum to the output, and resets, g(n) in this case is rectangular, Table 1. Representativedecimator.IR shapes g(n) for an FIR with L -- D, which yields a limited low-pass frequency response for modest antialiasing and error suppression. Minimum stopband Rolloff, L More sophisticated decimators realize simple g(n) Type rejection, dB dB/oct.- D shapes, with possibly L > D, directly in their logic Rectangular -21 -6 2 [42], [58], [64], or entail a generalized decimating- Triangular -25 -12 4 filter architecture and some provision to generate explicit 4:1 piecewise quadratic (sinc3) -28 . -18 -4 g(n) coefficients [62], [70]. Table 1 compares some Hamming -44 ' -6' 4 practical g(n) forms for their stopband frequency re- Hann (cos2) -53 -18 4 sponse and for the corresponding normalized ratio L/D Blackman -74 -18 6 required [70]. For decimator designs that accommodate Typical Kaiser-Bessel -80 -6 5.0 Equiripple, _+50% dc flexible g(n) coefficients, g(n) can be numerically tolerance ' -80 Flat 1.7 optimized for various criteria. The last two lines il- Equiripple, 0.1-dB dc lustrate such optimization for the AA objective, tolerance -80 Flat 3.1

J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February 19 HAUSER PAPERS on the underlying circuitry. Counting or integrating applications are intolerant of this extra latency. More- A/D converters require little analog circuitry, but up to over not all signal-acquisition systems actually require 2 N sequential voltage comparisons per analog sample, AA filtering, as for example when the source is known which forces a wide ratio between circuitry clock rate to be band-limited. and signal sampling rate for substantial (12+ bit) A/D converter resolutions. Converters based on the nonres- 6.2 Delta-Sigma versus toring division algorithm, or "successive approxima- The delta-sigma modulation (DSM) of Inose and tion," in essence need only linear time, but entail corn- coworkers [55], [56], which underlies the 1-bit over- ponent matching or correction to the order of one part sampling topologies, emerged as a modification of delta in 2N. Parallel (flash) A/D converters are fast but char- modulation (DM), a much older invention [1], [7], [9]. acteristically use 2N identical subcircuits. Innumerable Both entail simple analog hardware and 1-bit quanti- refinements and combinations of these three basic ap- zation. Either can serve as a starting point for an proaches exist [2]-[4]. OSADC, and questions frequently arise about their Against this context, oversampling A/D converters relative roles in A/D conversion. display the following distinctive traits. By definition, DSM produces a clocked 1-bit output 1) Viewed only as quantizers, OSADCs exhibit the whose average value tracks the analog input, while basic merit of circumventing the pervasive 2N factors DM's average output tracks the derivative of the analog in conventional A/D converters. The key principle of input. DM is the 1-bit form of differential pulse-code noise shaping permits OSADCs to gain resolution faster modulation (DPCM), in turn a subset of the predictive than they trade off speed, coding techniques, which seek bit-rate efficiency in 2) OSADCs are not in fact just quantizers. They encoding analog signals by transmitting not the full address the larger task of complete signal-acquisition signals but corrections to simple models of them [9]. interface and in particular the AA filtering problem, Since DM approximates the derivative of the analog where conventional A/D converters perform quanti- input, its output must be digitally integrated, in addition zation only. Some extent of built-in AA filtering is to the decimation filtering generic to OSADCs. More- automatic with OSADCs because of the presence of over, this digital integration must accurately match an the decimating filter even if nominally designed only analog integration within the delta modulator itself. to suppress quantization noise. This introduces a circuit issue absent with DSM, and 3) The extreme decoupling between component ac- accounts in part for the limited practical success of curacy requirements and A/D converter resolution that DM (and its other differential variants) as a front end is possible in 1-bit OSADCs has made them attractive for monolithic signal acquisition. Another reason is for fabrication technologies not otherwise suited to high- that DM in essence applies the same frequency response resolution A/D conversion [62], [65]. Since the great to the signal input that it applies to the quantization majority of the integrated circuits manufactured cur- error, so that there is no discriminatory noise shaping. rently are digital MOS chips in exactly such technol- The historical relation of DSM to DM, and the abun- ogles, this opens the prospect of on-chip analog interface dant discourses about their structure (filters in the for- to a vastly increased field of digital chip designs, ward versus feedback path, and so forth) tend to obscure 4) Harris [74] has recently demonstrated that 1-bit the vital fact that the two methods are important for OSADCs can be less sensitive to random clock jitter completely different reasons. DM encodes a signal at than their sample-by-sample counterparts, reduced bit rate; DSM disposes its quantization error Conventional A/D converters, however, display three away from the signal baseband. Consequently the first distinctive capabilities not normally associated with is useful primarily as a storage or transmission format OSADCs. for analog signals, the second as a means to OSADC 5) Minimal per-sample error. An A/D converter de- implementation. scribed accurately by a staircase curve exhibits a max- imum quantization error per sample comparable to the 6.3 Origins of Oversampling A/D Conversion average error per sample. While this feature is usually OSADCs have not one history but several, owing to unnecessary in signal acquisition, it is beyond the ca- the development of their principles in diverse specialty pability of most OSADCs, whose frequency-filtered communities, working to different objectives and output quantization noise is characteristically incoherent sometimes even unaware of each other. and predictable only on an average (i.e., power) basis. 1) The Cutler patent [36], filed 1954 April, was sero- 6) Arbitrary sampling times. The sample-by-sample inal for the objective of resolution enhancement. Cutler A/D converter does not rely on the principle of a fre- systematically introduced the principle of oversampling quency domain or on periodic sampling times, with noise shaping and described generic first- and sec- 7) Minimal latency time. Any signal-acquisition ond-order versions of the noise-shaping loop in Fig. system that includes AA filtering imposes some filter 7. The objective then was not explicitly signal acqui- group delay on the signal path, and an OSADC also sition in the sense of the present paper, but rather source does so even if its filter is intended only to suppress coding (transmit the oversampled noise-shaped signal quantization noise. Group delays through an OSADC without decimation, for eventual reconstruction at the decimator may be several output-sample periods. Some receiver). Replacing Curler's analog

20 J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February PAPERS OVERSAMPLING ND CONVERSION with a digital filter would yield the OSADC of Fig. 7 perspective of digital filtering, rather than source coding (and would have been costly in the 1950s; Cutler's or A/D converter implementation. This work evolved descriptions cite vacuum-tube hardware), in isolation from the extensive development of OSADC 2) Brahm's patent (filed 1961 September) for a decimating filters per se, which perform similar func- transducer-processing system included a second-order tions. Considering the practical drawbacks described multibit noise-shaping A/D converter as in Fig. 7, with in Sec. 6.2 for delta modulators as OSADC front ends, specifics on the analog design of the loop filter H(z) the consistent use of DM as a starting point in the and the options of using pulse-width modulation for "FIR-DM" variants suggests abstract rather than VLSI- the internal A/D or D/A blocks [37]. motivated logic design. 3) Inose, Yasuda, and Murakami in the early 1960s 7) A separate motivation for oversampling arose in elaborated the 1-bit form of Cutler's noise-shaping over- integrated-circuit design, explicitly to overcome the sampling, calling this form delta-sigma modulation limitations of conventional A/D converter approaches [55], [56]. (This work introduced the now-familiar with the analog components available on chip. Candy "sigma" tag, which was more or less arbitrary, although and Wooley [43I, [44], [46] and van de Plassche [57] later readers unaware of the work of Inose et al. have were early advocates of various forms of oversampling inferred diverse meanings in it, some of them quite for this purpose. Van de Plassche's first-order 1978 fanciful.) The objective was again source coding, rather delta-sigma A/D converter in bipolar IC technology than A/D converter implementation, and Inose and co- arose as an alternative to counting-type conventional workers demonstrated first- and second-order delta- A/D converters (Sec. 6.1), and again used a simple sigma modulators sampling as fast as 40 megahertz counter for a decimator. Later development established (for digital video). These papers remain among not the now-common 1-bit noise-shaping loops in MOS only the earliest but also the best expositions on noise- switched-capacitor circuitry, also exploiting more fully shaping oversampling. They explored the AIWN anal- the principle of noise shaping [62], [65]-[68], [70]- ysis of noise-shaping loops; the use of second-order [72]. (or "double-integration") and arbitrary, including higher-order, loop transfer functions; the presence of 7 CONCLUDING REMARKS limit-cycle tones in 1-bit oversampling; and the option of multiplexing several analog modulator sections for OSADCs are a technology-driven solution to the multichannel coding. Most of these ideas have been overalltask of signal acquisition: antialiasing, sampling, rediscovered by, and popularly credited to, later authors, and quantization. OSADCs exploit the principles of 4) Distinct from transmitting an oversampled digitized oversampling for AA filtering, oversampling for res- signal directly is the idea of digitally low-pass filtering olution enhancement, noise shaping, and decimation it to yield Nyquist-rate linear A/D conversion or "PCM filtering, to perform signal acquisition efficiently with encoding." Goodman used delta modulation (DM) as the finite repertoires, tolerances, and costs of electrical the starting point, fortheexplicitobjectofA/Dconverter components in ICs. Realizing the potential of these implementation [38]. Subsequently Candy, starting with principles in real hardware entails careful interplay a multibit A/D-D/A converter combination, oversam- between signal theory and implementation technol- pled with noise shaping and digitally low-pass filtered ogy--the two cannot be separated. the result to interpolate between the A/D-D/A converter The basic low-order multibit noise-shaping loop of quantization levels [39]. These early OSADCs marked Fig. 7, for resolution enhancement through oversam- the appearance of what is now called the decimator, pling, dates to Cutler's 1954 invention. Motivations Also, consistent with its general approach to A/D con- for the many current variations on this topology in IC version in terms of information mappings rather than form include ease of implementation, tolerance of spe- implementation, the communication-theory literature cific electrical imperfections, improved oversampling has often portrayed the decimation step as a code con- payoff in bits per octave, and proprietary control (since version that transforms one particular coding of an an- the original inventions are now in the public domain). alog waveform into another [9], [12], [38], [42]. The diversity of vocabularies and implicit missions 5) Oversampling for AA filtering is one of the original evident in the overall literature relevant to OSADCs motivations for rate-changing digital filters in DSP re- reflects the interdisciplinary background of these de- search [11]. vices. In order to focus on principles, this paper has 6) Peled and Liu in 1973 advanced a simplified FIR necessarily omitted many practical details and variations digital filter structure with "analog input," building on on the basic OSADC configurations, which, however, Goodman's precedent [38] and leading to a genre of are abundantly represented among the references. related designs [104]- [109]. FIR filters with 1-bit inputs Similarly the technical cultures surveyed are a pragmatic (or coefficients) require no multibit digital multipli- subset of those contributing to research on OSADCs. cations. Peled and Liu exploited this fact by preceding This research draws peripherally but fruitfully on other an FIR filter with a delta modulator and following it specialties as well, including automatic control theory with an integrator. The resulting "FIR-DM" or "analog- and computer-aided design (CAD). input" digital filters are therefore OSADCs, although Oversampling digital-to-analog converters (OSDACs) they do not use that terminology, and arise from a also embody the same principles, but entail different

J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February 21 HAUSER PAPERS technology sensitivities owing to the exact interchange David F. Delchamps and Robert M. Gray furnished of analog and digital sections, and the fact that the additional perspectives on the technical-cultures issue. progress of sampling rates is opposite (from finite Eric Swanson, GaborC. Temes, and thereferees offered towards infinite). Thus an OSDAC follows a topology constructive suggestions on the manuscript, and Robert parallel to Fig. 2; the analog AA filter and sampler W. Adams encouraged its original preparation. become a digital (interpolating) low-pass filter (typically the major digital subsystem), the oversampling mod- 9 REFERENCES AND BIBLIOGRAPHY ulation and noise shaping occur digitally, and the de- cimator of Fig. 2 becomes an analog low-pass (recon- 9.1 General struction)filter. [1] B. A. Blesser, "Digitization of Audio: A Com- Terminological subtleties pervade this subject matter, prehensive Examination of Theory, Implementation, Just as three senses of "interpolation" arise in noise- and Current Practice," J. Audio Eng. Soc., vol. 26, shaping oversampling (Sec. 4.1), three main types of pp. 739-771 (1978 Oct.). oscillation also occur there: the normal oscillation of coarse quantization around a finer value (Sec. 3.5), 9.2 On Conventional A/D Converter Topologies undesired limit-cycle tones (Sec. 4.2.7), and with higher and the Circuit-Designer Perspective order feedback noise shaping, the possibility of AC [2] B. M. Gordon, "Linear Electronic Analog/Digital loop instability (Sec. 4.3). The distinction can be dif- Conversion Architectures, Their Origins, Parameters, ficult to express succinctly. Also, by definition "delta- Limitations, and Applications," IEEE Trans. Circuits sigma" means specifically a 1-bit encoding with over- and Systems, vol. CAS-25, pp. 391-418 (1978 July); sampling [55], [56], even though some recent titles in reprinted in Dooley, Ed., Data Conversion Integrated the literature explicitly specify "l-bit" delta-sigma Circuits (IEEE Press, New York, 1980). and also "oversampling" delta-sigma converters. Other [3] D. H. Sheingold, Ed., Analog-Digital Conver- recent authors denote by "noise shaper" a very specific sion Handbook, 3rd ed. (Prentice-Hall, Englewood subset of the gamut of topologies yielding shaped noise Cliffs, NJ, 1986), chap. 7. spectra. "Nyquist" as a frequency has two conflicting [4] A. B. Grebene, Bipolar and MOS Analog !nte- meanings, as does "oversampling factor." Circuit design grated Circuit Design. (Wiley, New York, 1984), chap. and signal theory sometimes employ different customary 15. terms for the same object. Let the reader beware. Evident in the contemporary implementation of mass- 9.3 On Basic Quantization Theory and the produced A/D and D/A converters is a broadening trend Communications Perspective away from the conventional sample-by-sample staircase- [5] C. E. Shannon, "Communication in the Presence curve model. Dithering, oversampling, and noise of Noise," Proc. IRE, vol. 37, pp. 10-21 (1949 Jan.). shaping (separate principles, though often combined) [6] J. B. O'Neal, Jr., "A Bound on Signal-to-Quan- can lead to linear data converters with quantization tizing Noise Ratios for Digital Encoding Systems," error:in forms fundamentally different from the static Proc. IEEE, vol. 55, pp. 287-292 (1967 Mar.); re- staircase nonlinearity, to the manipulation of quanti- printed in N. S. Jayant, Ed., Waveform Quantization zation error in the frequency domain, and to the overlap and Coding (IEEE Press, New York, 1976). of quantization and filtering in hardware. A/D and D/A [7] A. Gersho, "Principles of Quantization," IEEE converter circuits with such attributes might usefully Trans. Circuits and Systems, vol. CAS-25, pp. 427- be labeled modern, to distinguish them from the more 436 (1978 July). familiar Or classical converters (and following analo- [8] L. R. Rabiner and R. W. Schafer, Digital Pro- gous splits elsewhere in electrical engineering: spectrum cessing of Speech Signals. (Prentice-Hall, Englewood estimation, control theory). Certainly, systems with Cliffs, NJ, 1978), chap. 5. all of these characteristics have been seen for many [9] N. S. Jayant and P. Noll, Digital Coding of years, but until recently they were built around corn- Waveforms. (Prentice-Hall, Englewood Cliffs, NJ, ponent data'converters on the classical model, with 1984). whatevermixtureofimplementationtechnologiesserved [10] G. Gabor and Z. Gy6rfi, Recursive Source the system.. It is the close orchestration of signal theory Coding. (Springer, Berlin, 1986). with IC-fabrication realities (and in consequence, the [11] A. V. Oppenheim and R. W. Schafer, Discrete- vastly reduced manufacturing cost for complete signal Time Signal Processing. (Prentice-Hall, Englewood acquisition) that distinguishes these modern data-con- Cliffs, NJ, 1989). verter circuits. [12] R. M. Gray, "Quantization Noise Spectra," IEEE Trans. Inf. Theory, vol. 36, pp. 1220-1244 (1990 8 ACKNOWLEDGMENT Nov.).

The author gratefully acknowledges the assistance 9.4 On Continuous-Time Filter Design Issues of the following individuals. James C. Candy recalled and Fabrication Issues the early and largely unpublished history of oversam- [13] G. C. Temes and J. W. LaPatra, Introduction pling coders at the former Bell Telephone Laboratories. to Circuit Synthesis and Design. (McGraw-Hill, New

22 J.AudioEng.Soc.Vo, l.39,No.1/2,1991January/February PAPERS OVERSAMPLING A/D CONVERSION

York, 1977). [28] L. Schuchman,"DitherSignalsandTheirEffect [14] M. S. Ghausi and K. R. Laker, Modern Filter on Quantization Noise,"lEEE Trans. Comm. Technol., Design. (Prentice-Hall, Englewood Cliffs, NJ, 1981). vol. COM-12, pp. 162-165 (1964 Dec.); reprinted in [15] R. W. Brodersen, P. R. Gray, and D. A. Hodges, N.S. Jayant, Ed., Waveform Quantization and Coding. "MOS Switched-Capacitor Filters," Proc. IEEE, vol. (IEEE Press, New York, 1976). 67, pp. 61-75 (1979 Jan.). [29] G. A. Korn and T. M. Korn, ElectronicAnalog [16] W. Worobey and J. Rutkiewicz, "Tantalum and Hybrid Computers, 2nd ed.'(McGraw-Hill, New_ Thin-Film RC Circuit Technology for a Universal Active York, 1972), pp. 144-148. Filter," IEEE Trans. Parts, Hybrids and Packaging, [30] N. S. Jayant and P. Noll [9], pp. 164-175. vol. PHP-12, pp. 276-282 (1976 Dec.). [31] J. Vanderkooy and S. P. Lipshitz, "Resolution [17] H.-W. Renz et al., "RC-Active Filters in Single- below the Least Significant Bit in Digital Systems with Layer Tantalum RC-Film Technology," Proc. IEEE, Dither," J. Audio Eng. Soc., vol. 32, pp. 106-113 vol. 67, pp. 37-42 (1979 Jan.). (1984 Mar.); corrections, ibid., vol. 32, p. 889 (1984 [18] W. Saraga, D. G. Haigh, and R. G. Barker, Nov.). "Microelectronic Active-RC Channel Bandpass Filters [32] B. A. Blesser and B. N. Locanthi, "The Ap- in the Frequency Range 60- 108 kHz for FDM SSB plication of Narrow-Band Dither Operating at the Telephone Systems,"lEEE Trans. Circuits and Systems, in Digital Systems to Provide Im- vol. CAS-25, no. 12, pp. 1022-1031 (1978 Dec.). proved Signal-to-Noise Ratio over Conventional Dith- [19] K.-S. Tan and P. R. Gray, "Fully Integrated ering," J. Audio Eng. Soc., vol. 35, pp. 446-454 (1987 Analog Filters Using Bipolar-JFET Technology," IEEE June). J. Solid-State Circuits, vol. SC-13, no. 6, pp. 814- [33] J. R. Goodwin, "Criteria for Synthesizing Nar- 821 (1978 Dec.). rowband Digital Dither at Nyquist," presented at the [20] M. Banu and Y. Tsividis, "Fully Integrated 89th Convention of the Audio Engineering Society, J. Active RC Filters in MOS Technology," IEEE J. Solid- Audio Eng. Soc. (Abstracts), vol. 38, p. 871 (1990 State Circuits, vol. SC-18, no. 6, pp. 644-651 (1983 Nov.), preprint 2961. Dec.). [21] Y. Tsividis, M. Banu, and J. Khoury, "Con- 9.7 On Physical Noise Sources tinuous-Time MOSFET-C Filters in VLSI," IEEE J. [34] P. R. Gray and R. G. Meyer, Analysis and De- Solid-State Circuits, vol. SC-21, no. 1, pp. 15-30 sign of Analog Integrated Circuits, 2nd ed. (Wiley, (1986Feb.). NewYork,1984),chap. 11. [22] U. Gatti, F. Maloberti, and G. Torelli, "A Novel [35] A. van der Ziel, Noise in Solid State Devices CMOS Linear Transconductance Cell .for Continuous- and Circuits. (Wiley, New York, 1986). Time Filters," Proc. 1990 IEEE Int. Symp. on Circuits and Systems (1990 May), pp. 1173-1176. 9.8 On General, Multibit, and "Interpolative" [23] M. W. Hauser, "Large-Signal Electronically Oversampling Data Conversion Variable Gain Techniques," chap. 5, Master's thesis, [36] C. C. Cutler, "Transmission Systems Employing Massachusetts Institute of Technology, Cambridge, MA Quantization," U.S. patent 2,927,962 (1960 Mar. 8). (1981). [37] C. B. Brahm,"FeedbackIntegratingSystem,' Some of the foregoing [15-19] are also reprinted in U.S. patent 3,192,371 (1965 June 29). _". Schaumann et al., Eds., Modern Active Filter Design, [38] D. J. Goodman, "The Application of Delta IEEE Press (1981). Modulation to Analog-to-PCM Encoding," Bell Sys. Tech. d., vol. 48, pp. 321-343 (1969 Feb.). 9.5 On General Decimator Theory [39] J. C. Candy, "A Use of Limit Cycle Oscillations [24] A. Peled and B. Liu, Digital Signal Processing. to Obtain Robust Analog-to-Digital Converters," IEEE (Wiley, New York, 1976), chap. 2. Trans. Comm., vol. COM-22, pp. 298-305 (1974' [25] R. E. Crochiere and L. R. Rabiner, "Multirate Mar.). Processing of Digital Signals," in J. S. Lim and A.V. [40] G. R. Ritchie, J. C. Candy, and W. H. Ninke, Oppenheim, Ed., Advanced Topics in Signal Process- "Interpolative Digital-to-Analog Converters," IEEE ing. (Prentice-Hall, Englewood Cliffs, NJ, 1988), chap, Trans. Comm., vol. COM-22, pp. 1797-1806 (1974 3. Dec.). [26] R. E. Crochiere and L. R. Rabiner, Multirate [41] J. C. Candy, W. H. Ninke, and B. A. Wooley, Digital SignalProcessing. (Prentice-Hall, Englewood "A Per-Channel A/D Converter Having 15-Segment Cliffs, NJ, 1983). tx-255 Companding," IEEE Trans. Comm., vol. COM- 24, pp. 33-42 (1976 Jan.). 9.6 On Dither in Conventional Data Conversion [42] J. C. Candy, Y. C. Ching, and D. S. Alexander, [27] L. G. Roberts, "Picture Coding Using Pseudo- "Using Triangularly Weighted Interpolation to Get 13- Random Noise," IRE Trans. Inf. Theory, vol. IT-8, bit PCM from a Sigma-Delta Modulator," IEEE Trans. pp. 145-154 (1962 Feb.); reprinted in N. S. Jayant, Comm., vol. COM-24, pp. 1268-1275 (1976 Nov.). Ed., Waveform Quantization and Coding. (IEEE Press, [43] B. A. Wooley and J. L. Henry, "An Integrated New York, 1976). Per-Channel PCM Encoder Based on Interpolation,"

J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February 23 HAUSER PAPERS

IEEE J. Solid-State Circuits, vol. SC-14, pp. 14-20 IEEE J. Solid-State Circuits, vol. SC-14, pp. 25-37 (1979Feb.). (1979Feb.). [44] B.A. Wooleyet al. , "An Integrated Interpolative [59] T. Misawa et al., "Single-Chip Per Channel PCM Decoder," IEEE J. Solid-State Circuits, vol. SC- Codec with Filters Utilizing A-X Modulation," IEEE 14, pp. 20-25 (1979 Feb.). J. Solid-State Circuits, vol. SC-16, pp. 333-341 (1981 [45] H. Kuwahara et al., "An Interpolative PCM Aug.). Codec with Multiplexed Digital Filters," IEEE J. Sol- [60] J. R. Fox and G. J. Garrison, "Analog to Digital id-State Circuits, vol. SC-15, pp. 1014-1021 (1980 Conversion Using Sigma-Delta Modulation and Digital Dec.). Signal Processing," in Proc 1982 MIT Conf. on Ad- [46] J. C. Candy, B. A. Wooley, and O. J. Benjamin, vanced Research in VLSI (1982 Jan.), pp. 101-112. "A Voiceband Codec with Digital Filtering," IEEE [61] B. P. Agrawal and K. Shenoi, "Design Meth- Trans. Comm., vol. COM-29, pp. 815-830 (1981 odology for XAM," IEEE Trans. Comm., vol. COM- June). 31,pp. 360-370(1983Mar.). [47] R. J. van de Plassche, "Is there Life Beyond [62] M. W. Hauser, P. J. Hurst, and R. W. Brodersen, 14-bits in A/D and D/A Conversion?," in 1982 European "MOS ADC-Filter Combination That Does Not Require Solid-State Circuits Conf. Dig. of Tech. Papers (1982 Precision Analog Components," in 1985 IEEE Int. Sept.), pp. 49-64. Solid-State Circuits Conf. Dig. of Tech. Papers (1985 [48] K. Yamakido et al., "A Voiceband 15b Inter- Feb.), pp. 80-81; reprinted in Gray et al., Eds., Analog polative Converter Chip Set," in 1986 IEEE Int. Solid- MOS Integrated Circuits, H. (IEEE Press, New York, State Circuits Conf. Dig. of Tech. Papers (1986 Feb.), 1989). pp. 180-181. [63] J. C. Candy, "A Useof DoubleIntegrationin [49] L. R. Carley, "An Oversampling Analog-to- Sigma Delta Modulation," IEEE Trans. Comm., vol. Digital Converter Topology for High Resolution Signal COM-33, pp. 249-258 (1985 Mar.). Acquisition Systems," IEEE Trans. Circuits and Sys- [64] J. C. Candy, "Decimation for Sigma Delta terns, vol. 34, pp. 83-91 (1987 Jan.). Modulation," IEEE Trans. Comm., vol. COM-34, pp. [501 Y. Shoji and T. Suzuki, "Improved Double In- 72-76 (1986 Jan.). tegration Delta-Sigma Modulators for A to D and D [65] M. W. Hauser and R. W. Brodersen, "Circuit to A Conversion," Proc. 1987 IEEE Int. Symp. on and Technology Considerations for MOS Delta-Sigma Circuits and Systems (1987 May), pp. 451-454. A/D Converters," in Proc. 1986 IEEE Int. Symp. on [51] L. E. Larson, T. Cataltepe, and G. C. Temes, Circuits andSystems (1986 May), pp. 1310-1315. "MultibitOversampled_-AA/DConvertorwithDigital [66] A. Yukawa et al., "An Oversampling ADC Error Correction," Electron. Lett., vol. 24, pp. 1051- Macrocell with Rail-to-Rail Input Voltage Capability," 1052 (1988 Aug. 4). in 1987 IEEE Int. Solid-State Circuits Conf. Dig. of [52] T. Cataltepe et al., "Digitally Corrected Multi- Tech. Papers (1987 Feb.) , pp. 46-47, 334. Bit X A Data Converters," Proc. 1989 IEEE Int. Symp. [67] B. H. Leung et al., "A Four-Channel CMOS on Circuits and Systems (1989), pp. 647- 650. Oversampled PCM Voiceband Coder," 1988 IEEE Int. [53] R. H. Walden, T. Cataltepe, and G. C. Temes, Solid-State Circuits Conf. Dig. of Tech. Papers (1988 "Architectures for High-Order Multibit _A Modula- Feb.), pp. 106-107. tors," Proc. 1990 IEEE Int. Symp. on Circuits and [68] B. E. Boser and B. A. Wooley, "Design of a Systems (1990 May), pp. 895- 898. CMOS Second-Order Sigma-Delta Modulator," in 1988 [54] M. W. Hauser, "Overview of Oversampling IEEE Int. Solid-State Circuits Conf. Dig. of Tech. Pa- A/D Conversion," Presented at the 89th Convention pers (1988 Feb.), pp. 258-259. of the Audio Engineering Society, J. Audio Eng. Soc. [69] P. J. A. Naus and E. C. Dijkmans, "Low Signal (Abstracts), vol. 38, p. 871 (1990 Nov.), preprint Level Distortion in Sigma-Delta Modulators," pre- 2973. sentedat the 84thConventionof the AudioEngineering Society, J. Audio Eng. Soc. (Abstracts), vol. 36, p. 9.9 On 1-bit Oversampling A/D Conversion 382 (1988 May), preprint 2584. [55] H. Inose, Y. Yasuda, and J. Murakami, "A [70] M. W. Hauser andR. W. Brodersen,"Monolithic Telemetering System by Code Modulation--A-[ Decimation Filtering for Custom Delta-Sigma A/D Modulation," IRE Trans. Space Electron. Telem. , vol. Converters," in Proc. 1988 IEEE Int. Conf. on Acous- SET-8, pp. 204-209 (1962 Sept.); reprinted in N.S. tics, Speech and Signal Processing (1988 Apr.), pp. Jayant, Ed., Waveform Quantization and Coding (IEEE 2005-2008. Press, New York, 1976). [71] S. R. Norsworthy, I. G. Post, and H. S. Fet- [56] H. Inose and Y. Yasuda, "A Unity Bit Coding terman, "A 14-bit 80-kHz Sigma-Delta A/D Converter: Method by Negative Feedback," Proc. IEEE, vol. 51, Modeling, Design, and Performance Evaluation," IEEE pp. 1524-1535 (1963 Nov.). J. Solid-State Circuits, vol. 24, pp. 256-266 (1989 [57] R. J. van de Plassche, "A Sigma-Delta Mod- Apr.). ulator as an A/D Converter," IEEE Trans. Circuits and [72] C. D. Thompson, "A VLSI Sigma Delta A/D Systems, vol. CAS-25, pp. 510-514 (1978 July). Converter for Audio and Signal Processing Applica- [58] J. D. Everard, "A Single-Channel PCM Codec," tions," in Proc. 1989 IEEE Int. Conf. on Acoustics,

24 J.AudioEng.Soc.,Vol.39,No.1/2,1991January/February PAPERS OVERSAMPLING ND CONVERSION

Speech and Signal Processing (1989 May), pp. 2569- Thompson, "VLSI Architectures for Digital Audio 2572. SignalProcessing,"presentedat theAudioEngineering [73] M. W. Hauser, "Technology Scaling and Per- Society 7th International Conference (1989 May). formance Limitations in Delta-Sigma Analog-Digital [89] E. C. Dijkmans and P. J. A. Naus, "The Next Converters," in Proc. 1990 IEEE Int. Syrup. on Circuits Step Towards Ideal A/D and D/A Converters," presented and Systems (1990 May), pp. 356-359. at the Audio Engineering Society 7th International [74] S. Harris, "The Effects of Sampling Clock Jitter Conference (1989 May). on Nyquist Sampling Analog-to-Digital Converters, [90] D. R. Welland, P. D. del Signore, E. J. Swan- and on Oversampling Delta-Sigma ADCs," J. Audio son, T. Tanaka, K. Haruashita, S. Hara, and K. Taka- Eng. Soc. (Engineering Reports), vol. 38, pp. 537- suka, "A Stereo 16-bit Delta-Sigma A/D Converter 542 (1990 July/Aug.). for Digital Audio," J. Audio Eng. Soc. (Engineering [75] M. W. Hauser, unpublished historical memo- Reports), vol. 37, pp. 476-486 (1989 June). randa on delta- sigma modulation (1990). [91] K. C.-H. Chao et al., "A Higher Order Topology [76] J. C. Candy, private communication (1990 for Interpolative Modulators for Oversampling A/D Aug.). Converters," IEEE Trans. Circuits and Systems, vol. 37, pp. 309-318 (1990 Mar.). 9.10 On Higher Order Noise-Shaping Feedback [92] A. Ganesan. Private communication (1990 Loops Mar.). [77] H. A. Spang and P. M. Schultheiss, "Reduction [93] P. F. Ferguson, Jr., A. Ganesan, and R. W. of Quantizing Noise by Use of Feedback," IRE Trans. Adams, "One Bit Higher Order Sigma-Delta A/D Comm. Sys., vol. CS-10, pp. 373-380 (1962 Dec.); Converters," Proc. 1990 IEEE Int. Symp. on Circuits reprinted in N. S. Jayant, Ed., Waveform Quantization and Systems (1990 May), pp. 890-893. and Coding. (IEEE Press, New York, 1976). [94] C. D. Thompson et al., "A Monolithic 50 KHz [78] D. G. Messerschmitt, unpublished memorandum 16-Bit A/D-D/A Converter Using Sigma-Delta Mod- (1972). ulation," Proc. 1990 IEEE Int. Symp. on Circuits and [79] R. W. Adams, "Companded Predictive Delta Systems (1990 May), pp. 906-909. Modulation: A Low-Cost Conversion Technique for [95] T. Ritoniemi, T. Karema, and H. Tenhunen, Digital Recording," J. Audio Eng. Soc., vol. 32, pp. "Design of Stable High Order 1-Bit Sigma-Delta 659-672 (1984 Sept.). Modulators," Proc. 1990 IEEE Int. Symp. on Circuits [80] R. W. Harris, "Enhanced Delta Modulation and Systems (1990 May), pp. 3267-3270. Encoder," U. S. patent 4,509,037 (1985 Apr. 2). [96] R. W. Adams, "An IC Chip Set for 20-bit A/D [81 ] R. W. Adams, "Design and Implementation of Conversion," J. Audio Eng. Soc. (Engineering Reports), an Audio 18-bit Analog-to-Digital Converter Using vol. 38, pp. 440-458 (1990 June). Oversampling Techniques," J. Audio Eng. Soc., vol. [97] R. W. Adams, Paul F. Ferguson, Jr., and A. 34, pp. 153-166 (1986 Mar.). Ganesan, "Design of Single-Bit Noise-Shaping Loops [82] W. L. Lee, "A Novel Higher Order Interpolative with Higher-Order Loop Filters," presented at the 89th Modulator Topology for High Resolution Oversampling Convention of the Audio Engineering Society, J. Audio A/D Converters," Master's thesis, Massachusetts In- Eng. Soc. (Abstracts), vol. 38, pp. 871-872 (1990 stitute of Technology, Cambridge, MA (1987). Nov.). [83] W. L. Lee and C. G. Sodini, "A Topology for Higher Order Interpolative Coders," in Proc. 1987IEEE 9,11 On Multistage or MASH Oversampling A/D Int. Symp. on Circuits and Systems (1987 May), pp. Conversion 459-462. [98] T. Hayashiet al., "A MultistageDelta-Sigma [84] K. Matsumoto et al., "An 18b Oversampling Modulator without Double Integration Loop," in 1986 A/D Converter for Digital Audio," in 1988 IEEE Int. IEEE Int. Solid-State Circuits Conf. Dig. of Tech. Pa- Solid-State Circuits Conf. Dig. of Tech. Papers (1988 pers (1986 Feb.), pp. 182-183. Feb.), pp. 202-203, 372-374. [99] K. Uchimura et al., "VLSI A to D and D to A [85] E. Stikvoort, "Higher Order One-Bit Coder for Converters with Multi-Stage Noise Shaping Modula- Audio Applications," presented at the 84th Convention tors," Proc. 1986 IEEE Int. Conf. on Acoustics, Speech of the Audio Engineering Society, J. Audio Eng. Soc. and Signal Processing (1986 Apr.), pp. 1545-1548. (Abstracts), vol. 36, p. 382 (1988 May), preprint 2583. [100] Y. Matsuya et al., "A 16b Oversampling [86] C. Wolff and L. R. Carley, "Modeling the A/D Conversion Technology Using Triple Integration Quantizer in Higher-Order Delta-Sigma Modulators," Noise Shaping," in 1987 IEEE Int. Solid-State Circuits inProc. 19881EEEInt. Symp. onCircuitsandSystems Conf. Dig. of Tech. Papers (1987 Feb.), pp. 48-49. (1988 June), pp. 2335-2339. [101] Y. Matsuya et al., "A 16-bit Oversampling [87] E. F. Stikvoort, "Some Remarks on the Stability A-to-D Conversion Technology Using Triple-Integra- and Performance of the Noise Shaper or Sigma-Delta tion Noise Shaping," IEEE J. Solid-State Circuits, vol. Modulator," IEEE Trans. Comm., vol. 36, pp. 1157- SC-22, pp. 921-929 (1987 Dec.). 1162 (1988 Oct.). [102] T. Karema, T. Ritoniemi, and H. Tenhunen. [88] K. L. Kloker, B. L. Lindsley, and C.D. "An Oversampled Sigma-Delta A/D Converter Circuit

J. Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February 25 HAUSER PAPERS

using Two-Stage Fourth-Order Modulator," Proc. 1990 [106] J. S. Sunwoo and C. K. Un, "Performance IEEE Int. Symp. on Circuits and Systems (1990 May), Analysis of FIR ADM Digital Filters," IEEE Trans. pp. 3279-3282. Comm., vol. COM-31, pp. 577-583 (1983 Apr.). [103] H. S. Black. "Translating Systems," U.S. [107] T. Saramfiki and K.-P. Estola, "Design of patent 1,686,792 (1928 Oct. 9). Linear-Phase Partly Digital Anti- Filters," in Proc. 1985 IEEE Int. Conf. on Acoustics, Speech and 9.12 On FIR Digital Filters with "Analog Input" Signal Processing (1985 Apr.), pp. 65-68. [104] A. Peled and B. Liu, "A New Approach to [108] D. Zrilic, A. Mavretic, and M. Freedman, the Realization of Nonrecursive Digital Filters," IEEE "Arithmetic Ternary Operations on Delta-Modulated Trans. Audio Electroacoust., vol. AU-21, pp. 477- Signals and Their Application in the Realization of 484 (1973 Dec.); reprinted in Digital Signal Processing, Digital Filters," IEEE Trans. Acoustics, Speech, Signal H. (IEEE Press, New York, 1976). Process., vol. ASSP-33, pp. 760-764 (1985 June). ['105] J. C. Lee and C. K. Un, "On FIR Delta Mod- [109] Y. C. Kye, H. J. Cho, and C. K. Un, "On ulation Digital Filters," IEEE Trans. Acoustics, Speech, Improving the Performance of an FIR ADM Digital SignalProcess., vol. ASSP-29, pp. 1194-1201 (1981 Filter," IEEE Trans. Comm., vol. COM-33, pp. 739- Dec.). 742(1985July).

THE AUTHOR

Max W. Hauser was born in Berkeley, CA, in t 956. 1988-90, Mr. Hauser taught analog IC design at Cornell He has designed solid-state circuits industrially since University, and he is currently working as a consulting 1971 and written about them since 1973. He holds engineer. degrees in electrical engineering from the University In monolithic data conversion, he was an early pro- of California at Berkeley and the Massachusetts Institute ponent of one-bit oversampling for the specific benefits of Technology. He has worked as an engineer at Tek- of unconstrained resolution and digital technology tronix, the Lincoln Laboratory, Hewlett-Packard Lab- compatibility, demonstrating in 1984 fully integrated oratories, and the Signetics Corporation, on analog IC signal-acquisition systems in unmodified digital MOS design, digital signal processing, and spread-spectrum processes. communications. One patent has been awarded and He is a member of the Audio Engineering Society others are pending from these industrial activities. In and the Institute of Electrical and Electronics Engineers.

26 J.Audio Eng. Soc., Vol. 39, No. 1/2, 1991 January/February