<<

FOCUS | COMMENTARY Memory on the racetrack Stuart Parkin and See-Hun Yang Racetrack memory stores digital in the magnetic domain walls of nanowires. This technology promises to yield information storage devices with high reliability, performance and capacity.

agnetic hard disk drives have been the prime repository of digital data a Write head Mfor more than half a century. Just over ten years ago the storage capacity of all Read head the world’s magnetic disk drives surpassed that of analogue devices, Track media thereby making possible the world of digital data. The technology of the magnetic Hard disk is two-dimensional (2D); digital data are stored as the magnetization direction of tiny regions on a magnetic thin MTJ film that covers the surface of a glass disk. These regions are read and written by a mechanical device — the recording head — CMOS that sits just a few nanometres above the surface of the disk. Over the past 50 years, the area of one magnetic bit has decreased STT-MRAM in size by about around nine orders of magnitude; it is now so tiny that the technologies needed to find, read and write bits are reaching fundamental limits that are difficult or too expensive to overcome. Today, improvements in the storage capacity b of the magnetic disk have slowed to a crawl. DW injectors A number of alternatives to the magnetic disk drive have been suggested. Among them, racetrack memory1,2 — proposed ten years ago — seems close to realization, given recent advances in fundamental physics and materials science related to this technology.

Solid-state spintronic memories In contrast to the magnetic disk drive, racetrack memory is an entirely solid-state device that has no moving parts. It can also be developed as a 3D device, unlike the majority of existing or proposed storage technologies (Fig. 1). Magnetic random Sensors access memory (MRAM)3,4 is an emerging memory technology that, like racetrack 3D racetrack memory memory, is a spintronic device; that is, its operation is based on the creation and manipulation of -polarized currents. The biggest commercial success of so far has been the development Figure 1 | Three magnetic memory and storage devices. a, 2D technologies. Upper panel: The recording of extraordinarily sensitive detectors of head of a magnetic hard disk drive is moved mechanically across the surface of the disk. Lower panel: small magnetic fields capable of operating MRAM, showing an MTJ memory element and a transistor access device. Dark blue regions represent at room temperature and above4. These the source and drain; pink regions represent the gate. Yellow and green regions are the bit lines; dark magnetoresistive sensors — known as spin yellow regions are the gate control lines. STT, spin-transfer torque; CMOS, complementary metal–oxide– valves — consist of two ultrathin magnetic semiconductor. b, 3D technology: Racetrack Memory 4.0. Red and blue regions represent areas that are electrodes separated by a spacer layer; oppositely magnetized.

NATURE NANOTECHNOLOGY | VOL 10 | MARCH 2015 | www.nature.com/naturenanotechnology 195

© 2015 Macmillan Publishers Limited. All rights reserved COMMENTARY | FOCUS

current flowing through the device is spin- materials, the magnetic easy axis must be polarized due to spin-dependent diffusive v oriented perpendicular to the plane of the scattering and spin-dependent quantum a nanowire. This can be accomplished in four mechanical tunnelling in the case of major classes of materials: (1) materials with metallic or insulating spacers, respectively. bulk magnetocrystalline anisotropy; (2) v For insulating spacer layers, these devices Jc magnetic glasses with atomic pair ordering; are often referred to as magnetic tunnel (3) magnetoelastic materials; and (4) thin junctions (MTJs). These sensors enabled the b layers or atomically engineered multilayers most recent improvements in the storage with interface anisotropies. The latter class capacity of magnetic disk drives by making Jc v has so far proven to be the most interesting. it possible to shrink the magnetic bit area by In the subsequent development of the device a factor of 1,000 (ref. 4). concept — Racetrack Memory 2.0 — the Magnetic tunnel junctions can also be c current-induced motion of several DWs used as non-volatile magnetic memory via a spin-transfer torque was 12,13 cells in MRAMs, as originally proposed v demonstrated in Co/Ni superlattices . The J in 19955; a first device demonstration in c DWs in such a device are much narrower 19993 showed the potential of MRAM as a than those found in permalloy, yet they high-performance, non-. d move in roughly the same direction and at Today, all the world’s major memory the same speed. manufacturers invest in the development An important fundamental finding of MRAM as a replacement for embedded was the discovery, in 2011, that single dynamic RAM, or as standalone memory Jc DWs move much faster (and in the that could replace dynamic or static RAM. direction of current) in ultrathin layers These widely used technologies become Figure 2 | Evolution of racetrack memory. of cobalt deposited on a platinum layer14. increasingly difficult to scale down to device a, Racetrack Memory 1.0, with in-plane The mechanism15,16 by which the current sizes below 10 nm. The information stored magnetized racetracks. b, Racetrack Memory 2.0, moves the DWs has turned out to be in an MTJ is electrically read with perpendicularly magnetized racetracks. much more complex and interesting via its tunnelling magnetoresistance, which Conventional volume spin-transfer torque moves than initially thought. The mechanism can be very large in certain MTJs6,7. This DWs in the direction of electron flow ina and b. involves four distinct phenomena, each information can be electrically written by c, Racetrack Memory 3.0: chiral spin torque drives derived from spin–orbit coupling. These the transfer of spin angular momentum DWs at high velocities along the current direction. phenomena are illustrated in Fig. 3. First, from current that is passed through Note that substantial fringing fields near DWs the perpendicular magnetic anisotropy the device8. A major challenge in the form in a–c (shadings). d, Racetrack Memory 4.0: exhibited by racetracks formed from single realization of high-data-capacity MRAM a giant exchange coupling torque drives DWs magnetic layers (such as cobalt14 or CoFeB is the development of an MTJ memory cell in SAF racetracks at extremely high velocities. (ref. 17)) or Co/Ni/Co sandwiches15,16,18 is that can be written by the tiny currents Jc, current through the device; v, DW velocity. derived from strong spin–orbit coupling provided by minimum-sized transistors, Red and blue regions represent areas that are at the interfaces between these layers and yet at the same time is sufficiently stable oppositely magnetized. underlying heavy metal layers. Second, a against thermal fluctuations such that the critical contribution is the Dzyaloshinsky– magnetic moments within the MTJ retain Moriya interaction (DMI) derived their directions for ten years or more. lost to the lattice through damping. The from interfaces between the magnetic This major obstacle can be overcome in spin-dependent scattering of the conduction layers and heavy metal layers, including racetrack memory. electrons within the bulk of the magnetic platinum15,16,18, palladium16, iridium16, layer determines the spin polarization of tungsten19 and possibly tantalum20,21. Four major steps the current. Given that the spin polarization The DMI is an exchange interaction that The basic structure of racetrack memory is of the current in permalloy is about 70%11, favours perpendicular orientation of illustrated in Fig. 1. Digital data are stored the DWs can achieve velocities of around neighbouring moments across the DW22 in a series of magnetic domain walls (DWs) 100 m s–1 for current densities in the region and thus causes the DWs to exhibit a chiral in nanowires that are arranged in a 3D array. of 108 A cm–2. Furthermore, the DWs move Néel-type structure19. Third, the strength The operation of racetrack memory relies on in the direction of electron flow (that is, of the DMI is found to be correlated with the fact that the DWs can be moved along opposite to the direction of the current)10. the magnitude of a proximity-induced the nanowires by passing a current through The width of a DW in a soft material is magnetic moment within the heavy metal the wire. The current transfers spin angular determined by the shape anisotropy of the layers at their interfaces with the magnetic momentum from the conduction electrons wire and thus varies approximately with the layers16. Fourth, a spin current is generated to the magnetic moments within the DWs, wire width, which means that the DWs are within the heavy metal layers by spin–orbit which displaces them. This principle was rather wide. Moreover, the DWs are very scattering of the conduction electrons first demonstrated in permalloy nanowires, flexible and can readily expand to many through the spin Hall effect23,24. A pure which are magnetically soft (that is, times their equilibrium size under torques spin current without any charge current without significant magnetic anisotropy)9. provided by the current. For these reasons, flows in a direction perpendicular to the We may call this implementation the use of soft magnetic materials is not charge current towards each surface of the Racetrack Memory 1.0 (Fig. 2). Surprisingly, ideal. Much narrower and more robust DWs heavy metal layer, where the direction of the transfer of angular momentum from are found in materials that exhibit significant the spin is perpendicular to both the charge the current to the DWs is almost perfect10, magnetic anisotropy, such as Co/Ni and spin currents (Fig. 3d). The spin Hall and very little spin angular momentum is superlattices. In technologically relevant effect is the second chiral phenomenon,

196 NATURE NANOTECHNOLOGY | VOL 10 | MARCH 2015 | www.nature.com/naturenanotechnology

© 2015 Macmillan Publishers Limited. All rights reserved FOCUS | COMMENTARY

besides the DMI, that contributes to a and cost with magnetic disk drives, but with the mechanism of current-induced DW much smaller physical device dimensions motion. The magnitude of the conversion of M and no obvious wear-out or failure charge current to spin current is a subject of mechanism. To achieve this goal, each considerable debate. SAF racetrack must store about 100 DWs, These four phenomena together reaching a density around 100 times allow DWs to be moved by a chiral spin higher than that of MRAM. There are torque at much higher velocities (for the b many outstanding challenges, particularly same ) than is possible related to the fabrication of 3D racetracks from volume spin torques. The direction and the integration of reading and writing of motion is determined by the subtle devices. One way to fabricate 3D racetracks interplay of these phenomena15. Velocities is illustrated in Fig. 1b: the racetracks are –1 of around 350 m s for current densities c deposited by, for example, atomic layer of 108 A cm–2 have been measured14,15 — deposition, on the patterned side-walls of this is Racetrack Memory 3.0. The spin deep trenches. This is possible because the current that is generated in the heavy FM layers forming the racetracks are just a few metal layer diffuses into the neighbouring atomic layers thick. NM magnetic layer and causes the moments  within each DW to rotate towards the spin Beyond Racetrack Memory 4.0 direction, which is transverse to the length The 3D implementation of racetrack d J of the racetrack. The DMI exchange field  c memory has several interesting properties. then provides a torque on these rotated First, it makes racetrack memory J moments that causes all the DWs to move JS e dynamically reconfigurable in terms of the in the same direction along the racetrack, trade-off between performance and data as they all have the same chirality. The density. By ensuring that the number of direction of motion and DW velocity e stored DWs per racetrack is smaller than depend, in particular, on the magnitude τex the maximum, the access time to DWs and sign of the chiral effects, spin Hall can be reduced proportionally. Thus, one effect and DMI. These can be tuned τex can choose to have memory that is either independently by varying the thickness of τex faster but less dense, or slower but denser, the heavy metal layers and the composition with the same technology and on the  τex of the ferromagnetic layer. Despite the same device. greater efficiency of moving DWs in Another important point is that the Racetrack Memory 3.0, the demagnetizing stability against thermal fluctuations is fields that each DW produces — which Figure 3 | Key phenomena that contribute to the determined by both the DW size and the results in interactions between adjacent current-driven motion of DWs. a, Perpendicular DW separation, which can be readily varied DWs — remains a limiting factor in the magnetocrystalline anisotropy derived from by engineering the magnetic structure and performance of the device. These fields interfaces in thin-film magnetic structures. Inset: the overall length of the racetrack. This limit the DW density, and therefore the data Spin–orbit coupling that leads to the asymmetric is a significant advantage compared with density, along the racetrack. scattering of spin-up and spin-down conduction MRAM, where currently known materials In the most recent fundamental electrons (blue and red arrows, respectively) will probably not support the development development — Racetrack Memory 4.0 — from scattering sites (green). b, Dzyaloshinsky– of devices with critical feature sizes below DWs can be moved in racetracks that are Moriya exchange interaction. Upper panel, 20 nm. formed from synthetic antiferromagnets ← DW configuration; lower panel, → DW Racetrack memory has significantly (SAFs)25. The SAF is formed from two configuration.c , Proximity-induced magnetization evolved since its first conception, thanks perpendicularly magnetized sub-racetracks in a non-magnetic metal (NM) layer interfaced to fundamental advances in spin-transfer- that are antiferromagnetically coupled via an with a perpendicularly magnetized ferromagnetic torque and spin–orbit-torque mechanisms. ultrathin ruthenium layer4,26,27 (Fig. 2d). The layer (FM). d, Spin Hall effect, which creates a The prospect for racetrack memory is magnetic structure in one sub-racetrack is spin current JS in a NM layer in the presence of a promising, regardless of whether such the mirror-image of the other. By carefully charge current Jc (electron current Je). e, Exchange devices store hundreds of DWs or just one. tuning the magnetic moments of each coupling torques τex are generated by exchange The technology is extremely flexible and can sub-racetrack (including the proximity- coupling fields in SAF racetracks. The spin Hall cover a range of applications, from ultrafast induced magnetic moment), the net torque τSH rotates the Néel DW magnetizations to ultradense memory-storage devices. With magnetic moment of the SAF racetrack can and thus gives rise to an exchange coupling torque. increasing effort and resources dedicated to be tuned to zero. The DW velocity increases the development of racetrack memory, it is as the net moment of the SAF is reduced to likely that new materials and mechanisms zero; DW velocities of almost 1,000 m s–1 fields, the DWs can be packed much closer for moving, creating and detecting DWs have been observed25. The DWs move together. Moreover, owing to the very will soon be discovered. New concepts around five times more efficiently, for the high efficiency with which the DWs can might also be on the horizon. For example, same current density, than in an identical be moved, very little power is needed to engineering DMI in atomically engineered structure in which the coupling of the two operate the memory. Racetrack Memory magnetic thin-films might allow the creation sub-racetracks is ferromagnetic25. 4.0 is a promising solution for delivering of complex spin textures that may be more In Racetrack Memory 4.0, because the high-performance, low-power memory robust and more efficiently manipulated DWs have no associated demagnetizing storage devices that can compete in density with currents. ❐

NATURE NANOTECHNOLOGY | VOL 10 | MARCH 2015 | www.nature.com/naturenanotechnology 197

© 2015 Macmillan Publishers Limited. All rights reserved COMMENTARY | FOCUS

Stuart Parkin and See-Hun Yang are at 5. Gallagher, W. J. & Parkin, S. S. P. IBM J. Res. Dev. 50, 5–23 (2006). 17. Fukami, S. et al. Appl. Phys. Lett. 98, 082504 (2011). IBM Almaden Research Center, San Jose, 6. Parkin, S. S. P. et al. Nature Mater. 3, 862–867 (2004). 18. Ryu, K. S., Thomas, L., Yang, S. H. & Parkin, S. S. P. 7. Ikeda, S. et al. Nature Mater. 9, 721–724 (2010). Appl. Phys. Express 5, 093006 (2012). California 95120, USA. Stuart Parkin is also at the 8. Ralph, D. C. & Stiles, M. D. J. Magn. Magn. Mater. 19. Meckler, S. et al. Phys. Rev. Lett. 103, 157201 (2009). Max Planck Institute for Microstructure Physics, 320, 1190–1216 (2008). 20. Torrejon, J. et al. Preprint available at http://arxiv.org/ Halle (Saale) D‑06120, Germany. 9. Hayashi, M., Thomas, L., Moriya, R., Rettner, C. & Parkin, S. S. P. abs/1308.1751 (2013). Science 320, 209–211 (2008). 21. Emori, S., Bauer, U., Ahn, S. M., Martinez, E. & Beach, G. S. D. e-mail: [email protected] 10. Hayashi, M. et al. Phys. Rev. Lett. 98, 037204 (2007). Nature Mater. 12, 611–616 (2013). 11. Vlaminck, V. & Bailleul, M. Science 322, 410–413 (2008). 22. Crépieux, A. & Lacroix, C. J. Magn. Magn. Mater. 12. Chiba, D. et al. Appl. Phys. Express 3, 073004 (2010). 182, 341–349 (1998). References 13. Thomas, L. et al. Int. Electron Dev. Meeting (IEDM) 23. Hirsch, J. E. Phys. Rev. Lett. 83, 1834–1837 (1999). 1. Parkin, S. S. P. Shiftable magnetic shift register and method of 24.2.1–24.2.4 (2011). 24. D’yakonov, M. I. Int. J. Mod. Phys. B 23, 2556–2565 (2009). using the same. US patent 6,834,005 (2004). 14. Miron, I. M. et al. Nature Mater. 10, 419–423 (2011). 25. Yang, S. H., Ryu, K. S. & Parkin, S. S. P. Nature Nanotech. 2. Parkin, S. S. P., Hayashi, M. & Thomas, L. Science 15. Ryu, K. S., Thomas, L., Yang, S. H. & Parkin, S. S. P. 10, 221–226 (2014). 320, 190–194 (2008). Nature Nanotech. 8, 527–533 (2013). 26. Parkin, S. S. P., More, N. & Roche, K. P. Phys. Rev. Lett. 3. Parkin, S. S. P. et al. J. Appl. Phys. 85, 5828–5833 (1999). 16. Ryu, K. S., Yang, S. H., Thomas, L. & Parkin, S. S. P. 64, 2304–2307 (1990). 4. Parkin, S. S. P. et al. Proc. IEEE 91, 661–680 (2003). Nature Commun. 5, 3910 (2014). 27. Parkin, S. S. P. Phys. Rev. Lett. 67, 3598 (1991).

198 NATURE NANOTECHNOLOGY | VOL 10 | MARCH 2015 | www.nature.com/naturenanotechnology

© 2015 Macmillan Publishers Limited. All rights reserved