ECE 5745 Complex Digital ASIC Design Course Overview Christopher Batten

Total Page:16

File Type:pdf, Size:1020Kb

ECE 5745 Complex Digital ASIC Design Course Overview Christopher Batten ECE 5745 Complex Digital ASIC Design Course Overview Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5745 • Complex Digital ASIC Design • Activity 1 Case Study: Scalar vs. Vector Processors Activity 2 Application Complex Digital ASIC Design Algorithm I Course goal, structure, motivation PL . What is the goal of the course? . Why should students want to take this course? OS . How is the course structured? ISA I Activity 1: Evaluation of Integer Multiplier μArch I Case Study: Scalar vs. Vector Processors RTL . Example design-space exploration . Example real ASIC chips Gates I Activity 2: Brainstorming for Sorting Accelerator Circuits Devices Technology ECE 5745 Course Overview 2 / 52 • Complex Digital ASIC Design • Activity 1 Case Study: Scalar vs. Vector Processors Activity 2 The Computer Engineering Stack Application Gap too large to bridge in one step (but there are exceptions e.g., magnetic compass) Technology In its broadest definition, computer architecture is the design of the abstraction/implementation layers that allow us to execute information processing applications efficiently using available manufacturing technologies ECE 5745 Course Overview 3 / 52 • Complex Digital ASIC Design • Activity 1 Case Study: Scalar vs. Vector Processors Activity 2 The Computer Engineering Stack Application Algorithm Programming Language Operating System Instruction Set Architecture Microarchitecture Register-Transfer Level Gate Level Circuits Computer Architecture Devices Technology In its broadest definition, computer architecture is the design of the abstraction/implementation layers that allow us to execute information processing applications efficiently using available manufacturing technologies ECE 5745 Course Overview 3 / 52 • Complex Digital ASIC Design • Activity 1 Case Study: Scalar vs. Vector Processors Activity 2 What is Computer Architecture? Application Algorithm Application Requirements Programming Language • Suggest how to improve architecture Operating System • Provide revenue to fund development Instruction Set Architecture Architecture provides feedback to guide Microarchitecture application and technology research directions Register-Transfer Level Gate Level Circuits Technology Constraints Computer Architecture • Restrict what can be done efficiently Devices • New technologies make new arch possible Technology In its broadest definition, computer architecture is the design of the abstraction/implementation layers that allow us to execute information processing applications efficiently using available manufacturing technologies ECE 5745 Course Overview 4 / 52 • Complex Digital ASIC Design • Activity 1 Case Study: Scalar vs. Vector Processors Activity 2 Key Metrics in Computer Architecture I Primary Metrics Network . Execution time (cycles/task) . Energy (Joules/task) I$ I$ I$ I$ . Cycle time (ns/cycle) . Area (µm2) I Secondary Metrics P P P P . Performance (ns/task) . Average power (Watts) Network . Peak power (Watts) . Cost ($) . Design complexity D$ D$ D$ D$ . Reliability . Flexibility Network Discuss qualitative first-order analysis from ECE 4750 on board ECE 5745 Course Overview 5 / 52 • Complex Digital ASIC Design • Activity 1 Case Study: Scalar vs. Vector Processors Activity 2 Unanswered Questions from ECE 4750 I How can we quantitatively evaluate Network area, cycle time, and energy? I How do we actually implement I$ Accelerated I$ Instructions processors, memories, and networks in a real chip? P Xcel Xcel P I How should we implement/analyze application-specific accelerators? Network . Very loosely coupled memory-mapped accelerators D$ D$ D$ D$ . More tightly coupled co-processor accelerators . Specialized instructions and Network functional units ECE 5745 Course Overview 6 / 52 • Complex Digital ASIC Design • Activity 1 Case Study: Scalar vs. Vector Processors Activity 2 ASIC: Application-Specific Integrated Circuit Network Out-of-Order C D Superscalar Superpipelined I$ Accelerated I$ Superscalar Instructions w/ Deeper Multicore E Pipelines B F P Xcel Xcel P Processor Power Simple Constraint Proc A Network Energy (Joules per Task) Specialized Accelerators D$ D$ D$ D$ Performance (Tasks per Second) Network ECE 5745 Course Overview 7 / 52 • Complex Digital ASIC Design • Activity 1 Case Study: Scalar vs. Vector Processors Activity 2 ASIC: Application-Specific Integrated Circuit Design Network Performance Custom Constraint ASIC Embedded Less Flexible I$ Accelerated I$ Architectures Accelerator Instructions More Flexible Accelerator P Xcel Xcel P Design Power Flexibility vs. Specialization Constraint Simple Network Processor High-Performance Energy Efficiency (Tasks per Joule) Architectures D$ D$ D$ D$ Performance (Tasks per Second) Network ECE 5745 Course Overview 8 / 52 • Complex Digital ASIC Design • Activity 1 Case Study: Scalar vs. Vector Processors Activity 2 Goal for ECE 5745 is to answer these questions! I How can we quantitatively evaluate Network area, cycle time, and energy? I How do we actually implement I$ Accelerated I$ Instructions processors, memories, and networks in a real chip? P Xcel Xcel P I How should we implement/analyze application-specific accelerators? Network . Very loosely coupled memory-mapped accelerators D$ D$ D$ D$ . More tightly coupled co-processor accelerators . Specialized instructions and Network functional units ECE 5745 Course Overview 9 / 52 6.884 – Spring 2005 Requires complete customization of all layers of wafer of layers all of customization complete Requires style design consuming time Most anywhere anything, do to free is Designer – – devices (Intel microprocessors, RF power amps for cellphones) for amps power RF microprocessors, (Intel devices volume high very or performance high very for Reserved discipline some imposes usually team design each though • Complex Digital ASIC Design • Activity 1 Case Study: Scalar vs. Vector Processors Activity 2 Full Custom Design vs. Standard-Cell Design Full Custom Design Piece of full-custom multiplier array, multiplier full-custom of Piece I Full-Custom Design (ECE 4740) . Designer is free to do anything, anywhere; though team usually imposes some design discipline 1.0 . Most time consuming design style; reserved for 2 Feb 2005 m 2-metal m very high performance or very high volume chips (Intel microprocessors, RF power amps for cellphones) I Standard-Cell Design (ECE 5745) . Fixed library of “standard cells” and SRAM memory generators Full-custom layout . Register-transfer-level description is automatically in 1.0µm w/ 2 metal mapped to this library of standard cells, then these layers cells are placed and routed automatically L01 – 22 Introduction . Enables agile hardware design methodology ECE 5745 Course Overview 10 / 52 Standard Cell ASICs • Also called Cell-Based ICs (CBICs) • Fixed library• Complex of cells Digital plus ASICmemory Design generators• Activity 1 Case Study: Scalar vs. Vector Processors Activity 2 • Cells can be synthesized from HDL, or entered in schematics • Cells placed and routed automatically • Requires complete set ofStandard-Cell custom masks for each design Design Methodology • Currently most popular hard-wired ASIC type (6.884 will use this) Cells arranged in rows Mem Standard1 Mem Cell Design 2 Cells have standard height but vary in width Designed to connect power, ground, and wellsGenerated by abutment memory arrays 2 Feb 2005 6.884 – Spring 2005 Well ContactL01 – Introduction 24 under Power Rail Clock Rail (not typical) Clock Rail VDD Rail Cell I/O on M2 Power Ripple carry adder with carry Rails in M1 chain highlighted GND Rail NAND2 Flip-flop ECE 5745 Course Overview 11 / 52 6.884 – Spring 2005 2 Feb 2005 L01 – Introduction 25 • Complex Digital ASIC Design • Activity 1 Case Study: Scalar vs. Vector Processors Activity 2 Standard-Cell Design Methodology Design in HDL Standard Cells Area (μm2) HDL Synthesis Cycle Time (ns) Simulator Energy (J/task) Switching Activity Gate-Level Model Area (μm2) Execution Time Place&Route Cycle Time (ns) (cycles/task) Energy (J/task) Layout Power Energy (J/task) Analysis ECE 5745 Course Overview 12 / 52 • Complex Digital ASIC Design • Activity 1 Case Study: Scalar vs. Vector Processors Activity 2 Motivation Architectural Patterns Scale VT Core Maven VT Core Evaluation Example Standard-Cell Chip Plot Single-Lane Vector-Thread Unit w/ 256 Registers MIT CSAIL Christopher Batten 32 / 42 ECE 5745 Course Overview 13 / 52 • Complex Digital ASIC Design • Activity 1 Case Study: Scalar vs. Vector Processors Activity 2 What is Complex Digital ASIC Design? Application Complex digital ASIC design is Algorithm the process of Programming Language quantitatively exploring the Operating System area, cycle time, execution time, and Instruction Set Architecture energy trade-offs Microarchitecture Register-Transfer Level of various Gate Level application-specific accelerators Circuits (and general-purpose proc+mem+net) Computer Architecture Devices Technology using automated standard-cell CAD tools and then to transform the most promising design to layout ready for fabrication ECE 5745 Course Overview 14 / 52 • Complex Digital ASIC Design • Activity 1 Case Study: Scalar vs. Vector Processors Activity 2 Application Complex Digital ASIC Design Algorithm I Course goal, structure, motivation PL . What is the goal of the course? . Why should students want to take this course? OS . How is the course structured? ISA I Activity 1: Evaluation of Integer Multiplier μArch I Case Study: Scalar vs. Vector Processors RTL . Example
Recommended publications
  • Inside Intel® Core™ Microarchitecture Setting New Standards for Energy-Efficient Performance
    White Paper Inside Intel® Core™ Microarchitecture Setting New Standards for Energy-Efficient Performance Ofri Wechsler Intel Fellow, Mobility Group Director, Mobility Microprocessor Architecture Intel Corporation White Paper Inside Intel®Core™ Microarchitecture Introduction Introduction 2 The Intel® Core™ microarchitecture is a new foundation for Intel®Core™ Microarchitecture Design Goals 3 Intel® architecture-based desktop, mobile, and mainstream server multi-core processors. This state-of-the-art multi-core optimized Delivering Energy-Efficient Performance 4 and power-efficient microarchitecture is designed to deliver Intel®Core™ Microarchitecture Innovations 5 increased performance and performance-per-watt—thus increasing Intel® Wide Dynamic Execution 6 overall energy efficiency. This new microarchitecture extends the energy efficient philosophy first delivered in Intel's mobile Intel® Intelligent Power Capability 8 microarchitecture found in the Intel® Pentium® M processor, and Intel® Advanced Smart Cache 8 greatly enhances it with many new and leading edge microar- Intel® Smart Memory Access 9 chitectural innovations as well as existing Intel NetBurst® microarchitecture features. What’s more, it incorporates many Intel® Advanced Digital Media Boost 10 new and significant innovations designed to optimize the Intel®Core™ Microarchitecture and Software 11 power, performance, and scalability of multi-core processors. Summary 12 The Intel Core microarchitecture shows Intel’s continued Learn More 12 innovation by delivering both greater energy efficiency Author Biographies 12 and compute capability required for the new workloads and usage models now making their way across computing. With its higher performance and low power, the new Intel Core microarchitecture will be the basis for many new solutions and form factors. In the home, these include higher performing, ultra-quiet, sleek and low-power computer designs, and new advances in more sophisticated, user-friendly entertainment systems.
    [Show full text]
  • POWER-AWARE MICROARCHITECTURE: Design and Modeling Challenges for Next-Generation Microprocessors
    POWER-AWARE MICROARCHITECTURE: Design and Modeling Challenges for Next-Generation Microprocessors THE ABILITY TO ESTIMATE POWER CONSUMPTION DURING EARLY-STAGE DEFINITION AND TRADE-OFF STUDIES IS A KEY NEW METHODOLOGY ENHANCEMENT. OPPORTUNITIES FOR SAVING POWER CAN BE EXPOSED VIA MICROARCHITECTURE-LEVEL MODELING, PARTICULARLY THROUGH CLOCK- GATING AND DYNAMIC ADAPTATION. Power dissipation limits have Thus far, most of the work done in the area David M. Brooks emerged as a major constraint in the design of high-level power estimation has been focused of microprocessors. At the low end of the per- at the register-transfer-level (RTL) description Pradip Bose formance spectrum, namely in the world of in the processor design flow. Only recently have handheld and portable devices or systems, we seen a surge of interest in estimating power Stanley E. Schuster power has always dominated over perfor- at the microarchitecture definition stage, and mance (execution time) as the primary design specific work on power-efficient microarchi- Hans Jacobson issue. Battery life and system cost constraints tecture design has been reported.2-8 drive the design team to consider power over Here, we describe the approach of using Prabhakar N. Kudva performance in such a scenario. energy-enabled performance simulators in Increasingly, however, power is also a key early design. We examine some of the emerg- Alper Buyuktosunoglu design issue in the workstation and server mar- ing paradigms in processor design and com- kets (see Gowan et al.)1 In this high-end arena ment on their inherent power-performance John-David Wellman the increasing microarchitectural complexities, characteristics. clock frequencies, and die sizes push the chip- Victor Zyuban level—and hence the system-level—power Power-performance efficiency consumption to such levels that traditionally See the “Power-performance fundamentals” Manish Gupta air-cooled multiprocessor server boxes may box.
    [Show full text]
  • Hardware Architecture
    Hardware Architecture Components Computing Infrastructure Components Servers Clients LAN & WLAN Internet Connectivity Computation Software Storage Backup Integration is the Key ! Security Data Network Management Computer Today’s Computer Computer Model: Von Neumann Architecture Computer Model Input: keyboard, mouse, scanner, punch cards Processing: CPU executes the computer program Output: monitor, printer, fax machine Storage: hard drive, optical media, diskettes, magnetic tape Von Neumann architecture - Wiki Article (15 min YouTube Video) Components Computer Components Components Computer Components CPU Memory Hard Disk Mother Board CD/DVD Drives Adaptors Power Supply Display Keyboard Mouse Network Interface I/O ports CPU CPU CPU – Central Processing Unit (Microprocessor) consists of three parts: Control Unit • Execute programs/instructions: the machine language • Move data from one memory location to another • Communicate between other parts of a PC Arithmetic Logic Unit • Arithmetic operations: add, subtract, multiply, divide • Logic operations: and, or, xor • Floating point operations: real number manipulation Registers CPU Processor Architecture See How the CPU Works In One Lesson (20 min YouTube Video) CPU CPU CPU speed is influenced by several factors: Chip Manufacturing Technology: nm (2002: 130 nm, 2004: 90nm, 2006: 65 nm, 2008: 45nm, 2010:32nm, Latest is 22nm) Clock speed: Gigahertz (Typical : 2 – 3 GHz, Maximum 5.5 GHz) Front Side Bus: MHz (Typical: 1333MHz , 1666MHz) Word size : 32-bit or 64-bit word sizes Cache: Level 1 (64 KB per core), Level 2 (256 KB per core) caches on die. Now Level 3 (2 MB to 8 MB shared) cache also on die Instruction set size: X86 (CISC), RISC Microarchitecture: CPU Internal Architecture (Ivy Bridge, Haswell) Single Core/Multi Core Multi Threading Hyper Threading vs.
    [Show full text]
  • Microcontroller Serial Interfaces
    Microcontroller Serial Interfaces Dr. Francesco Conti [email protected] Microcontroller System Architecture Each MCU (micro-controller unit) is characterized by: • Microprocessor • 8,16,32 bit architecture • Usually “simple” in-order microarchitecture, no FPU Example: STM32F101 MCU Microcontroller System Architecture Each MCU (micro-controller unit) is characterized by: • Microprocessor • 8,16,32 bit architecture • Usually “simple” in-order microarchitecture, no FPU • Memory • RAM (from 512B to 256kB) • FLASH (from 512B to 1MB) Example: STM32F101 MCU Microcontroller System Architecture Each MCU (micro-controller unit) is characterized by: • Microprocessor • 8,16,32 bit architecture • Usually “simple” in-order microarchitecture, no FPU • Memory • RAM (from 512B to 256kB) • FLASH (from 512B to 1MB) • Peripherals • DMA • Timer • Interfaces • Digital Interfaces • Analog Timer DMAs Example: STM32F101 MCU Microcontroller System Architecture Each MCU (micro-controller unit) is characterized by: • Microprocessor • 8,16,32 bit architecture • Usually “simple” in-order microarchitecture, no FPU • Memory • RAM (from 512B to 256kB) • FLASH (from 512B to 1MB) • Peripherals • DMA • Timer • Interfaces • Digital • Analog • Interconnect Example: STM32F101 MCU • AHB system bus (ARM-based MCUs) • APB peripheral bus (ARM-based MCUs) Microcontroller System Architecture Each MCU (micro-controller unit) is characterized by: • Microprocessor • 8,16,32 bit architecture • Usually “simple” in-order microarchitecture, no FPU • Memory • RAM (from 512B to 256kB) • FLASH
    [Show full text]
  • Reverse Engineering X86 Processor Microcode
    Reverse Engineering x86 Processor Microcode Philipp Koppe, Benjamin Kollenda, Marc Fyrbiak, Christian Kison, Robert Gawlik, Christof Paar, and Thorsten Holz, Ruhr-University Bochum https://www.usenix.org/conference/usenixsecurity17/technical-sessions/presentation/koppe This paper is included in the Proceedings of the 26th USENIX Security Symposium August 16–18, 2017 • Vancouver, BC, Canada ISBN 978-1-931971-40-9 Open access to the Proceedings of the 26th USENIX Security Symposium is sponsored by USENIX Reverse Engineering x86 Processor Microcode Philipp Koppe, Benjamin Kollenda, Marc Fyrbiak, Christian Kison, Robert Gawlik, Christof Paar, and Thorsten Holz Ruhr-Universitat¨ Bochum Abstract hardware modifications [48]. Dedicated hardware units to counter bugs are imperfect [36, 49] and involve non- Microcode is an abstraction layer on top of the phys- negligible hardware costs [8]. The infamous Pentium fdiv ical components of a CPU and present in most general- bug [62] illustrated a clear economic need for field up- purpose CPUs today. In addition to facilitate complex and dates after deployment in order to turn off defective parts vast instruction sets, it also provides an update mechanism and patch erroneous behavior. Note that the implementa- that allows CPUs to be patched in-place without requiring tion of a modern processor involves millions of lines of any special hardware. While it is well-known that CPUs HDL code [55] and verification of functional correctness are regularly updated with this mechanism, very little is for such processors is still an unsolved problem [4, 29]. known about its inner workings given that microcode and the update mechanism are proprietary and have not been Since the 1970s, x86 processor manufacturers have throughly analyzed yet.
    [Show full text]
  • Intel(R) Software Guard Extensions Developer Guide
    Intel® Software Guard Extensions (Intel® SGX) Developer Guide Intel(R) Software Guard Extensions Developer Guide Legal Information No license (express or implied, by estoppel or otherwise) to any intellectual property rights is granted by this document. Intel disclaims all express and implied warranties, including without limitation, the implied warranties of merchantability, fitness for a particular purpose, and non-infringement, as well as any warranty arising from course of performance, course of dealing, or usage in trade. This document contains information on products, services and/or processes in development. All information provided here is subject to change without notice. Contact your Intel representative to obtain the latest forecast, sched- ule, specifications and roadmaps. The products and services described may contain defects or errors known as errata which may cause deviations from published specifications. Current char- acterized errata are available on request. Intel technologies features and benefits depend on system configuration and may require enabled hardware, software or service activation. Learn more at Intel.com, or from the OEM or retailer. Copies of documents which have an order number and are referenced in this document may be obtained by calling 1-800-548-4725 or by visiting www.in- tel.com/design/literature.htm. Intel, the Intel logo, Xeon, and Xeon Phi are trademarks of Intel Corporation in the U.S. and/or other countries. Optimization Notice Intel's compilers may or may not optimize to the same degree for non-Intel microprocessors for optimizations that are not unique to Intel micro- processors. These optimizations include SSE2, SSE3, and SSSE3 instruction sets and other optimizations.
    [Show full text]
  • Itanium® 2 Processor Microarchitecture Overview
    Itanium® 2 Processor Microarchitecture Overview Don Soltis, Mark Gibson Cameron McNairy Hot Chips 14, August 2002 Itanium® 2 Processor Overview 16KB16KB L1L1 I-cacheI-cache BranchBranch PredictPredict 2 bundles (128 bits each) InstrInstr 22 InstrInstr 11 InstrInstr 00 TemplateTemplate Issue up to 6 instructions to the 11 pipes FF FF M/AM/A M/AM/A M/AM/A M/AM/A I/AI/A I/AI/A BB BB BB 22 FMACsFMACs 66 ALUsALUs BranchBranch UnitUnit 6 Opnds 2 Preds 16KB16KB L1L1 D-cacheD-cache 12 Opnds 2 Results 4 Preds 6 Results 2 Loads, 2 Stores 6 Predicates 128 FP GPRs 128 Int GPRs Consumed 1 Predicate 3 Predicates 128 FP GPRs 4 Predicates 128 Int GPRs 12 Predicates Produced Block Diagram 64 Predicate Registers 4 Loads 64 Predicate Registers 2 Stores 256KB256KB L2L2 CacheCache 3MB3MB L3L3 CacheCache BusBus InterfaceInterface Hot Chips 14 Itanium® 2 Processor Overview EXEEXE DETDET WRBWRB IPGIPG ROTROT EXPEXP RENREN REGREG FP1FP1 FP2FP2 FP3FP3 FP4FP4 WRBWRB IPG: Instruction Pointer Generate, Instruction address to L1 I-cache ROT: Present 2 Instruction Bundles from L1 I-cache to dispersal hardware EXP: Disperse up to 6 instruction syllables from the 2 instruction bundles REN: Rename (or convert) virtual register IDs to physical register IDs REG: Register file read, or bypass results in flight as operands EXE: Execute integer instructions; generate results and predicates DET: Detect exceptions, traps, etc. FP1-4: Execute floating point instructions; generate results and predicates Main Execution Unit Pipeline WRB: Write back results to the register file
    [Show full text]
  • Microarchitecture-Level Soc Design 27 Young-Hwan Park, Amin Khajeh, Jun Yong Shin, Fadi Kurdahi, Ahmed Eltawil, and Nikil Dutt
    Microarchitecture-Level SoC Design 27 Young-Hwan Park, Amin Khajeh, Jun Yong Shin, Fadi Kurdahi, Ahmed Eltawil, and Nikil Dutt Abstract In this chapter we consider the issues related to integrating microarchitectural IP blocks into complex SoCs while satisfying performance, power, thermal, and reliability constraints. We first review different abstraction levels for SoC design that promote IP reuse, and which enable fast simulation for early functional validation of the SoC platform. Since SoCs must satisfy a multitude of interrelated constraints, we then present high-level power, thermal, and reliability models for predicting these constraints. These constraints are not unrelated and their interactions must be considered, modeled and evaluated. Once constraints are modeled, we must explore the design space trading off performance, power and reliability. Several case studies are presented illustrating how the design space can be explored across layers, and what modifications could be applied at design time and/or runtime to deal with reliability issues that may arise. Acronyms AHB Advanced High-performance Bus APB Advanced Peripheral Bus ASIC Application-Specific Integrated Circuit BER Bit Error Rate BLB Bit Lock Block Y.-H. Park Digital Media and Communications R&D Center, Samsung Electronics, Seoul, Korea e-mail: [email protected] A. Khajeh Broadcom Corp., San Jose, CA, USA e-mail: [email protected] J. Yong Shin • F. Kurdahi () • A. Eltawil • N. Dutt Center for Embedded and Cyber-Physical Systems, University of California Irvine, Irvine, CA, USA e-mail: [email protected]; [email protected]; [email protected]; [email protected] © Springer Science+Business Media Dordrecht 2017 867 S.
    [Show full text]
  • Computer Architectures an Overview
    Computer Architectures An Overview PDF generated using the open source mwlib toolkit. See http://code.pediapress.com/ for more information. PDF generated at: Sat, 25 Feb 2012 22:35:32 UTC Contents Articles Microarchitecture 1 x86 7 PowerPC 23 IBM POWER 33 MIPS architecture 39 SPARC 57 ARM architecture 65 DEC Alpha 80 AlphaStation 92 AlphaServer 95 Very long instruction word 103 Instruction-level parallelism 107 Explicitly parallel instruction computing 108 References Article Sources and Contributors 111 Image Sources, Licenses and Contributors 113 Article Licenses License 114 Microarchitecture 1 Microarchitecture In computer engineering, microarchitecture (sometimes abbreviated to µarch or uarch), also called computer organization, is the way a given instruction set architecture (ISA) is implemented on a processor. A given ISA may be implemented with different microarchitectures.[1] Implementations might vary due to different goals of a given design or due to shifts in technology.[2] Computer architecture is the combination of microarchitecture and instruction set design. Relation to instruction set architecture The ISA is roughly the same as the programming model of a processor as seen by an assembly language programmer or compiler writer. The ISA includes the execution model, processor registers, address and data formats among other things. The Intel Core microarchitecture microarchitecture includes the constituent parts of the processor and how these interconnect and interoperate to implement the ISA. The microarchitecture of a machine is usually represented as (more or less detailed) diagrams that describe the interconnections of the various microarchitectural elements of the machine, which may be everything from single gates and registers, to complete arithmetic logic units (ALU)s and even larger elements.
    [Show full text]
  • Digital and System Design
    Digital System Design — Use of Microcontroller RIVER PUBLISHERS SERIES IN SIGNAL, IMAGE & SPEECH PROCESSING Volume 2 Consulting Series Editors Prof. Shinsuke Hara Osaka City University Japan The Field of Interest are the theory and application of filtering, coding, trans- mitting, estimating, detecting, analyzing, recognizing, synthesizing, record- ing, and reproducing signals by digital or analog devices or techniques. The term “signal” includes audio, video, speech, image, communication, geophys- ical, sonar, radar, medical, musical, and other signals. • Signal Processing • Image Processing • Speech Processing For a list of other books in this series, see final page. Digital System Design — Use of Microcontroller Dawoud Shenouda Dawoud R. Peplow University of Kwa-Zulu Natal Aalborg Published, sold and distributed by: River Publishers PO box 1657 Algade 42 9000 Aalborg Denmark Tel.: +4536953197 EISBN: 978-87-93102-29-3 ISBN:978-87-92329-40-0 © 2010 River Publishers All rights reserved. No part of this publication may be reproduced, stored in a retrieval system, or transmitted in any form or by any means, mechanical, photocopying, recording or otherwise, without prior written permission of the publishers. Dedication To Nadia, Dalia, Dina and Peter D.S.D To Eleanor and Caitlin R.P. v This page intentionally left blank Preface Electronic circuit design is not a new activity; there have always been good designers who create good electronic circuits. For a long time, designers used discrete components to build first analogue and then digital systems. The main components for many years were: resistors, capacitors, inductors, transistors and so on. The primary concern of the designer was functionality however, once functionality has been met, the designer’s goal is then to enhance per- formance.
    [Show full text]
  • ECE 4750 Computer Architecture, Fall 2020 T02 Fundamental Processor
    ECE 4750 Computer Architecture, Fall 2021 T02 Fundamental Processor Microarchitecture School of Electrical and Computer Engineering Cornell University revision: 2021-09-12-02-56 1 Processor Microarchitectural Design Patterns 4 1.1. Transactions and Steps . .4 1.2. Microarchitecture: Control/Datapath Split . .5 2 TinyRV1 Single-Cycle Processor 6 2.1. High-Level Idea for Single-Cycle Processors . .7 2.2. Single-Cycle Processor Datapath . .8 2.3. Single-Cycle Processor Control Unit . 14 2.4. Analyzing Performance . 14 3 TinyRV1 FSM Processor 17 3.1. High-Level Idea for FSM Processors . 18 3.2. FSM Processor Datapath . 18 3.3. FSM Processor Control Unit . 25 3.4. Analyzing Performance . 29 4 TinyRV1 Pipelined Processor 31 4.1. High-Level Idea for Pipelined Processors . 32 4.2. Pipelined Processor Datapath and Control Unit . 34 1 5 Pipeline Hazards: RAW Data Hazards 39 5.1. Expose in Instruction Set Architecture . 41 5.2. Hardware Stalling . 42 5.3. Hardware Bypassing/Forwarding . 43 5.4. RAW Data Hazards Through Memory . 47 6 Pipeline Hazards: Control Hazards 48 6.1. Expose in Instruction Set Architecture . 50 6.2. Hardware Speculation . 51 6.3. Interrupts and Exceptions . 54 7 Pipeline Hazards: Structural Hazards 59 7.1. Expose in Instruction Set Architecture . 60 7.2. Hardware Stalling . 61 7.3. Hardware Duplication . 62 8 Pipeline Hazards: WAW and WAR Name Hazards 62 8.1. Software Renaming . 64 8.2. Hardware Stalling . 65 9 Summary of Processor Performance 65 10 Case Study: Transition from CISC to RISC 69 10.1. Example CISC: IBM 360/M30 . 70 10.2.
    [Show full text]
  • Itanium Processor
    IA-64 Microarchitecture --- Itanium Processor By Subin kizhakkeveettil CS B-S5….no:83 INDEX • INTRODUCTION • ARCHITECTURE • MEMORY ARCH: • INSTRUCTION FORMAT • INSTRUCTION EXECUTION • PIPELINE STAGES: • FLOATING POINT PERFORMANCE • INTEGER PERFORMANCE • CONCLUSION • REFERENCES Itanium Processor • First implementation of IA-64 • Compiler based exploitation of ILP • Also has many features of superscalar INTRODUCTION • Itanium is the brand name for 64-bit Intel microprocessors that implement the Intel Itanium architecture (formerly called IA-64). • Itanium's architecture differs dramatically from the x86 architectures (and the x86-64 extensions) used in other Intel processors. • The architecture is based on explicit instruction-level parallelism, in which the compiler makes the decisions about which instructions to execute in parallel Memory architecture • From 2002 to 2006, Itanium 2 processors shared a common cache hierarchy. They had 16 KB of Level 1 instruction cache and 16 KB of Level 1 data cache. The L2 cache was unified (both instruction and data) and is 256 KB. The Level 3 cache was also unified and varied in size from 1.5 MB to 24 MB. The 256 KB L2 cache contains sufficient logic to handle semaphore operations without disturbing the main arithmetic logic unit (ALU). • Main memory is accessed through a bus to an off-chip chipset. The Itanium 2 bus was initially called the McKinley bus, but is now usually referred to as the Itanium bus. The speed of the bus has increased steadily with new processor releases. The bus transfers 2x128 bits per clock cycle, so the 200 MHz McKinley bus transferred 6.4 GB/sand the 533 MHz Montecito bus transfers 17.056 GB/s.
    [Show full text]