<<

INDUSTRY NOTE

USA | Technology

Semiconductors February 20, 2014 EQUITY RESEARCH Semiconductors Intense Foundry Competition and 3D NAND Driving CapEx Key Takeaway Recent WFE supplier commentary reinforces our Moore Stress thesis that intense Smartphone competition would translate into intense Foundry competition, ultimately resulting in disrupting TSMC's leading-edge foundry model. We expect 3D NAND investment momentum to accelerate through 2014, with broader volume production unlikely before 2015. We view Samsung's foundry focus as a positive for DRAM and NAND, and remain buyers of Samsung, INTC, MU and SNDK. GLOBAL Intense Foundry Competition. We view TSMC's recent announcement of its "16nm FinFET Plus" process node as a big effort to fortify its position against looming threat from Samsung's and 's 14nm nodes. However, we continue to believe Samsung would have a substantial advantage over TSMC in low-power ARM processors, particularly with TSV + WideIO. While TSMC's 16nm FF Plus would narrow the area-scaling gap relative to Intel's and Samsung's 14nm, we estimate volume production at TSMC's 16nm FF Plus would occur about one year after Samsung's 14nm. We believe Samsung is on track to start volume production at its 14nm node this year, while Intel is in production at 14nm now. We expect 20nm to be a relatively short-lived node. Recent commentary from AMAT, KLAC, Samsung, and TSMC indicate an intense battle is raging in leading-edge foundry.

Leading Edge Foundry and 3D NAND Driving 2014 CapEx. Major fab equipment (WFE) suppliers Applied Materials (AMAT) and KLA-Tencor (KLAC) expect WFE CapEx to increase by ~10% in CY14, driven by 5%-to-20% growth in Foundry CapEx. Roughly half of the Foundry WFE CapEx is expected to be driven by 20nm in 1H, with the rest driven primarily by 14nm and 16nm in 2H. Both AMAT and KLAC see 3D NAND investments growing across the industry, beyond initial investments by Samsung in 2013. However, based on conversations with multiple industry players including ASML, we believe broader volume production of 3D NAND is unlikely before 2015, due to technology limitations in achieving necessary cost reductions.

Smartphone Driving Foundry Competition. We continue to believe Samsung is not only highly motivated but also has significantly greater resources to disrupt TSMC in leading- edge foundry. We expect Samsung to initially take share from TSMC at 28nm and 14nm. Such disruption would undermine Samsung's Smartphone competition by limiting access to advanced Application Processors (AP), in addition to prevailing supply constraints in DRAM and NAND. Sundeep Bajikar * Equity Analyst Links to Related Reports. (415) 229-1552 [email protected] Mark Lipacis * Samsung: TSV and WideIO Advantage Over TSMC - Takeaways from Micron Analyst Day Equity Analyst INTC: A Prius Gets Better Gas Mileage Than a Corvette (415) 229-1438 [email protected] Samsung: Lenovo Acquiring Motorola Mobility - Expect a Smartphone Industry Shakeout Lee Simpson § Samsung: Moore Stress in Smartphone - TSMC Falling Off the Leading Edge Equity Analyst 44 (0) 207 029 8695 [email protected] Delos Elder, CFA, CPA * Equity Associate (415) 229-1511 [email protected] Robert Lamb § Equity Associate + 44 (0) 20 7029 8343 [email protected] * Jefferies LLC § Jefferies International Limited

Jefferies does and seeks to do business with companies covered in its research reports. As a result, investors should be aware that Jefferies may have a conflict of interest that could affect the objectivity of this report. Investors should consider this report as only a single factor in making their investment decision. Please see analyst certifications, important disclosure information, and information regarding the status of non-US analysts on pages 10 to 13 of this report. Technology

Semiconductors

February 20, 2014

Foundry Competition and 3D NAND Driving CapEx

Samsung, Intel, and TSMC have all Chart 1: Semiconductor Total CapEx by Top Spenders guided for CY14 Total CapEx 14 approximately flat to CY13. 12 TSMC expects 95% of its CY14 CapEx to be focused on advanced technologies, specifically 20nm and 10 16nm, with some additional spending related to 28nm. 8

We expect Intel’s CY14 CapEx to be 6 focused on 14nm and 10nm development. 4

We expect Samsung’s CY14 CapEx B) ($, CapEx Semiconductor to be focused on 14nm and 10nm 2 foundry, and 3D NAND. 0 2012 2013 2014E Samsung Intel TSMC

Source: Gartner (December 2013), Jefferies

Wafer Fab Equipment (WFE) Chart 2: WFE CapEx by End Market suppliers expect WFE CapEx 100% (majority of Total CapEx) to increase 90% by ~10% in CY14. Memory 80% WFE suppliers expect Logic/Foundry to represent the majority of WFE 70% CapEx for the foreseeable future, with Memory representing the 60% remainder. 50%

Within Logic/Foundry, Logic WFE 40% Logic/Foundry CapEx is expected to remain roughly

unchanged or even decline, while CapEx WFE Total of % 30% Foundry WFE CapEx is expected to 20% increase due to intense competition, we believe primarily between 10% Samsung and TSMC.

0%

2011 2012 2003 2004 2005 2006 2007 2008 2009 2010 2013

2015E 2016E 2017E 2014E Source: Gartner, KLA-Tencor, Applied Materials, Jefferies

page 2 of 13 Sundeep Bajikar, Equity Analyst, (415) 229-1552, [email protected]

Please see important disclosure information on pages 10 - 13 of this report. Technology

Semiconductors

February 20, 2014

According to Gartner, the Chart 3: WFE CapEx by Category Lithography portion of WFE CapEx is 100% expected to increase over time. Process Control However, our checks suggest 90% Gartner’s forecast assumes a healthy 80% Manuacturing automation and control contribution from EUV. 70% We think 3D manufacturing Etch, clean, planarization technologies (e.g. FinFET, 3D NAND, 60% TSV) are likely to gain more importance. We also think the higher 50% CapEx intensity of node transitions, Deposition both for Memory and for 40% Logic/Foundry, would continue to % of Total WFE Spend WFE Total of % 30% limit capacity expansion. 20% Consequently, we think non- Lithography Lithography WFE CapEx could grow 10% at a faster pace than Gartner is modeling. 0% 2011 2012 2013 2014E 2015E 2016E 2017E

Source: Gartner (December 2013)

page 3 of 13 Sundeep Bajikar, Equity Analyst, (415) 229-1552, [email protected]

Please see important disclosure information on pages 10 - 13 of this report. Technology

Semiconductors

February 20, 2014 Intense Foundry Competition

TSMC management recently Chart 4: TSMC’s View of Chip Area Scaling – January 2014 presented this chart to investors, as a rebuttal to Intel’s public comments in 2013 suggesting Intel would have a significant area-scaling advantage at 14nm over TSMC’s 16nm FinFET (as previously defined).

TSMC recently announced “16nm FinFET Plus”, which narrows the gap relative to Intel’s 14nm.

We view TSMC's 16nm FinFET Plus development as a big effort to fortify its position against looming threat from Samsung's and Intel's 14nm nodes.

We continue to expect the leading- edge foundry debate to intensify in CY14, and Samsung to disrupt TSMC’s leading-edge foundry model.

Source: TSMC, Jefferies

Chart 5: TSMC’s View of Chip Area Scaling – October 2012 This chart recaps TSMC’s original definition of its 16nm FinFET node.

According to TSMC CTO's presentation at ARM Techcon 2012, TSMC's 16FF (16nm FinFET) node would not deliver a chip area scaling benefit compared to its 20nm node.

Source: TSMC CTO Dr. Jack Sun at ARM Techcon 2012

page 4 of 13 Sundeep Bajikar, Equity Analyst, (415) 229-1552, [email protected]

Please see important disclosure information on pages 10 - 13 of this report. Technology

Semiconductors

February 20, 2014

Bill Holt EVP of Intel's Technology Chart 6: Intel’s View of Chip Area Scaling – May 2013 and Manufacturing group presented at the Jefferies Global TMT Conference in May 2013, and stated that Intel would remain on the same Moore's law cost reduction path that it had been on historically, in spite of expected delays in the availability of EUV technology.

We believe Intel is able to stay on the cost curve primarily due to two factors - 1) focused Integrated Design Manufacturing (IDM) model, and 2) deep R&D pipeline.

We continue to believe Samsung would also enjoy an IDM cost advantage in ARM MPU like Intel does in x86 MPU.

Source: Dr. Bill Holt, EVP and Head of Technology Manufacturing Group, Intel at Jefferies Global TMT Conference on May 8, 2013

Intel’s Bill Holt presented this slide at Chart 7: Intel’s View of Chip Area Scaling – November 2013 Intel’s investor meeting in November 2013, reiterating his views originally presented in May 2013 at the Jefferies Global TMT Conference.

Source: Dr. Bill Holt, EVP and Head of Technology Manufacturing Group, Intel at Intel Investor Meeting on November 21, 2013

page 5 of 13 Sundeep Bajikar, Equity Analyst, (415) 229-1552, [email protected]

Please see important disclosure information on pages 10 - 13 of this report. Technology

Semiconductors

February 20, 2014 Industry Foundry Commentary

Samsung plans to ramp volume Chart 8: Samsung Comments related to Foundry from 4Q13 Earnings Call production at 14nm starting as early IR: “In 2014, we plan to introduce 20-nanometer mobile AP products … We will also as 2H14, through a new production make every effort to build a firm foundation for stable future growth through preparing line in Hwaseong (S3). We estimate 14-nanometer FinFET process technology and expanding customer base …” initial S3 wafer capacity of ~40- 50KWSPM would be similar to wafer Samsung: “… our 20-nano process work is on schedule. It's difficult for us to capacities at Samsung’s S1 and S2 give you the exact share in an overall capacity, but we consider the 20-nano fabs. technology as a node for us to build up our process competitiveness even further. And so, in general, the share of 20-nano capacity will not be large in We expect Samsung to ramp our total LSI capacity. Regarding the 14-nano, the 14-nano work is also on manufacturing at its 20nm node in schedule. Mass production is scheduled for either end 2014 or early 2015 … 1H14, but shift to 14nm as quickly as … In terms of business strategy for the foundry in 2014, our basic strategy is to possible, starting in 2H14. increase the share of our 28-nano, as well as to attract more customers to

have a stable business base. Samsung plans to increase its share of 28nm Foundry, we believe in part … The share of the foundry business in our overall revenue in both 2013 and 2014 will be by supplying its AP and ModAP around 10%, but we will continue to grow by migrating onto the next generation products to OEMs like Lenovo. processes.

We continue to expect large Foundry … To give you an update on our Hwaseong plant, which is the System LSI line, customers like to shift the target of course as you mentioned is to complete that in end or late 2014 production from TSMC to Samsung, or early 2015 mass production. And in the second half of this year, we will be and Samsung's Foundry success to bringing in the line equipment and completing the preparations to go into become more evident in CY14. mass production …”

Source: Factset, Jefferies

TSMC is ramping production at its Chart 9: TSMC Comments related to 20nm at 4Q13 Earnings Call 20nm node now, we think Co-CEO: “…We have two fab, Fab 12 and Fab 14, that complete the core of 20- predominantly for high-performance SoC. And as a matter of fact, we started production – we are in the volume FPGA (e.g. Xilinx) and GPU (e.g. production as we speak right now… there are more than $10 billion has been ). committed to build the capacity. Second, we have more than 2,500 engineer and 1,500 operator right now in manufacturing doing the 20-SoC volume production … we have We expect top-tier Smartphones in probably – at the end of this year, we have more than thousands of tape-out from about a 1H14, including Samsung’s dozen customer that they are producing the 20-SoC product …” upcoming Galaxy S5, to use 28nm “… Let me talk about the competition. I'm very confident that our 20-SoC is the highest AP and Modem components. gate density and volume production … Please remember that, highest gate density and the high volume production. I don't think any competitor today can claim on this We expect 20nm AP or Modem kind of a production and with this kind of gate density at this time, nobody. components to ramp for Smartphones in 2H14. And most of our competitor, to be frank with you, they are not even into this game yet. So we are confident, we want to have a good business that will contribute to TSMC's wafer revenue by probably around 10% this year …”

Source: Factset, Jefferies

page 6 of 13 Sundeep Bajikar, Equity Analyst, (415) 229-1552, [email protected]

Please see important disclosure information on pages 10 - 13 of this report. Technology

Semiconductors

February 20, 2014

Chart 10: TSMC Comments related to 16nm FinFET at 4Q13 Earnings Call We believe TSMC completed risk Co-CEO: “we have achieved the risk production milestone of 16-FinFET in November production at 16nm FinFET in 2H13, 2013, November last year. And this month, we should pass the 1,000 hours so-called the around the same time as we believe technology qualification … So the technology is ready for customer product tape-out. Samsung completed risk production Our 16-FinFET yield improvement has been ahead of our plan. This is because at its 14nm node. we have been leveraging the yield running our 20-SoC.

While TSMC's 16nm FF Plus would And with this status, we are developing an enhanced transistor version of 16- narrow the area-scaling gap relative FinFET Plus with 15% performance improvement. It will be the highest to Intel's and Samsung's 14nm, we performance technology among all available 16-nanometer and 14- estimate volume production at nanometer technology in 2014. The above progress status is well ahead of TSMC's 16nm FF Plus would occur Samsung. about one year after Samsung's Let me comment on the Intel's recent graph shown in their investor meetings showing on 14nm. We believe Samsung is on the screen. We usually do not comment on other company's technology but this is – track to start volume production at because this has been talking about TSMC technology and, as Chairman said, has been its 14nm node this year, while Intel is misleading, to me is erroneous based on outdated data. So I like to make the following in production at 14nm now. rebuttal …

TSMC’s competitive rhetoric … Therefore, we leverage the volume experience in volume production this year to be suggests the company is under able to immediately go down to 16-nanometer volume production next year, within one pressure due to increased year and this transistor performance and innovative layout methodology can competition from Samsung and improve the chip size by about 15%. This is because the driving of the transistor is Intel. much stronger so that you don't need such a big area to deliver the same driving of the circuitries. And for the 10-nanometer, we haven't announced it, but we did communicate We think increased competition at with many of our customers that that will be the aggressive scaling of technology we're the leading-edge is likely to translate doing. into softness in foundry pricing, in And so, in the summary, our 10-FinFET technology will be qualified by the end of 2015. CY14. 10-FinFET transistor will be our third generation FinFET transistor. This technology will come with industry's leading performance intensity. So I want to leave this slide by 16- FinFET scaling is much better than Intel's set, but still a little bit behind Intel. However, the real competition is between our customer's product and Intel's product or Samsung's product …

… With this 16-FinFET technology and the innovations of processor architecture and various IP from our customers, we are confident that this planned 16-FinFET mobile product, which is going to tape out to us, will be better than Samsung's 14-nanometer and better than Intel's 14-SoC.

Source: Factset, Jefferies

page 7 of 13 Sundeep Bajikar, Equity Analyst, (415) 229-1552, [email protected]

Please see important disclosure information on pages 10 - 13 of this report. Technology

Semiconductors

February 20, 2014

Chart 11: AMAT Comments related to Foundry at C1Q14 Earnings Call Applied Materials (AMAT) views CEO: “… we believe 2013 wafer fab equipment spending ended the year towards the FinFET as a huge strategic battle in midpoint of our range of $27 billion to $30 billion. We expect investment levels to leading-edge foundry. be stronger in 2014, up 10% to 20%, driven by higher spending in foundry and memory. The foundry has remained the biggest component of wafer fab AMAT expects Foundry WFE CapEx equipment as they ramp new factories to fulfill demand for advanced mobile to increase by 10%-to-20% in CY14. chips and race to introduce new technology to enable devices with higher performance and longer battery life. We expect foundry investments to grow AMAT is seeing Foundry WFE CapEx 10% to 20% this year …” investments from a broader range of customers, i.e. not just TSMC. “… Approximately half of total foundry spending this year will be focused on ramping 20- nanometer technology … Leading customers are also making significant investments in their pilot lines for FinFET …”

“ … We also see all the customers really focused on FinFET, the FinFET transition. That's a huge strategic battle for all of the different foundry customers, so we see more technology buys this year as all of those customers race to be the first to have a cost-effective FinFET device with high yield. And we also see as you mentioned a broadening relative to the foundry investments that is positive for the year …”

Source: Factset, Jefferies

Chart 12: KLA Tencor Comments related to Foundry at Investor Conference KLA Tencor (KLAC) expects WFE CapEx to grow by ~10% in CY14. CFO: “… I think to get to plus 10% given what's been publicly stated – now what they publicly say and what they end up doing isn't always the same thing. I mean, when you KLAC expects Foundry WFE CapEx think about last year and you just think about foundry logic and you think that Samsung's growth to be driven by Samsung’s investment was fairly low last year, right? So I think given what's expected at S3 S3 fab (new 14nm Fab in and the middle of the year, I think that that would I think imply a pretty, at Hwaseong). least more – a higher level of spend there.

So as we look at the funnel and we sort of base it and tie it out to this tops-down view, that's kind of how we get to the 10%. As we think that Intel is flattish probably and TSMC maybe spends a little bit more, I think Samsung has to spend more on logic and then I think it's a broader participation. I think the GLOFO clearly has been very open I think in terms of what they want to do going forward …”

“… I think that the big focus for foundries is being able to work with their fabless customers to be able to prove that some of the designs that they're putting in can yield correctly and we're certainly very involved in working with those test product wafers to help bring up the yield, then ultimately putting the product in place. But there's that proving out period to begin with and then – but there's also a learning cycle with the real product as well. And there's early opportunities up front with those test designs and that opportunity can grow as say that foundry wins a particular fabless customer's business …”

Source: Factset, Jefferies

page 8 of 13 Sundeep Bajikar, Equity Analyst, (415) 229-1552, [email protected]

Please see important disclosure information on pages 10 - 13 of this report. Technology

Semiconductors

February 20, 2014 Industry 3D NAND Commentary AMAT expects broader 3D NAND Chart 13: AMAT Comments related to 3D NAND at C1Q14 Earnings Call investments from suppliers other CEO: “… We also expect a stronger year of spending by NAND customers as mobility than Samsung, who led 3D NAND supports bit growth demand in the 40% to 50% range and 3D technology is introduced. investments starting in 2013. We anticipate the total NAND investment will be around $7 billion with incremental

spending in advanced planar NAND and initial investment in 3D NAND …” Our checks suggest Toshiba/SanDisk, Micron, and SK hynix are all likely to “… NAND is also up both in planar and in 3D NAND, 3D NAND really mostly increase investments in 3D NAND in focused on technology buys for those customers …” CY14. “… planar NAND investment is definitely picking up. And on 3D NAND, I think it's AMAT thinks it is too early to tell well known, one customer is ramping capacity there, but this is really whether 3D NAND volumes would another important strategic battle in the NAND business, and we see be big in CY14, and expects a bigger incremental spending from a technology standpoint, really across the board 3D NAND ramp in CY15. for all of those different customers. That transition from planar are to 3D is a tough transition …”

“…I was just with the customer, another customer yesterday and they are planning to convert one of their factories from planar to 3D NAND. But what I would also – and all of the customers are very focused on this technology that the opportunity for bit scaling is pretty compelling … What I would also say is that this is a difficult transition. Customers are making good progress in the transition, but I think it's somewhat too early to call in terms of actually how big this will be in 2014. Certainly, 2015 there is a lot of customers that are ramping or planning to ramp 3D NAND technology …”

Source: Factset, Jefferies

KLAC expects one supplier (we Chart 14: KLA Tencor Comments related to 3D NAND believe Samsung) to have some capacity ramping for 3D NAND by CMO: “… it's difficult to share specific customer information. But I think one of the key the end of CY14. areas of focus with 3D NAND is getting to enough stacks so that from a cost point of view, that the cost is competitive versus planar technology. And I think that as you get to the KLAC is seeing broader industry right multi-layer structure, the progress that's being made in R&D, I'm pretty comfortable investments in 3D NAND. that's going to be successful going into production. But it needs to be at the right multi- layers so that it's cost-effective to migrate in that direction. But we're seeing broad investment in 3D NAND across the customer base. And I think it's successful technology that will see its way into production …”

CFO: “… I think that's one thing that's encouraging over the last six months is we've seen more broader participation really from multiple customers. I think at SEMICON we were, there was the one player that was obviously moving forward. We were skeptical about some of the others in terms of their pace of investment. And we've seen that clearly pick up where I actually think at least one other player will have some wafer starts by the end of the year in 3D NAND …”

Source: Factset, Jefferies

page 9 of 13 Sundeep Bajikar, Equity Analyst, (415) 229-1552, [email protected]

Please see important disclosure information on pages 10 - 13 of this report. Technology

Semiconductors

February 20, 2014

Analyst Certification I, Sundeep Bajikar, certify that all of the views expressed in this research report accurately reflect my personal views about the subject security(ies) and subject company(ies). I also certify that no part of my compensation was, is, or will be, directly or indirectly, related to the specific recommendations or views expressed in this research report. I, Mark Lipacis, certify that all of the views expressed in this research report accurately reflect my personal views about the subject security(ies) and subject company(ies). I also certify that no part of my compensation was, is, or will be, directly or indirectly, related to the specific recommendations or views expressed in this research report. I, Lee Simpson, certify that all of the views expressed in this research report accurately reflect my personal views about the subject security(ies) and subject company(ies). I also certify that no part of my compensation was, is, or will be, directly or indirectly, related to the specific recommendations or views expressed in this research report. I, Delos Elder, CFA, CPA, certify that all of the views expressed in this research report accurately reflect my personal views about the subject security(ies) and subject company(ies). I also certify that no part of my compensation was, is, or will be, directly or indirectly, related to the specific recommendations or views expressed in this research report. I, Robert Lamb, certify that all of the views expressed in this research report accurately reflect my personal views about the subject security(ies) and subject company(ies). I also certify that no part of my compensation was, is, or will be, directly or indirectly, related to the specific recommendations or views expressed in this research report. Registration of non-US analysts: Lee Simpson is employed by Jefferies International Limited, a non-US affiliate of Jefferies LLC and is not registered/ qualified as a research analyst with FINRA. This analyst(s) may not be an associated person of Jefferies LLC, a FINRA member firm, and therefore may not be subject to the NASD Rule 2711 and Incorporated NYSE Rule 472 restrictions on communications with a subject company, public appearances and trading securities held by a research analyst.

Registration of non-US analysts: Robert Lamb is employed by Jefferies International Limited, a non-US affiliate of Jefferies LLC and is not registered/ qualified as a research analyst with FINRA. This analyst(s) may not be an associated person of Jefferies LLC, a FINRA member firm, and therefore may not be subject to the NASD Rule 2711 and Incorporated NYSE Rule 472 restrictions on communications with a subject company, public appearances and trading securities held by a research analyst.

As is the case with all Jefferies employees, the analyst(s) responsible for the coverage of the financial instruments discussed in this report receives compensation based in part on the overall performance of the firm, including investment banking income. We seek to update our research as appropriate, but various regulations may prevent us from doing so. Aside from certain industry reports published on a periodic basis, the large majority of reports are published at irregular intervals as appropriate in the analyst's judgement. Company Specific Disclosures For Important Disclosure information on companies recommended in this report, please visit our website at https://javatar.bluematrix.com/sellside/ Disclosures.action or call 212.284.2300.

Meanings of Jefferies Ratings Buy - Describes stocks that we expect to provide a total return (price appreciation plus yield) of 15% or more within a 12-month period. Hold - Describes stocks that we expect to provide a total return (price appreciation plus yield) of plus 15% or minus 10% within a 12-month period. Underperform - Describes stocks that we expect to provide a total negative return (price appreciation plus yield) of 10% or more within a 12-month period. The expected total return (price appreciation plus yield) for Buy rated stocks with an average stock price consistently below $10 is 20% or more within a 12-month period as these companies are typically more volatile than the overall stock market. For Hold rated stocks with an average stock price consistently below $10, the expected total return (price appreciation plus yield) is plus or minus 20% within a 12-month period. For Underperform rated stocks with an average stock price consistently below $10, the expected total return (price appreciation plus yield) is minus 20% within a 12- month period. NR - The investment rating and price target have been temporarily suspended. Such suspensions are in compliance with applicable regulations and/ or Jefferies policies. CS - Coverage Suspended. Jefferies has suspended coverage of this company. NC - Not covered. Jefferies does not cover this company. Restricted - Describes issuers where, in conjunction with Jefferies engagement in certain transactions, company policy or applicable securities regulations prohibit certain types of communications, including investment recommendations. Monitor - Describes stocks whose company fundamentals and financials are being monitored, and for which no financial projections or opinions on the investment merits of the company are provided. Valuation Methodology Jefferies' methodology for assigning ratings may include the following: market capitalization, maturity, growth/value, volatility and expected total return over the next 12 months. The price targets are based on several methodologies, which may include, but are not restricted to, analyses of market risk, growth rate, revenue stream, discounted cash flow (DCF), EBITDA, EPS, cash flow (CF), free cash flow (FCF), EV/EBITDA, P/E, PE/growth, P/CF, P/FCF, premium (discount)/average group EV/EBITDA, premium (discount)/average group P/E, sum of the parts, net asset value, dividend returns, and return on equity (ROE) over the next 12 months.

Jefferies Franchise Picks page 10 of 13 Sundeep Bajikar, Equity Analyst, (415) 229-1552, [email protected]

Please see important disclosure information on pages 10 - 13 of this report. Technology

Semiconductors

February 20, 2014

Jefferies Franchise Picks include stock selections from among the best stock ideas from our equity analysts over a 12 month period. Stock selection is based on fundamental analysis and may take into account other factors such as analyst conviction, differentiated analysis, a favorable risk/reward ratio and investment themes that Jefferies analysts are recommending. Jefferies Franchise Picks will include only Buy rated stocks and the number can vary depending on analyst recommendations for inclusion. Stocks will be added as new opportunities arise and removed when the reason for inclusion changes, the stock has met its desired return, if it is no longer rated Buy and/or if it underperforms the S&P by 15% or more since inclusion. Franchise Picks are not intended to represent a recommended portfolio of stocks and is not sector based, but we may note where we believe a Pick falls within an investment style such as growth or value.

Risk which may impede the achievement of our Price Target This report was prepared for general circulation and does not provide investment recommendations specific to individual investors. As such, the financial instruments discussed in this report may not be suitable for all investors and investors must make their own investment decisions based upon their specific investment objectives and financial situation utilizing their own financial advisors as they deem necessary. Past performance of the financial instruments recommended in this report should not be taken as an indication or guarantee of future results. The price, value of, and income from, any of the financial instruments mentioned in this report can rise as well as fall and may be affected by changes in economic, financial and political factors. If a financial instrument is denominated in a currency other than the investor's home currency, a change in exchange rates may adversely affect the price of, value of, or income derived from the financial instrument described in this report. In addition, investors in securities such as ADRs, whose values are affected by the currency of the underlying security, effectively assume currency risk. Other Companies Mentioned in This Report • Altera Corp (ALTR: $35.75, HOLD) • Apple Inc. (AAPL: $537.37, BUY) • ASML Holding NV (ASML: $15.33, BUY) • Intel Corporation (INTC: $24.50, BUY) • Micron Technology, Inc. (MU: $25.42, BUY) • QUALCOMM Incorporated (QCOM: $75.77, BUY) • Co. Ltd. (005930 KS: KRW1,290,000, BUY) • SanDisk Corporation (SNDK: $74.53, BUY) • Spansion, Inc. (CODE: $16.25, HOLD) • Xilinx Corp (XLNX: $50.87, HOLD) Distribution of Ratings IB Serv./Past 12 Mos. Rating Count Percent Count Percent BUY 886 49.25% 211 23.81% HOLD 765 42.52% 126 16.47% UNDERPERFORM 148 8.23% 4 2.70%

page 11 of 13 Sundeep Bajikar, Equity Analyst, (415) 229-1552, [email protected]

Please see important disclosure information on pages 10 - 13 of this report. Technology

Semiconductors

February 20, 2014

Other Important Disclosures

Jefferies Equity Research refers to research reports produced by analysts employed by one of the following Jefferies Group LLC (“Jefferies”) group companies: : Jefferies LLC which is an SEC registered firm and a member of FINRA. United Kingdom: Jefferies International Limited, which is authorized and regulated by the Financial Conduct Authority; registered in England and Wales No. 1978621; registered office: Vintners Place, 68 Upper Thames Street, London EC4V 3BJ; telephone +44 (0)20 7029 8000; facsimile +44 (0)20 7029 8010. Hong Kong: Jefferies Hong Kong Limited, which is licensed by the Securities and Futures Commission of Hong Kong with CE number ATS546; located at Suite 2201, 22nd Floor, Cheung Kong Center, 2 Queen’s Road Central, Hong Kong. : Jefferies Singapore Limited, which is licensed by the Monetary Authority of Singapore; located at 80 Raffles Place #15-20, UOB Plaza 2, Singapore 048624, telephone: +65 6551 3950. Japan: Jefferies (Japan) Limited, Tokyo Branch, which is a securities company registered by the Financial Services Agency of Japan and is a member of the Japan Securities Dealers Association; located at Hibiya Marine Bldg, 3F, 1-5-1 Yuraku-cho, Chiyoda-ku, Tokyo 100-0006; telephone +813 5251 6100; facsimile +813 5251 6101. India: Jefferies India Private Limited, which is licensed by the Securities and Exchange Board of India as a Merchant Banker (INM000011443) and a Stock Broker with Bombay Stock Exchange Limited (INB011491033) and National Stock Exchange of India Limited (INB231491037) in the Capital Market Segment; located at 42/43, 2 North Avenue, Maker Maxity, Bandra-Kurla Complex, Bandra (East) Mumbai 400 051, India; Tel +91 22 4356 6000. This material has been prepared by Jefferies employing appropriate expertise, and in the belief that it is fair and not misleading. The information set forth herein was obtained from sources believed to be reliable, but has not been independently verified by Jefferies. Therefore, except for any obligation under applicable rules we do not guarantee its accuracy. Additional and supporting information is available upon request. Unless prohibited by the provisions of Regulation S of the U.S. Securities Act of 1933, this material is distributed in the United States ("US"), by Jefferies LLC, a US-registered broker-dealer, which accepts responsibility for its contents in accordance with the provisions of Rule 15a-6, under the US Securities Exchange Act of 1934. Transactions by or on behalf of any US person may only be effected through Jefferies LLC. In the United Kingdom and European Economic Area this report is issued and/or approved for distribution by Jefferies International Limited and is intended for use only by persons who have, or have been assessed as having, suitable professional experience and expertise, or by persons to whom it can be otherwise lawfully distributed. Jefferies International Limited has adopted a conflicts management policy in connection with the preparation and publication of research, the details of which are available upon request in writing to the Compliance Officer. Jefferies International Limited may allow its analysts to undertake private consultancy work. Jefferies International Limited’s conflicts management policy sets out the arrangements Jefferies International Limited employs to manage any potential conflicts of interest that may arise as a result of such consultancy work. For Canadian investors, this material is intended for use only by professional or institutional investors. None of the investments or investment services mentioned or described herein is available to other persons or to anyone in Canada who is not a "Designated Institution" as defined by the Securities Act (Ontario). In Singapore, Jefferies Singapore Limited is regulated by the Monetary Authority of Singapore. For investors in the Republic of Singapore, this material is provided by Jefferies Singapore Limited pursuant to Regulation 32C of the Financial Advisers Regulations. The material contained in this document is intended solely for accredited, expert or institutional investors, as defined under the Securities and Futures Act (Cap. 289 of Singapore). If there are any matters arising from, or in connection with this material, please contact Jefferies Singapore Limited, located at 80 Raffles Place #15-20, UOB Plaza 2, Singapore 048624, telephone: +65 6551 3950. In Japan this material is issued and distributed by Jefferies (Japan) Limited to institutional investors only. In Hong Kong, this report is issued and approved by Jefferies Hong Kong Limited and is intended for use only by professional investors as defined in the Hong Kong Securities and Futures Ordinance and its subsidiary legislation. In the Republic of (), this report should not be distributed. The research in relation to this report is conducted outside the PRC. This report does not constitute an offer to sell or the solicitation of an offer to buy any securities in the PRC. PRC investors shall have the relevant qualifications to invest in such securities and shall be responsible for obtaining all relevant approvals, licenses, verifications and/or registrations from the relevant governmental authorities themselves. In India this report is made available by Jefferies India Private Limited. In Australia this information is issued solely by Jefferies International Limited and is directed solely at wholesale clients within the meaning of the Corporations Act 2001 of Australia (the "Act") in connection with their consideration of any investment or investment service that is the subject of this document. Any offer or issue that is the subject of this document does not require, and this document is not, a disclosure document or product disclosure statement within the meaning of the Act. Jefferies International Limited is authorised and regulated by the Financial Conduct Authority under the laws of the United Kingdom, which differ from Australian laws. Jefferies International Limited has obtained relief under Australian Securities and Investments Commission Class Order 03/1099, which conditionally exempts it from holding an Australian financial services licence under the Act in respect of the provision of certain financial services to wholesale clients. Recipients of this document in any other jurisdictions should inform themselves about and observe any applicable legal requirements in relation to the receipt of this document. This report is not an offer or solicitation of an offer to buy or sell any security or derivative instrument, or to make any investment. Any opinion or estimate constitutes the preparer's best judgment as of the date of preparation, and is subject to change without notice. Jefferies assumes no obligation to maintain or update this report based on subsequent information and events. Jefferies, its associates or affiliates, and its respective officers, directors, and employees may have long or short positions in, or may buy or sell any of the securities, derivative instruments or other investments mentioned or described herein, either as agent or as principal for their own account. Upon request Jefferies may provide specialized research products or services to certain customers focusing on the prospects for individual covered stocks as compared to other covered stocks over varying time horizons or under differing market conditions. While the views expressed in these situations may not always be directionally consistent with the long-term views expressed in the analyst's published research, the analyst has a reasonable basis and any inconsistencies can be reasonably explained. This material does not constitute a personal recommendation or take into account the particular investment objectives, financial situations, or needs of individual clients. Clients should consider whether any advice or recommendation in this report is suitable for their particular circumstances and, if appropriate, seek professional advice, including tax advice. The price and value of the investments referred to herein and the income from them may fluctuate. Past performance is not a guide to future performance, future returns are not guaranteed, and a loss of original capital may occur. Fluctuations in exchange rates could have adverse effects on the value or price of, or income derived from, certain investments. This report has been prepared independently of page 12 of 13 Sundeep Bajikar, Equity Analyst, (415) 229-1552, [email protected]

Please see important disclosure information on pages 10 - 13 of this report. Technology

Semiconductors

February 20, 2014 any issuer of securities mentioned herein and not in connection with any proposed offering of securities or as agent of any issuer of securities. None of Jefferies, any of its affiliates or its research analysts has any authority whatsoever to make any representations or warranty on behalf of the issuer(s). Jefferies policy prohibits research personnel from disclosing a recommendation, investment rating, or investment thesis for review by an issuer prior to the publication of a research report containing such rating, recommendation or investment thesis. Any comments or statements made herein are those of the author(s) and may differ from the views of Jefferies. This report may contain information obtained from third parties, including ratings from credit ratings agencies such as Standard & Poor’s. Reproduction and distribution of third party content in any form is prohibited except with the prior written permission of the related third party. Third party content providers do not guarantee the accuracy, completeness, timeliness or availability of any information, including ratings, and are not responsible for any errors or omissions (negligent or otherwise), regardless of the cause, or for the results obtained from the use of such content. Third party content providers give no express or implied warranties, including, but not limited to, any warranties of merchantability or fitness for a particular purpose or use. Third party content providers shall not be liable for any direct, indirect, incidental, exemplary, compensatory, punitive, special or consequential damages, costs, expenses, legal fees, or losses (including lost income or profits and opportunity costs) in connection with any use of their content, including ratings. Credit ratings are statements of opinions and are not statements of fact or recommendations to purchase, hold or sell securities. They do not address the suitability of securities or the suitability of securities for investment purposes, and should not be relied on as investment advice. Jefferies research reports are disseminated and available primarily electronically, and, in some cases, in printed form. Electronic research is simultaneously available to all clients. This report or any portion hereof may not be reprinted, sold or redistributed without the written consent of Jefferies. Neither Jefferies nor any officer nor employee of Jefferies accepts any liability whatsoever for any direct, indirect or consequential damages or losses arising from any use of this report or its contents. For Important Disclosure information, please visit our website at https://javatar.bluematrix.com/sellside/Disclosures.action or call 1.888.JEFFERIES

© 2014 Jefferies Group LLC

page 13 of 13 Sundeep Bajikar, Equity Analyst, (415) 229-1552, [email protected]

Please see important disclosure information on pages 10 - 13 of this report.