<<

An introduction to harvesting and micro-power management

Aldo ROMANI

Department of Electrical, Electronic, and Information Engineering “Guglielmo Marconi” Advanced Research Center on Electronic Systems “E. De Castro” Campus of Cesena, University of Bologna

1 Outline

• Introduction to energy harvesting transducers • Techniques and trade-offs in micro-power management circuits • Evolution and trends in power management circuits • Case Studies (depending on time)

2 Introduction to energy harvesting transducers

3 Energy harvesting: what applications?

Smart clothing: M.Dini et al., A fully A small wearable autonomous integrated RF energy harvesting collects energy from system for wearable applications, EuMW electromagnetic waves 2013

Body-powered devices: Battery can be replaced with V. Leonov, C. Van PV cells, thermoelectric Hoof, R. Vllers, Thermoelectric and generators that harvests hybrid generators in wearable devices energy from light and human and clothes, BSN 2009, 6th Workshop body heat. on Body Networks, Smart shoes: N. Schenk, J. Vibrations can be used Paradiso, Energy scavenging with for powering small shoe-mounted piezoelectrics, Micro, systems such as IEEE , vol.21, no.3, wireless pedometer. 2001

4 Energy harvesting: what applications?

• Smart home/cities/objects • ‘True’ Internet-of-Things • Roadmap towards trillion (connected) à The ‘Abundance’

source: http://www.greenpeak.com

J. Bryzek, Emergence of Trillion Sensors Movement, IEEE MEMS, 2014

5 Energy harvesting: what applications?

• Industrial machinery • ‘Smart’ rotating parts – Reliability / monitoring – Improved control • Inaccessible sensor nodes

6 6 Market Trends

• The energy harvesting market is growing slower than predicted – Power from miniature source is actually very low, in the order of µW – Larger batteries are still cheaper than energy transducers – Applications and circuits (sensors, RF transceivers, power converters, etc.) are thought for operating with batteries and not in extreme power- and voltage- constrained scenarios

Value of EH devices by application

5000 Other+ Healthcare# 4500 Military and aerospace excluding WSN 4000 Other Industrial^ 3500 Wireless sensor mesh networks Other portable consumer electronics~ 3000 Mobile phones

2500 Laptops and e-books million $ 2000 Bicycle dynamo Wristwatches 1500 Value US 1000

500

0 2010 2011 2012 2013 2014 2015 2016 2017 2018 2019 2020

Consulting – Publications – Conferences © IDTechEx Ltd

IDTechEx, Energy Harvesting Europe 2010 EETimes, 2016

7 The Bad

Energy Storage Sources Projections

350

300

250

200 Li Ion

Wh/Kg 150 PEM Fuel Cell 100

50

0 +0 +5 +10 Years • Gene’s law does not apply to analog sensing and transmission (slower decrease)

• Energy storage density increases only ~1.5x/decade (~1.04x/year)

Energy autonomous systems: future trends in devices, technology, systems, CATRENE Working Group on Energy Autonomous Systems, 2009

8 Lower power dissipation Power Dissipation Power Consumption 1,000 100%

100

10

1 Energy The Good scavenging Lower power dissipation0.1 uW/cm3 Standby 0.01 Power mW/MMACs Power Dissipation 0.001 G. FrantzPower, Digital signal Consumption processor Brain 1,000 0.0001100%trends, IEEE Micro, vol. 20, no. 6, Active 0.00001 pp.52-59, 2000 0% 100 90nm 65nm 45nm 32nm 1982 Year 2010 10 •Lower power dissipation per function Gene’s Law 1 Energy Gene's Law: will be driven down aggressively – scavenging Power allowing perpetual devices 0.1 3 DSP Power uW/cm dissipation Standby• Batteries will be, in some cases, 0.01 willPower decrease replaced with energy scavenging by half every Mobile Phone

mW/MMACs plus energy storage elements 0.001 Brain 18 months 0.0001 Active

0.00001 0% 90nm 65nm 45nm 32nm 1982 Year 2010 (G. Frantz, SoC in the new Paradigm of IC technology, IEEE Consumer •Lower power dissipation per function Electronics Society – DallasGene’s Chapter Law, Aug 2008) Gene's Law: will be driven down aggressively – The energy perPower bit per computation decreases accordingallowing to the perpetual technology devices trend dissipation DSP Power (Gene’s law: energy/bit ~1.6x/year)• Batteries will be, in some cases, will decrease replaced with energy scavenging by half every Mobile Phone plus energy storage elements 18 months 9 Electromagnetic Energy Harvesters

• An electromagnetic harvester – is basically modelled as a mass/spring system, with a coil and a magnet – the housing is subject to vibrations – exploits electromagnetic induction

m z(t) V

magnet y(t)

10 Electromagnetic energy harvesters

• Perpetuum[1] energy harvester Simplified representation – Frequency tuned on mains frequency 50/60 Hz BW<1Hz RL

– Output power up to 20 mW (a) (b) RC – Diameter: 68 mm, height: 63.3 mm

• Enocean motion energy [2] [1] Perpetuum Ltd., http:// harvester www.perpetuum.com

– Used for wireless light switches [2] Enocean, PTM200 Datasheet, http:// www.enocean.com 3 – Dimensions: 29 x 19 x 7 mm [3] S. Kulkarni et al., Design, fabrication – Energy output: 200 µJ @2V and test of integrated micro-scale vibration-based electromagnetic generator, Sensors and Actuators A, vol. [3] 145, 2008 (Tyndall Institute, Univ. • MEMS realizations Southampton) – 0.1 cm3 volume – 23 nW output power @1g @9.83 kHz – electrodeposited coil

11 Piezoelectric transducers

• Common materials: Simplified representation – PZT (Lead Zirconate Titanate) is a ceramic material with a high coupling coefficient k. The RLmaterial is rigid, fragile, and contains lead. – PVDF (Polyvinylidene fluorid(a)) is a polymeric material (b) RC with a lower k. It’s non-toxic, bendable and can resist high shocks or impacts. • Typical frequencies: from few to hundreds Hz Commercial piezoelectric transducers Cap. per Transducer Material PIEZO SYSTEMS area [F/cm2] PIEZO SYSTEMS Ceramic 12.2 nF/cm2 Q220-A4-503YB material MIDE Ceramic MIDE VOLTURE V25W 8.56 nF/cm2 material MEAS-SPEC MEAS - SPEC. Meas-spec 380 pF/cm2 DT SERIES PIEZO (DT1-028K) piezo film MEAS-SPEC (PVDF) MEAS - SPEC. MiniSense 100 PVDF 254 pF/cm2

12 Equivalent Electromechanical Circuits

• In general, the above simplified models are valid for weakly electro-mechanically coupled vibrational transducers – i.e. when perturbations on the electrical port (e.g. power converter) produce negligible perturbations on the mechanical domain • A more accurate model involves the use of equivalent electromechanical circuits – Lumped parameters, suitable for joint simulation with circuits, still some limitations (more accurate around resonance, accounting for a single vibration mode, etc.)

13 Equivalent electromechanical circuits

• Electro-mechanical analogy

ELECTRIC SERIES RESON ANT CIRCUIT ELECTRIC PARALLEL ANTIRESONANT MECHANICAL RESONANT SYSTEM (MAXWELL’S AN AL OGY) CIRCUIT (FIRESTONE’S ANALOGY)

du! di 1 dv 1 F = M + c u! + k u!dt V = L + Ri + idt I = C + Gv + vdt dt v s ∫ dt C ∫ dt L ∫

F, Exerting Force (N) V, Voltage Generator (V) I, Current Generator (A) M, Mass (Kg) L, Inductance (H) C, Capacitance (F) NTITIES cv, Viscous coeff. (N·m/s) R, Resistance (Ω) G, Conductance (S) -1 (ks) , Elastic Compliance (m/N) C, Capacitance (F) L, Inductance (H) ů, Velocity (m/s) i, Current (A) v, Voltage (V) f, Force (N) v, Voltage (V) i, Current (A) ½Mů2 (Kg·m2/s2) ½Li 2 Magnetic Energy (H·A2) ½Cv2 Electrostatic Energy (F·V2) 2 2 2 2 2 ½ksu Elastic Energy (N·m) ½C v Electrostatic Energy (F·V ) ½Li Magnetic Energy (H·A ) NVOLVED PHYSICAL QUA I Power=f·ů (W=N·m/s) Power=v·i (W=V·A) Power=i·v (W=A·V) Complex Power F·Ů (N·m/s) Complex Power V·I (V·A) Complex Power I·V (V·A) Impedance=F/Ů (N·s/m) Impedance=V/I (Ω=V/A) Admittance=I/V (S=A/V)

R. Paganelli, A. Romani, A. Golfarelli, M. Magi, E. Sangiorgi, M. Tartagni, Modeling and characterization of piezoelectric transducers by means of scattering parameters. Part I: Theory, Sensors and Actuators A: Physical, Vol. 160, no. 1-2, 2010

14 Equivalent Electromechanical Circuits

• Example: for a vibrational piezoelectric harvester

F = kPE ⋅ z + α⋅V ! I = αz! −C0V

m!y! = m!z!+ kPE z +

+αV + bmz!

• Example: for a vibrational electromagnetic harvester

V∞ = α⋅ z!

Fe = α⋅i V = f (i) di V = L + R i + f (i) ∞ COIL dt COIL

m!z!+bmz! + Fe + kz = −m!y!

15

A. Costanzo et al. / Sensors and Actuators A 179 (2012) 158–168 163

Optimum power points MEAS @ 900MHz SIM @ 900MHz

(a) Working conditions chosen by control MEAS @ 2450 MHz SIM @ 2450 MHz 1.2 60 500 1 50 400 0.8 40 GSM900 W) µ µ µ µ (V) 300 ( RF Energy Harvesting0.6 30 [mV] DC

RECT 200 V RECT V

0.4 20 P 100 0.2 10

• RF carriers can be rectified in order to store locally energy 0

0 0 0 0.5 1 1.5 2 2.5

= rectifying antenna

0 25 50 75 100 125 150 175 200 225 250 Incident power dens ity [µW/cm2]

– Matching network must be designed according to the expectedIRECT (µA) input power

Fig. 11. Measured and computed rectenna rectified voltage in stationary conditions

(time-independent rectifier load). rectifier

(b) 1 50

0.9 3.2. Time-domain simulation of the entire harvester system 0.8 40

output

0.7 Since the boost converter is a switching circuit, a full simulation

W)

of the entire system can only be carried out by transient analy- µ µ 0.6 30 µ µ

(V) GSM1800

(

0.5 sis in the time domain, taking into account the strongly dispersive

RECT

0.4 20 antenna behavior shown in Fig. 9. For this purpose the general RECT V

P

• Simplified representation: 0.3 method introduced in [2] is used to build a SPICE-compatible time-

0.2 10 domain model of the rectenna RF portion (antenna and matching

R

EQ 0.1 network). The model evaluates the time-domain response (i.e., the

0 0 current) of the matched antenna to an arbitrary time-dependent

0 25 50 75 100125150175200225250 exciting voltage. In order to avoid convolutions, prior to the begin-

several kΩ IRECT (µA) ning of the simulation the model computes once for all and stores

the device responses to a set of unit ramps of different durations.

VOC

V-I and P-I transfer characteristics Such responses are evaluated by Fourier analysis. Specifically, in

typically few (c) 0.4 12

order to compute the response to a unit ramp of duration !, the

hundreds mV 0.35

10 device is excited by a periodic trapezoidal voltage with rise and

0.3 fall times equal to !. The period T is chosen to be long enough that

8

0.25 W) 16 each turn-on or turn-off transient reaches steady state before the µ µ µ µ

(V)

( subsequent transient begins. In such conditions, if we denote the

0.2 WiFi 6

exciting voltage by RECT

0.15 RECT V 4 P H

0.1

2 v(t) Vh exp(jhω0t) (7) 0.05 = h H

0 0 !=−

where = 2 /T, the matched antenna response becomes

0 25 50 75 100125150 175 200225 250 ω0 #

IREC T (µA) H

i(t) Y(hω0)Vh exp(jhω0t) (8)

Fig. 10. P–I V–I rectenna characteristics in stationary conditions, for PAV = 100 ␮W. =

h H

Black dots indicate simulated optimum power points. Blank dots indicate conditions !=−

chosen by control (see Section 4).

(8) sets to ˝ = Hω0 the upper bound of the frequency range

where the admittance matrix Y(ω) must be known. A typical ramp

tracking in real time the maximum power point is needed, rather response obtained in this way is shown in Fig. 12. At run time,

than a constant load. At a first glance this might seem a very difficult internally to the model the exciting voltage is approximated by

task, but Fig. 10 gives a precious hint on how such result may be a piecewise linear function, and the current is efficiently evaluated

achieved. Indeed, this figure shows that irrespective of RF frequency by scaling and linearly combining the stored ramp responses. The

(and thus of the actual baseband power level), optimum power is time-domain model of the rectenna was included in a netlist with

approximately obtained when VRECT is about one half of the open- the other components and then simulated with the Spectre circuital

circuit voltage (corresponding to IRECT = 0), and IRECT is about one simulator.

half of the short-circuit current (corresponding to VRECT = 0). Further

simulations in stationary conditions have shown that this result 4. Design and operation of the boost converter

remains approximately valid at different RF power levels and even

in the presence of multiple incident RF fields at different frequen- The power converter is usually a critical component in energy

cies. This criterion is thus assumed as a guideline in the design of harvesting systems, since the levels of available power and the out-

the boost converter, to be detailed in Section 4. Finally, for val- put voltages of energy transducers are usually very low and highly

idation purposes Fig. 11 provides a comparison of the measured variable. For this reason it is essential to achieve high conversion

and predicted rectified voltage across the physical optimum load efficiency and low extra power consumption. In view of many fore-

connected to the rectifier output, for two different RF sources and seen applications for portable and wearable autonomous systems,

radiated power levels. it is mandatory to achieve both targets. harvesting

• Thermoelectric generators (TEG) exploit the Seebeck/Peltier effect by deploying arrays of thermocouples, thermally in parallel, and eletrically in series. • Temperature gradients and the corresponding heat flow produce voltage.

thermocouple TEG

Simplified representation electrical part: • Equivalent (V, I) from few Ω circuit: to few thermal part: hundreds Ω (ΔT, ! !) typically tens to S. Lineykin, S. Ben-Yaakov. Spice compatible Equivalent Circuit of the hundreds mV Energy Conversion Process in Thermoelectric Modules. 23th Israel Convention, Tel Aviv, pp. 346-349, 2004.

17 Micro-Thermoelectric Generators

ΔT is the temperature difference between Nextreme hot side (TH) and cold side (TC).

* Temperature difference between hot side and ambient temperature. Micropelt GreenTEG

V [V] P [W] Power Size OUT MAX Manufacturer - Product (matched (matched Process [mm] density [W/ load) load) cm3/K] Eu. Thermodynamics - WxL=54x57 11.4 @ 14.6 @ 7.34e-3 Standard GM200-449-10-12 H=3.8 ΔT=170K ΔT=170K Eu. Thermodynamics - WxL=30x30 4.14 @ 2.72 @ 4.80e-3 Standard GM200-127-10-15 H=3.7 ΔT=170K ΔT=170K WxL=11.2x10.2 0.85 @ 0.13 @ Nextreme - PG8005/6 2.07e-2 Thin film H=1.1 ΔT=50K ΔT=50K WxL=4.2x3.35 2.33 @ 13.6e-3 @ Micropelt - MPG-D751 2.96e-2 Thin film H=1.09 ΔT=30K ΔT=30K WxL=7.1x7.1 0.388 @ 178e-6 @ GreenTEG – gTEG B* 1.51e-4 Thin film H=0.63 ΔT=37K ΔT=37K

18 Photovoltaic Energy Harvesting

Miniature commercial devices and emerging technologies

• Sanyo amorphous PV cells (e.g. AM1407) – Optimized for indoor fluorescent light (40-1000 Lux) – Output power (AM-1407) ≈ 100 µW (indoor FL light, 240 Lux)

• Ixys© PV module in tiny SMD packages (e.g. CPC1822) – Output power ≈ 100 µW at direct sunlight (6000 Lux)

• DSSC - Dye synthesized solar cell [1] – Photoelectrochemical system (no silicon) – Can be flexible and transparent equivalent circuit – Growing efficiency (up to 15% [2])

Sanyo AM1407

CPC1822 [1] Hardin, Brian E., Henry J. Snaith, and Michael D. McGehee. "The renaissance of dye-sensitized solar cells." Nature 6.3 (2012): 162-169. [2] Burschka, Julian, et al. "Sequential deposition as a route to high-performance perovskite-sensitized solar cells." Nature (2013). 19 Towards MEMS Energy Harvesters

• The current trend is to further shrink down energy transducers thanks to MEMS technologies or wafer-level processing (output power also scales!)

Electromagnetic Piezoelectric Thermoelectric 0.1 cm3, 23 nW @1g @9.83 kHz 200 nW @0.5g @400 Hz 6-20 mV/K, 2-10 Ω electrodeposited copper coil 16 mm2, deposited AlN 3-9 mm2, 8-16 uW @1K S. Kulkarni et al., Sensors and Actuators J. Iannacci et al., Microsystem thin film semiconductor, A, vol. 145, 2008 Technologies, vol. 20, 2014 thermally conductive AlN (Tyndall Institute, Univ. Southampton) (FBK, Delft Univ. Tech, Munich ceramics Univ. Tech.) Laird Technologies eTEG

20 Nano-Power Micro-Motes

CubeWorks micro-sensing nodes

University of Michigan Micro-Motes M3

http://cubeworks.us/ https://www.eecs.umich.edu/eecs/about/articles/2015/Worlds-Smallest-Computer-Michigan-Micro-Mote.html 21 Current and Future Power Sources

solar panels, micro wind turbines, miniature mechanical generators 1W (consolidated) 100 mW

10 mW cm-sized energy harvesting 1 mW transducers: piezoelectric, electromagnetic, thermoelectric, RF, 100 µW small-sized PV (present) 10 µW

1 µW MEMS devices, CMOS on-chip , microfabricated 100 nW thermoelectrics (mm-sized devices) 10 nW (near future) 1 nW bio-potentials, heart beat, nanowires (piezo, PV, thermal) (future?)

22 Components of an Energy Autonomous System

Energy generation Energy conversion, Energy consumption management & distribution

Energy Harvesting Ultra-low Power Power Management Energy System Storage

Energy autonomous system • Energy generation: – Energy Harvesting: “transducers” making energy available from correlated or uncorrelated sources of energy – Energy Storage: Any kind of energy storage element that could be used to accumulate energy in excess from the harvester (e.g. batteries, (super), etc.) • Energy conversion, management and distribution. – any energy conversion system that trades and optimizes the energy flow from the Energy Generation block, to the user load, from/to the energy storage • Energy Consumption – Data acquisition, elaboration, storage and transmission.

23 Techniques and design trade-offs for power management circuits

Maximizing the extracted power

24 Maximum Power Transfer

source impedance

ZS load Z VL VOC impedance L

• The theorem of maximum power transfer states that the power

transferred from a source to a load is maximized when ZL=ZS*

– where ZS = RS + jXS is the source impedance and ZL = RL + jXL is the load impedance • For a linear source, in the maximum power condition (MPP):

– VL = VOC / 2 2 2 – PL = VL / RL = VOC / 4RL

25 Power Transfer Characteristics

• The static I-V curves are a convenient way to describe the properties of a source in view of the design of the power converter

– All curves combining two parameters among (P, V, I, RL) are equivalent: P=VI, V=RLI – NOTE: reactive behaviour is not accounted for

• For a linear load, the MPP is located at 50% of VOC

• For a PV cell, the MPP is located around 70-80% of VOC

ISC ISC

V-I and P-I I-V and P-V curves for curves for a 50% V 75% V V linear sources OC VOC PV source OC OC

P=VI P=VI

• In order to extract all the available power, a power converter should draw from the source a current that keeps its output voltage in proximity of the MPP • I-V curves are also useful to estimate other features of the source (e.g. rise time, etc.)

26 MPPT for DC sources

• POUT depends on both the source condition and on the V output current, and… IN • …yes, there is a maximum! (MPP).

• Fractional open-circuit Sampling voltage MPPT technique (FOCV): open circuit good compromise between power voltage spent and extracted – For each type of source the MPP roughly Optimum voltage occurs when the source voltage equals a fixed fraction of the open-circuit voltage (e.g. 75% for PV, 50% for linear sources) – A DC/DC converter can switch so as to keep the source around this voltage VOC – The reference voltage should be VIN V periodically refreshed based on OCV Energy REF – …yes, it’s suboptimal but consumes little extraction energy

27 Piezoelectric Sources

• Let us now consider the simplified equivalent circuit of a piezoelectric transducer • A rectifier is the simplest circuit for extracting power, but has limited and variable efficiency • What if we applied the matched load (i.e., an unrealistically big L)? – An ideal voltage source would seem to provide infinite power! – NOTE: some parameters were neglected (series resistance, electromechanical parameters, etc.). • The message is that much higher power might still be available than with a purely resistive load. efficiency CP=50nF, CO=10µF,L=10mH, R=10Ω,VP=5V,

simplified equivalent power transfer circuit characteristics

28 Rectifiers for AC Piezolectric Sources

• Rectifiers are quite common in piezoelectric energy harvsting • Simplest available electronic interface • However – limited angle of conduction – does not match imaginary part of source impedance

V P VO

-VO

E. Lefeuvre et al., “A comparison between several vibration-powered piezoelectric generators for standalone systems,” Sensors Actuators A Phys., vol. 126, no. 2, 2006.

29 Synchronous Electric Charge Extraction for AC Piezoelectric Sources • Piezo transducers are (low-frequency) AC sources with maximum energy achieved only twice per period • Synchronous Electric Charge Extraction (SECE) technique: Two resonant circuits can be used used to remove charge from the transducer:

L-CP and L-CO • Electrical charge is extracted in correspondence of maximum and minimum voltages à very low duty cycle (< 1%) à very low consumed energy

charge extraction C P

energy storage

C P

30 Efficiency of SECE

• SECE uncouples the source from the load à efficiency almost constant • It converts energy only when available (tracks maxima) à suitable for irregular vibrations • The peak-to-peak voltage on the transducer gets doubled à Energy per cycle increases • Phase 1 has constant duration and then constant efficiency • Phase732 2 has variable duration à variable efficiencyIEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 29, NO. 2, FEBRUARY 2014

The rectifier interface is outperformed by SECE (when electromechanical coupling is low)

A. Romani et al., Micropower design of a fully autonomous energy harvesting circuit for arrays of piezoelectric transducers, IEEE Trans. Power Electron., 2014 Fig. 3. Block diagram of the architecture of the multisource energy harvester. The blocks inside the dashed box are replicated31 for each handled source. Fig. 2. Efficiencies η and ηR of a single energy conversion as functions of the ratio VO /VPi for typical values of circuit parameters (VP = 5V,L = 10 Section II. With respect to the scheme in Fig. 1, a series of mH, CP = 52 nF, R = 10 Ω,andCO = 10 µF) and of the ratio γ = Vγ /VPi, where Vγ is the diode forward voltage drop. Equations (8) and (10) correspond design tradeoffs will be introduced. The primary objective is to γ = 0. to make the design compatible with input power levels down transferred to the output during an individual conversion is to few microwatts, as in many energy harvesting applications. 1 Much effort was put into minimizing all sources of intrinsic ∆E = C (V 2 V 2 ) O 2 O F − O power consumption (e.g., static and switching power, leakage currents, etc.) and, at the same time, into achieving high levels 1 V 2 2 Pi (7) of efficiency. A second design objective was to make the circuit = CO VO [fL,CP ,CO ,R( ) 1]. 2 · VO − suitable for batteryless operation and able to start autonomously An expression for the overall efficiency η of a single energy when enough energy is available. In energy harvesting appli- transfer can then be derived cations, this spares designers the use of batteries and all the 2 ∆EO CO VO 2 VPi associated drawbacks, among which are substitutions, capacity η = = fL,CP ,CO ,R 1 . EH CP VPi · VO − decay over time, and degradation. In this case, circuit design ! " # ! " $(8) had to cope with the unavailability of steady reference voltages In order to provide a term of comparison, if a passive rectifier and with highly variable input voltages from transducers. was used instead, as in [6] and [27], in case of ideal diodes with no voltage drops, when VPi >VO ,thefinalvalueVF of the A. System Architecture output voltage and the related energy efficiency η would be R AblockdiagramofsystemarchitectureisshowninFig.3. C V C V V = V O Pi P +1 = V g Pi The proposed architecture is scalable and, as depicted, can easily F O · C + C V C O · C P ,CO V P O ! O O " ! O " manage many piezoelectric transducers. Without losing gener- (9) ality, the presented circuit implementation harvests power from three independent piezoelectric transducers. However, a higher ∆E C V 2 V η = O = O O g 2 Pi 1 (10) number of transducers might be easily managed by replicating R E C V · C P ,CO V − H P ! Pi" # ! O " $ the circuit blocks included in the dashed box. where gC P ,CO is once again a function of the ratio VPi/VO for In this implementation, a rectifier circuit is associated to every agivensetofcircuitparameters. transducer and a capacitor is used for storing the energy collected Actually, as shown in Section III, design tradeoffs will require from all sources. The switching converter block implements the the use of a diode rectifier bridge for handling negative voltages single inductor topology of Fig. 1. When insufficient energy in ΦA and of a flyback diode in ΦB .Asaconsequence,itcan is stored in the output capacitor, the digital control and the be demonstrated that a further dependence of η and ηR on the switching converter are disabled and a passive interface charges Vγ /VPi ratio is introduced, where Vγ is the forward voltage drop the storage capacitor. When the minimum activation voltage is of diodes. The values of the estimated efficiencies for the actual reached, a wake-up circuit disables the passive interface and circuit implementation and for a passive rectifier are reported turns the digital control unit on. The switching converter is in Fig. 2. In general, as it can be observed in Fig. 2, η quickly triggered by the digital control upon the information provided reaches an asymptotic value as VO rises, while ηR drops to zero by a set of peak detectors. A gate driver was also required. after reaching a maximum value. The dependence on Vγ /VPi becomes weaker as the ratio tends to zero. For this reason, (8) B. Rectifier and Passive Interface and (10) should be intended as an upper bound for the efficiency As shown in Fig. 4, a full wave rectifier bridge is connected of energy conversion. to each piezoelectric transducer. Despite diode losses, for our micropower application this choice represents a satisfactory III. CIRCUIT DESCRIPTION tradeoff between efficiency and consumed power. Cross cou- This section presents an energy autonomous circuit im- pled MOSFET pairs, as in [30], may reduce losses but would plementation of the power conversion method presented in also introduce a higher residual charge after each conversion due Synchronized Switch Interfaces

• A passive rectifier has a limited angle of conduction – i.e. conduction starts when the source voltage is greater than the output voltage, and stops at maximum elongation when the generated current changes its sign – Electrical charge generated by the tranducer outside this period is not collected on the output • Synchronized-Switch Harvesting on Inductor (SSHI) consists in: – an inductor L in series with an electronic switch connected in parallel with the piezoelectric element – The electronic switch is briefly turned on when the mechanical displacement reaches a maximum or a minimum. – The switch is turned off after a half electrical period, resulting in a quasi-instantaneous inversion of V. – V is brought on the opposite boundary of conduction of rectifier • Many variations have been presented in literature E. Lefeuvre, et al., “A comparison between several vibration-powered piezoelectric generators for standalone systems,” Sensors Actuators A, 2006.

32 SECE/SSHI-induced Damping for AC Piezoelectric Sources

• In AC, SECE/SSHI apply a periodic series of current pulses to the transducer • The first harmonic of current drawn from the transducer depends on frequency, on capacitance of transducer and on the actual voltage amplitude

+∞ * ) # T & # T &, I(t) = CPVP ⋅ +δ%t − − jT (−δ%t + − jT (., ∑ * $ 4 ' $ 4 '- j=−∞

+∞ " * % n 4C V " t % I(t) = (−1) $ P P 'sin$2π (2n +1) ' . ∑ $ T ' # T & n=0 # &

* I1(t) = 4 f ⋅CPVP ⋅sin(2π ft),

• Damping may arise as electromechanical coupling of

transducer increases à VP* < 2 VOC • Performance might drop! A. Romani et al., IEEE Sensors J., 2013

33 Multi-Source Harvesting Idea

• Micro-power conversion likely to occur in discontinuous conduction 1 SOURCE • A single time-shared inductor & multi-input boost converter

FOCV PV

2 SOURCES FOCV RF

FOCV TEG N SOURCES

SECE boost converter PZ voltage regulation Dini et al., Bandyopadhyay et al., Romani et al., + ext. load IEEE TPEL 2015 JSSC 2012 IEEE TPEL, 2014

34 Techniques and design trade-offs for power management circuits

The importance of reducing intrinsic power

35 Battery-less Reference Architecture

PINT A. Romani et al., IEEE PVMON Computer, 2017

LDO or switching

PAV PSRC η PSRC

PLEAK

• The power converter has efficiency η and draws PSRC from the source

• The control circuits of the power converter steal an intrinsic power PINT (static + dynamic)

• The storage capacitor has a leakage current: PLEAK

• The voltage monitor draws a power PVMON

• The power available for the load is: PAV = η PSRC – PINT – PLEAK – PVMON

PINT, PSRC and η are correlated à trade-off based on the maximum source power

36 Duty-cycled Operation

V activate load activate load storage energy available harvest harvest for the load VDDH useful range 2 2 ΔE = ½ CSTORE (VDDH -VDDL ) VDDL unused range baseline energy 2 EBASE = ½ CSTORE VDDL time

• When PLOAD > PAV duty-cycled operation is necessary • Load is activated when the output voltage is between two thresholds

– The linear or switching regulator that supplies the load requires a minimum voltage VDDL for operating

– Given the energy ΔE required by the load per activation, the activation voltage VDDH depends on CSTORE

• Large CSTORE à large EBASE à long wake-up time

• Small CSTORE à higher VDDH à higher PLEAK and PINT, less efficient regulation • Trade-offs are generally required!

37 Managing The Harvested Power

• Typical energy harvesting applications: when the power consumed by the application is higher than the harvested power, the duty-cycle of activation must be reduced The average consumed power decreases with the source: ti.com duty-cycle…

…at least, until we reach the baseline consumption asymptotically! Input power can be lower than this!

38 Baseline Consumptions

• As duty cycle à 0, the consumed power approaches the ‘baseline’ consumption, i.e.: 1. The stand-by/sleep power of the application circuits (e.g. CPU, radio, etc) 2. If the load supply is cut off, the static current of the supervisor circuit (voltage monitor)

3. In last instance, the intrinsic power of the power converter

• The hard limit for any energy harvesting application is the intrinsic consumption of the power converter. – the maximum source power must be necessarily higher in order to achieve a positive power budget (i.e. to progressively store energy)

NOTE: keep in mind that if you want high η and also PSRC close to the MPP you’ll generally have to spend higher PINT, but in power-constrained scenarios the quantity to maximize is:

PAV = η PSRC – PINT = η ηMPP PSRC,MAX – PINT ß need for trade-offs with η, ηMPP and PINT!

39 Evolution & Trends in Power Management Circuits for Energy Harvesting Applications

40 Advantages of ICs

• Why ASICs for energy harvesting? – Very low parasitics and leakage currents à extremely low intrinsic power (at least 10x with respect to discrete components) – Possibility of fine tuning of all design parameters – Size is also reduced, but usually is not an issue (transducers, inductors and storage are usually larger than the IC) • What technology? – No need for extreme integration: analog and power conversion circuits do not necessarily benefit from high miniaturization – Older processes tend to handle higher voltages and to have lower leakage currents

41 Commercial devices

• The “Energy harvesting” words have been often appearing in many datasheets in the last decade • The first devices had still (relatively) high intrinsic consumption limiting the efficiency • Most of them were basically implementing a DC/DC converter with an input rectifier for vibrational sources • The next generation of devices implemented more specific MPPT techniques for squeezing more power out of the power source • The latest generation target ultra-low intrinsic consumption and look forward towards 1 µW operations

42 LTC3108 Ultralow Voltage Step-Up Converter and Power Manager

FEATURES DESCRIPTION n Operates from Inputs of 20mV The LTC®3108 is a highly integrated DC/DC converter ideal n Complete Energy Harvesting Power for harvesting and managing surplus energy from extremely The LTC3588-1 interfaces with the piezo through Managementits internal System low input voltage sources such as TEGs (thermoelectric - Selectable VOUT of 2.35V, 3.3V, 4.1V or 5V generators), and small solar cells. The step-up low loss bridge rectifier accessible via the PZ1 and - LDO: PZ2 2.2V at 3mA topology operates from input voltages as low as 20mV. pins. The rectified output is stored on the VIN capacitor. - Logic Controlled Output The LTC3108 is functionally equivalent to the LTC3108-1 - Reserve Energy Output except for its unique fixed VOUT options. n Power Good Indicator At typical 10µA piezoelectric currents, the voltage drop Using a small step-up transformer, the LTC3108 provides a Linear Technologiesn Uses Compact Step-Up Transformers complete power management solution for wireless sensing associated with the bridge rectifier is on the ordern Smallof 400mV.12-Lead (3mm 4mm) DFN or 16-Lead × and data acquisition. The 2.2V LDO powers an external SSOP Packages microprocessor, while the main output is programmed to (continued from page 1) Figure 1. Piezoelectric energyA PPLICATIONS one of four fixed voltages to power a wireless • Among the first semiconductor or sensors. The power good indicator signals that the main harvesting power supply bursts must occur at a low duty cycle, n Remote Sensors and Radio Power output voltage is within regulation. A second output can be companies with a dedicated class of n ADVANCED CERAMETRICS PFCB-W14 such that the total output energy during Surplus Heat Energy Harvesting enabled by the host. A storage capacitor provides power products n HVAC Systems when the input voltage source is unavailable. Extremely PZ1 PZ2 10µH the burst does not exceed the average n Industrial Wireless Sensing low quiescent current and high efficiency design ensure VIN SW VOUT n Automatic Metering LTC3588-1 source power• integratedLTC3588 over (2010).an energy Basically an 1µF the fastest possible47µF charge times of the output reservoir n VOUT Building Automation 6V capacitor. 6V n CAP PGOOD accumulation cycle.hysteretic A sensor systemswitching regulator from a Predictive MaintenanceC STORAGE 2 OUTPUT 25V V D0, D1 The LTC3108 is available in a small, thermally enhanced that makes a measurement‘large’ input at regular capacitor charged L, LT, LTC, LTM, Linear Technology and the Linear logo areIN2 registered trademarks of Linear VOLTAGE Technology Corporation. All other trademarks are the property of their respective owners. 12-lead (3mm × 4mm) DFN package and a 16-lead SSOP 4.7µF GND SELECT intervals, transmitsautonomously data and powers by the source. 6V package. down in between is– a primeRelatively candidate high voltage thresholds for an energy harvesting– 2.7V solution. min input voltage, ~85% efficiency, TYPICAL APPLICATION quiescent current up to 2.5 µA KEY TO HARVESTING IS Wireless Remote Sensor Application Powered From a Peltier Cell – No evident MPPT technique VOUT Charge Time to the UVLO rising threshold and result When1nF VIN reaches the UVLO rising thresh- LOW QUIESCENT CURRENT 1:100 5V C1 VSTORE 1000 + + VOUT = 3.3V in a regulated output. Once in regula+- old, the high effciency0.1F integrated syn- THERMOELECTRIC COUT = 470µF The energy •harvesting LTC3108 process (2009). relies on Ana Armstrong- 220µF LTC3108 6.3V GENERATOR 330pF tion, the LTC3588-1 enters a sleep state in chronous buck converter turns on and C2 VOUT2 100 low quiescent currentMeissner energy oscillatoraccumulation based on a PGOOD PGD which both input and output20mV quiescent TO 500mV begins to transfer2.2V energy µPfrom the input phase. The LTC3588transformer-1 enables this and through a depletion -mode FET SW VLDO currents are minimal. For instance, at capacitor to the output2.2µF SENSORScapacitor. The10 an undervoltage +lockout an output (UVLO ) rectifiermode with + LDO 3.3V TIME (sec) VS2 VOUT + VIN = 4.5V, with the output in regula- buck regulator uses a hystereticRF LINK - a wide hysteresis window– Min inputthat draws voltage less down to 20 mV with a 1:100 470µF 1 1:100 Ratio tion, the quiescent current is only 950nA. age algorithmVS1 V to control the output via than a microamp of quiescenttransformer current. The OUT2_EN 1:50 Ratio VAUX GND 3108 TA01a 1:20 Ratio – No MPPT The buck converter then turns on and internal feedback from the V sense pin.0 UVLO mode allows charge to build up on OUT 0 50 100 150 200 250 300 350 400 1µF VIN (mV) – Relatively low efficiency off as needed to maintain regulation. It charges the output capacitor through 3108 TA01b an input capacitor until an internal buck 3108fc Low quiescent current in both the sleep an inductor to a value slightly higher converter can• eff…cientlyand transfermany more!a por- tion of the stored charge to the output. and UVLO modes allows as much energy than theFor regulation more information www.linear.com/LTC3108point by ramping the 1 to be accumulated in the input reser- inductor current up to 250mA through 43 Figure 2 shows a profle of the quiescent voir capacitor as possible, even if the an internal PMOS switch and then ramp- current in UVLO, which is monotonic with source current available is very low. ing it down to zero current through an VIN so that a current source as low as internal NMOS switch. This effciently 700nA could charge the input capacitor delivers energy to the output capacitor.

1000 22 2400 D1 = D0 = 1 CSTORAGE = 22µF, COUT = 47µF D1 = D0 = 0 900 20 NO LOAD, I = 2µA 2200 85°C VIN 800 18 2000 85°C 16 700 1800 25°C 14 600 VIN 1600 12 25°C

(nA) 500 –40°C (nA) 1400 VIN 10 VIN I 400 I 1200 VOLTAGE (V) VOLTAGE 8 –40°C 300 1000 6 200 4 VOUT 800 100 2 PGOOD = LOGIC 1 600 0 0 400 0 1 2 3 4 5 6 0 200 400 600 2 4 6 8 10 12 14 16 18 VIN (V) TIME (s) VIN (V)

Figure 2. IVIN in UVLO vs VIN Figure 3. A 3.3V regulator start-up profile Figure 4. IVIN in sleep vs VIN

4 | April 2010 : LT Journal of Analog Innovation Linear Technologies

design features

• Among the first semiconductor Figure 8. Block diagram of the LTC3588-1 VIN

companies with a dedicated class of 20V INTERNAL RAIL GENERATION

CAP products PZ1

SW

VIN2 • LTC3588 (2010). Basically an PZ2

BUCK UVLO hysteretic switching regulatorIf the input from voltage fallsa below the CONTROL UVLO falling threshold before the out- GND ‘large’ input capacitor chargedput voltage reaches regulation, the buck SLEEP BANDGAP converter shuts off and is not turned on REFERENCE VOUT again until the input voltage rises above 2 autonomously by the source. D1, D0 the UVLO rising threshold. During this time PGOOD COMPARATOR PGOOD – Relatively high voltage thresholdsthe leakage on the VOUT sense pin is no LTC3108 greater than 90nA and the output volt- LTC3588-1 – 2.7V min input voltage, ~85% ageefficiency, remains near the level it had reached BLOCK DIAGRAM quiescent current up to 2.5 µAwhen the buck was switching. Figure 3 shows a typical start-up waveform of the LTC3108 V – No evident MPPT technique LTC3588-1 charged by a 2µA current source. 1.3Ω OUT2 The buck delivers up to 100mA of aver- The buck operatesILIM only when suffcient VOUT2 age load current when it is switching. energy has been accumulated in the input When the synchronous buck brings the VOUT2_EN OFF ON

Four output voltages, 1.8V, 2.5V, 3.3V and capacitor,1.2V and it transfers energy to the output voltage into regulation the con- SYNC RECTIFY REFERENCE • LTC3108 (2009). An Armstrong- 3.6V, are pin selectable and accommodate outputV REFin short bursts, much shorter5M than verter enters a low quiescent current sleep C1 VOUT powering1:100 of microprocessors,C1 sensors and the time it takes to accumulate energy. state that monitors the output voltage with VIN VOUT Meissner oscillator based on a wireless . Figure 4 shows the When the buck operating quiescent cur- a sleep comparator. During this operating CIN 5.25V COUT extremely low quiescent current while in rent is averaged over an entire accumula- mode, load current is provided by the buck C2 + C2 transformer and a depletion-mode FET regulation and in sleep, which allows for tion/burst period, the average quiescentVS1 output capacitor. When the output voltage – SW SW VOUT CHARGE V effcient operation at light loads. Although currentCONTROL is very low, easily accommodatVS2 - OUT falls below the regulation point the buck VSTORE PROGRAM + an output rectifier + LDO the quiescent current of the buck regula- ing sources that harvest small amounts regulator wakes up and the cycle repeats. 0.5Ω VREF This hysteretic method of providing a reg- tor while switching is much greater than of ambient energy. The extremely low – Min input voltage down to 20 mV with a 1:100 VLDO ulated output minimizes losses associated the sleep quiescent current, it is still a quiescent current in regulation also allows 1M small percentage of the load current, the LTC3588-1 to achieve high effciency at transformer with FET switching and makes it possible PGD – which results in high effciency over a loads under 100µA as shown in Figure 5PGOOD. to effciently regulate at very light loads. + – No MPPT VAUX VSTORE wide range of load conditions (Figure 5). VBEST LDO VOUT VREF 1µF CSTORE – Relatively low efficiency GND (SSOP) EXPOSED PAD (DFN) VLDO

100 12 20 3108 BD VIN = 5V VIN = 18V, LEAKAGE AT PZ1 OR PZ2 90 18 2.2V 2.2µF 80 16 9 • …and many more! 70 INCREASING 14 VIBRATION ENERGY 60 12 50 6 10 40 8 44 EFFICIENCY (%) 30 6 3 (Refer to the Block Diagram) BRIDGE LEAKAGE (nA) VOUT = 3.6V OPERATION

20 (V) RECTIFIED PIEZO VOLTAGE 4 VOUT = 3.3V 10 VOUT = 2.5V The LTC3108 is designed to use a small external step-up average2 power draw is very low, but there may be periodic VOUT = 1.8V 0 transformer0 to create an ultralow input voltage step-up pulses0 of higher load current required. This is typical of 1µ 10µ 100µ 1m 10m 100m DC/DC converter0 and10 power manager.20 It is ideally30 suited wireless–55 sensor–10 applications,35 80 where125 the170 quiescent power LOAD CURRENT (A) for low power wirelessPIEZO sensors CURRENT and(µA) other applications in draw is extremelyTEMPERATURE low most (°C)of the time, except for transmit

Figure 5. Efficiency vs ILOAD whichFigure surplus 6. Typical energy piezoelectric harvesting is loadused lines to generate for Piezo system Figurebursts 7. whenThe internal circuitry bridge is powered rectifier outperformsup to make measure- powerSystems because T220-A4-503X traditional battery power is inconvenient discretements solutionsand transmit data. or impractical. The LTC3108 can also be used to trickle charge a standard The LTC3108 is designed to manage the charging and capacitor, or , using April 2010 : LT Journal of Analog Innovation | 5 regulation of multiple outputs in a system in which the energy harvested from a Peltier or photovoltaic cell.

3108fc

8 For more information www.linear.com/LTC3108 bq25570 TI andSLUSBH2E STM–MARCH 2013–REVISED MARCH 2015 www.ti.com 8.2 Typical Applications

8.2.1 Solar Application Circuit

CSTOR CBYP + • The TI bq255xx and the ST SPV1050 BAT implement a buck-boost topology with VOC_SAMP VSTOR VBAT L1 FOCV MPPT (16s refresh period) LBOOST CIN VREF_SAMP L2 Boost LBUCK + Solar Controller VOUT System – Best trade-off for minimizing intrinsic Cell CREF - COUT Load VSS Buck Controller consumption and for ULP sources MPPT VIN_DC Cold Start VSS VSTOR VBAT – Low voltage ‘cold’ start-up is performed Nano-Power Host Management with internal charge pumps GPIO1 EN src: TI, GPIO2 VOUT_EN

GPIO3 VBAT_OK bq25570 bq25570 VBAT_OV OK_PROG – The ICs are supplied from the storage VRDIV OK_HYST VOUT_SET datasheet device R Functional descriptionROV2 ROK3 OUT2 SPV1050

6.3 Buck-boost configurationROK2 • TI bq255xx ROV1 ROUT1 Figure 12 shows the buck-boost applicationROK1 circuit. – cold start-up from 330mV and 15 µW src: ST, Figure 12. Buck-boost configuration Figure 24. Typical Solar Application Circuit SPV1050 %$77 – sustained from 100 mV and 5 µW 8.2.1.1datasheetDesign Requirements 6725( The desired voltage levels are VBAT_OV = 4.2 V, VBAT_OK = 2.39 V, VBAT_OK_HYST = 2.80 V and MPP 893 – efficiency ~75% (VOC) = 80% which is typical,1B/9for solar panels. A 1.8-V, up to 100-mApower rail is also needed. There are no large load transients expected on either rail. 

(2& 6RODU 8.2.1.2 Detailed Design Procedure,1B+9  – OCV sampling: 400 ms every 16 s FHOO  '5,9(56 The recommended L1 = 22 µH with ISAT ≥ I-CHG(CBC_LIM)&21752/ , L2 = 10 µH with ISAT ≥ I-BUCK(CBC_LIM) , /B+9 MAX MAX CBYP = 0.01 µF and low leakage CREF = 10 nF are selected.6725( In order to ensure the fastest recovery of the  &21752/ harvester output voltage to the MPPT level following power/2*,&extraction, the minimum recommended CIN = 4.7 µF &6725( %DWWHU\ is selected. Because no large system load transients are expected9and to ensure/'2 fast charge time during cold 033 72/2$' • ST SPV1050 start, the minimum recommended CSTOR = 4.7 µF. 6725( 033B6(7 No MPPT resistors are required because VOC_SAMP0337 can be9tied to VSTOR to /'2give 80% MPPT. 033B5() 72/2$' – cold start-up from 550 mV • Keeing in mind VBAT_UV < VBAT_OV ≤ 5.5 V, to size the VBAT_OV resistors, first choose RSUMOV = ROV1 + ROV2 = 13 MΩ then solve Equation 2 for /'2B(1 BBBBBBBBBB ´ W´ =´3313M1.21VRSUMOV VBIAS ´ = W® W %$77B&21 – sustained from 75 mV and 2.5 µW ROV1 /'2B(1 5.61 M 5.62 M closestBBBBBBBBBB 1% value then %$77B&+* 2VBAT_OV24.2V&21) (12)

• ROV2 &LQ= RSUMOV - ROV1 = 13 MΩ - 5.62 MΩ = 7.38 MΩ → 7.32 MΩ resulting in VBAT_OV = 4.18V due to – efficiency ~80% rounding to the nearest 1% resistor. 3*1' *1' – OCV sampling: 256 ms every 16 s 24 Submit Documentation Feedback Copyright © 2013–2015, Texas Instruments Incorporated Product Folder Links: bq25570 $0

45

20/36 DocID025569 Rev 4 ST SPV1050

Functional description SPV1050 SPV1050 Functional description • Typical operation source: SPV1050 Figure 6 shows the input voltage waveform of a PV panel supplying VOC = 1.25 V and Figure 5 shows the behavior of input voltage VIN and VSTORE at the startup. VMPP = 1.05 V. datasheet Figure 5. Boost startup Figure 6. MPPT tracking

Once the VEOC threshold is triggered, the switching of the DC-DC converter is stopped until In the range 2.6 V VSTORE < VEOC the voltage is boosted by the DC-DC converter. In thisVSTORE will decrease to VEOC - EOCHYS. voltage range the SPV1050cold device sets start-up its internal impedance according to the integrated FOCV sampling MPPT algorithm (the MPPT mode is active). The SPV1050 device will stop switching for Figure 7. Triggering of VEOC (BATT pin floating) 400 ms (TSAMPLE) every 16 seconds (TTRACKING). During the TSAMPLE, the input open circuit voltage VOC is sampled by charging the capacitor on the MPP-REF pin. Once the TSAMPLE is elapsed, the DC-DC converter will start switching back by setting its own impedance such that VIN stays as close as possible to VMPP of the source. A resistor 46 partitioning connected between the source and the pins MPP and MPP-SET has to be properly selected, in order to match the manufacturer's specs. Please refer to Section 6.4: MPPT setting on page 24 for further details.

The periodic sampling of VOC guarantees the best MPPT in case of source condition variations (e.g. irradiation/thermal gradient and/or temperature changes).

DocID025569 Rev 4 17/36

36

18/36 DocID025569 Rev 4 Meanwhile in scientific literature…

• 2003.G. Ottman et al., Optimized Piezoelectric energy harvesting OTTMAN et al.: OPTIMIZED PIEZOELECTRIC ENERGY HARVESTING CIRCUIT circuit using698 step-down converter in 697discontinuousIEEE TRANSACTIONS ON POWER ELECTRONICS, conduction VOL. 18, NO. 2, MARCH mode, 2003 IEEE TPELWhich reduces to power of the piezoelectric element is the product of the output current and the rectifier capacitor voltage (14)

(2) The rectifier voltage and power flow from the piezoelectric ele- ment as regulated by the step-down converter for any excitation level, as specified by the magnitude of polarization current I , It is then apparent that the peak output power occurs when the can now be determined. For this circuit, the maximization of the power flow from rectifier voltage is maintained at Fig. 1. Energy harvesting circuitry. the piezoelectric element is considered as a function of the Fig. 2. Optimal duty cycle for maximum power transfer, step-down converter. • 2007. E.step-down Lefeuvre converter’s duty et cycle. al., Solving Buck- (10) forboost the duty converter for sensorless power where cycle:is the time period that the transistor is off and current (3) to avoid the reverse recovery problem of the diode [9], so the optimization of piezoelectric energy harvesterpower levels ( 50 mW), IEEE generated TPEL by the piezoelectric device flows through2020 the2020 free-wheeling diode. (4) can beIEEE used TRANSACTIONS toIEEE pro- TRANSACTIONS ON POWER ELECTRONICS, ON POWER ELECTRONICS, VOL. 22, NO. 5, VOL. SEPTEMBER 22, NO. 5, 2007 SEPTEMBER 2007 (15) and the simplified control method justify this approach. We –vide 85% an expression efficiency for with PIN 200 µW – 1.5 mW or one-half the open-circuit voltage of the piezoelectric element. also note that the above theory focused on the maximization of At peak power, the piezoelectric polarization current can be input power rather than output power of the converter, which The magnitude of the polarization current I generated by the found as a function of the optimal rectifier voltage from (3) as (6)would be more appropriate. Maximization of output power of piezoelectric element, and hence the optimal rectifier voltage, the converter, however, would require accurate loss models for may not be constant as it depends upon the level and frequency (16) the converter components, and was not attempted in this work. and substituted into (5) Provided the converter has reasonable efficiency, the optimal of the mechanical vibrations. This creates the need for flexi- Substituting into (15) and fixing the output voltage by the bat- operating points for maximizing input and output power of tery V , the optimal duty cycle which results in maximum bility in the circuit, i.e., the ability to change the output voltage the converter should be fairly close. of the rectifier as the mechanical excitation changes to achieve power can be determined as (7) Fig. 4. General circuit for power optimization. and maintain the maximum power flow. To accomplish this, a Fig. 4. General circuit for power optimization. Fig. 5. Buck–Fig.Boost 5. converter. Buck–Boost converter.IV. CIRCUIT IMPLEMENTATION • Similar approach as first product ((17)rectifier + DC/DC) dc–dc step-down converter is placed between the rectifier and By conservation of power for the converter (assuming losses are Building upon the relationship between the optimal duty to the displacement magnitude resulting from the ambient minimal),to the displacement output current magnitude canresulting be expressed from the ambient as a function ofcycle and the mechanical excitation, a dual method of energy the electronic load as shown in Fig. 1. A battery is used at the vibrations characteristicsvibrations characteristics output of the converter to provide energy storage and a “stiff” the input voltageThis relationship, and current the optimal and output duty cycle voltage and piezoelectric harvesting is proposed. At higher excitation levels of the piezo- element excitation level, is depicted in Fig. 2. For maximum 47 voltage to power the electronic load. Control of the converter is electric device, when the optimal duty cycle is nearly constant, power transfer, the rectifier voltage is maintained at(5) one-half(5) the the step-down converter will operate at the fixed duty cycle designed to maximize the power flow out of the converter and, open-circuit voltage (V being dependent on the mechanical (8)specified by (18). This allows for a simple controller consisting if effective, results in the piezoelectric element being at its point excitation); and as this voltage becomes much larger than the of a fixed-duty-cycle pulse-width-modulated signal to drive the and and of maximum power flow as described above. output battery voltage, the optimal duty cycle approaches a switching MOSFET. Because the converter is only operated at The inputconstant current value. of theIt is emphasizedconverter that can this now excitation/duty-cycle be determined by The step-down converter is a natural choice for this appli- or or high excitations, two advantages are realized: first, the optimal equatingrelationship (7) and (8) is for a step-down converter in DCM, and other(6) (6) duty cycle is relatively fixed, so operation at the optimal power cation, where the piezoelectric voltage can be very high and topologies or operating conditions would require different point is ensured; and secondly, the higher excitations provide reducing it to a level that is lower is required for the battery analysis. III. POWER OPTIMIZATION CIRCUIT sufficient energy to offset converter and control circuitry losses. By assumingIII. POWER a sufficientlyOPTIMIZATION largeCIRCUIT converter input-to-output (9) and the electronic load. The analysis of the interaction between According to the model presented in Section II, the power of a At lower excitations, the optimal duty cycle is still varying voltageAccording difference, to the model the presented optimal in Section duty II,cycle the power becomes of a relatively piezoelectric generator strongly depends on the load: the power substantially with the excitation, requiring a more complex, the piezoelectric element and the step-down converter reveals a piezoelectric generator strongly depends on the load: the power constant andis maximal can be for approximated one or two matching as load resistances, whose Substitutingis maximal the output for one or current two matching of loadthe resistances, piezoelectric whose device, (1),adaptive control circuit with higher power consumption. An simplified control scheme to achieve maximum power flow, al- values are determined by the generator electromechanical Fig. 6. Currents and voltage waveforms of DCM buck–boost. values are determined by the generator electromechanical Fig. 6. Currents andinitial voltage studywaveforms of of DCM the buck power–boost. levels in this range suggests har- as the input currentcharacteristics to the andconverter which depend and in particular the rectifier on the vibration capacitor lowing the circuit to be self-powering while harvesting enough characteristics and which depend in particular on the vibration frequency of the generator’s base. Practically, a seismic piezo-(18) vesting would be marginal given even the lowest power control voltage asfrequency the voltage of the generator into’s the base. converter, Practically, a seismic (9) becomes piezo- energy for additional low-power electronic loads. electric generator effectively works in a narrow frequency band electromechanicalcircuitry [7]. device. Therefore, An important at lower point excitations, to keep in mind the battery will electric generator effectively works in a narrow frequency band electromechanical device. An important point to keep in mind around its mechanical resonance, so the matching load resis- for the design of such an “input average resistance” controlled aroundThe optimal its mechanical duty cycle resonance, of the so converter the matching is thusload resis- dependentfor the upon design ofbe such charged an “input by average a pulse-charging resistance” controlled circuit connected to the piezo- tances can be considered as constant for a given device. In most dc–dc converter is the very weak level of the “harvested” power, tancesits inductance can be considered and switching as constant for frequency, a given device. the In piezoelectric most dc–dc converter ele- is the very weak level of the “harvested” power, potential applications, the generator’s power may randomly (10)typicallyelectric in the element-rectifier range of hundreds of circuit microwatts. with Thus, the the step-down con- converter potential applications, the generator’s power may randomly typically in the range of hundreds of microwatts. Thus, the con- III. STEP-DOWN CONVERTER ANALYSIS ment’s capacitance,vary as a function and the of frequencysurrounding vibrations.of mechanical The power excitation need trolbypassed. technique must The be asthreshold simple as possible level of so thatmechanical the control excitation that vary as a function of surrounding vibrations. The power need trol technique must be as simple as possible so that the control of the piezoelectricof the load may device. also vary in more or less important proportions. circuitdivides consumption these cantwo be modes reduced of to a operation few microwatts. will From depend on several Solving (10)of theAlthough load for may theContinuity thealso rectifier assumptionvary of in power more voltage supplyor of less discontinuous importantmust be ensured proportions. conduction by a rechargeablecircuit mode consumptionthat point can of view,be reduced the converter to a few and microwatts. control described From here can The maximum power transfer theory developed for the piezo- Continuity of power supply must be ensured by a rechargeable that point of view,criteria: the converter the power and control produced described by here the can piezoelectric element, the yields the convenientbattery or a by conclusion supercapacitor, of a but relatively these energy constant storage optimal cells be considered as ideal because it neither requires any tracking electric element-rectifier circuit produced an expression for the battery or adon by supercapacitor,’t exhibit the voltage/current but these energy properties storage of cells a resistorbe considered and algorithms aslosses ideal norbecause of any the sensors. it step-down neither This requires principle converter, any was trackingfirst the proposed power by consumption of donduty’t exhibit cyclethey the at can voltage/current high’t intrinsically excitation, properties ensure the an of choice optimal a resistor power of and this generationalgorithms mode if of norOttman anythe sensors. controlet al. [19], This circuitry, [20] principle using a and was buck thefi converterrst proposedoptimal and by duty Kyasap cycleet al. stabilization at optimal rectifier voltage, (3). Regulation of this voltage, and they can’t intrinsically ensure an optimal power generation if Ottman et al. [19], [20] using a buck converter and Kyasap et al. operationdirectly bears connectedsome discussion. to the rectifi Designinger output. Ottman a converteret al. [18] to(11)[6]higher using a fl excitations.yback converter. thereby the power flow from the piezoelectric element, is imple- directlyalways connected runhave in discontinuousproposed to the recti to solvefier output.conduction this power Ottman optimization modeet al. is[18] problem questionable,[6] using a flybackThe dc converter.–dc converter used here is the buck-boost circuit rep- have proposed to solve this power optimization problem using The dc–dc converter used here is the buck-boost circuit rep- as the largea dc ratio–dc ofconverter RMS interleaved current to between dc current the recti in thefier andinductor the resented on Fig. 5. The control unit is assumed to turn on and off mented through adjustment of the step-down converter’s duty a dc–dc converterstorage interleaved cell, as represented between on the Fig. recti 4.fier They and have the shownresented that on Fig.the MOSFET 5. The control transistor unit is at assumed theV. switching C toIRCUIT turn frequency on andDESIGN off , with a and MOSFET will significantly increase conduction losses cycle. The following analysis reveals that the power flow from The inputstorage current cell,maximization as to represented the converter of on the Fig. power 4. can Theyflowing be have determinedinto shown the storage that the cell as MOSFET can a func-duty transistor cycle at. The the following switching expressions frequency are, established with a in con- maximizationas opposedbe of achieved to the continuous power usingflowing adaptive conduction into controls the storage similar mode. cell to can However,thoseduty used cycle for for sidering. TheA following lossless schematic expressions semiconductors. of the are step-down establishedis considered in converter con- as a perfect and the accompa- the piezoelectric element is maximized at an optimal duty cycle tion of thebelow-power achievedduty cyclemaximizing using applications, adaptive by substitutingthe controls power DCM from similar is solar often (11) to cells. those used into used even (1) for atsidering full load losslessinductornying semiconductors. and control the voltage circuitry rippleis considered of is shownis as neglected. a perfect in Fig. Properties 3. The sub-circuits: and, as it departs from this optimal value, the output power drops maximizing theIn power a simpler from way, solar according cells. to the generator’s powerinductor analysis andof the this voltage converter ripple are of examinedis neglected.in discontinuous Properties and continuous In a simplerpresented way, according in Section to the II, generatorthe optimal’s power analysispoint can beof tracked this convertercurrent are modes. examined in discontinuous and continuous significantly. presented in Section II, the optimal power point can be tracked current modes. by controlling the converter so that its input average current is (12)Currents and voltages waveforms of the buck-boost converter Converter operation in DCM has been assumed in the fol- by controllingproportional the converter to its so input that its voltage input with average an average current voltage/current is Currents andin discontinuous voltages waveforms current modeof the (DCM)buck-boost are represented converter on Fig. 6. proportional toratio its equalinput voltage to the matching with an average load resistance. voltage/current This optimizationin discontinuousWhen current the transistor mode (DCM) is turned are represented on, and on Fig.are 6.ruled by (7). lowing analysis and this reasoning will be discussed later in this ratio equal totechnique the matching can be load considered resistance. as indirect This optimization because it is basedWhen on the the transistorFrom this is equation turned on, is derivedand the expressionare ruled by (8) (7). of the average technique canknowledge be considered of the as matchingindirect because load resistance(s) it is based on of the the consideredFrom this equationcurrents is derivedand the expression, in which (8) ofis the the average switching period section. From [8], expressions for a step-down converter oper- Power producedknowledge of by the the matching piezoelectric load resistance(s) element of the considered as regulatedcurrents by theand , in which is the switching period ating in DCM for the input—output voltage relationship and converter can now be expressed as the product of the rectifier output current are voltage (converter input voltage) and the input current, (11) and (12)

(4)

(5) (13) parassitic body-drain diodes of switches S1', S1'' and S3: Fig. 5 shows a detail of the voltages VO (blue trace), they implement a passive AC-DC voltage doubler which vP (green trace) and v2 (red trace): these were measured works during the start-up. Inductor L does not interfere with the same input conditions defined in Fig. 4. Meanwhileduring the passive rectification. in scientificIn particular it literature is possible to see that along phase… 2 the Driving circuitry is presented in Fig. 3a as a block scheme, voltage vP is sinusoidal and it is due to the resonance while Fig. 3b shows a picture of the diffused prototype; its between CP and L. In the same phase switch S2 is closed area is equal to 320 x 360 μm2. and node lx- is clamped at a voltage near to zero. It is During phase 1 driving circuit keeps all switches open. possible to see also when S2 is opened because voltage on Phase 2 has to start when vP reaches its maximum value node l gets higher than V : from this moment on CMP2 2760 x- O IEEE TRANSACTIONS ON INDUSTRIAL ELECTRONICS, VOL. 55, NO. 7, JULY 2008 and it ends when vP reaches zero. The first condition is closes the switches S3 and S1''. At the beginning of phase 4 detected by the Peak Detector while the second by • 2008. D. Dondi et al., Modeling and optimizationan oscillation of v takes of place: a thissolar is due to energya resonance of comparator CMP1. The output signals of these blocks are P routed to the SET (S) and RESET (R) input of a NAND the inductance L (which has not completely discharged into harvester system for self-powered C ) with parassitic capacitances. Fig. 5 shows that CMP1 TABLE I based flip-flop which was designed to avoid the O MPP VOLTAGES MEASURED AND CALCULATED THROUGH (1) was designed to open S2 before v reaches zero: in undetermined state. P CONSIDERING KFOC =0.74 ON A WIDE RANGE wireless sensorPhase 3 has to networks,start when the inductance current reaches its particular S2 is switched off when vP is 400mV. This was OF LIGHT CONDITIONS maximum value; since it is caused by the resonance done to prevent a delayed switching off of S2, due to the

IEEE TIE between L and CP, the current peak is reached when vP is delay of the comparator. zero. This condition is detected by CMP1 which turns S2 Finally, Fig. 6a and Fig. 6b show the behaviour of the – Use of theoff leaving FOCV node lx-MPPT floating until technique the voltage v2 gets higher proposed solution and of the passive voltage doubler when than VO. At this time CMP2 switches S3 and S1'' on. The the function generator delivers a variable amplitude signal. – Based onsame a comparator ‘pilot’ switchespower S3 sourceand S1'' off when the current It is possible to see that the proposed solution is able to which flows into inductance and into S3 crosses to zero. harvest the energy of peaks with amplitude lower than the Finally phase 4 has to start when iP becomes negative. output voltage stored into CO. Solution described in [11] has been used: the loop

implemented by operational amplifier OA1 and S1' forcesFig. 1. Schematic diagram of the solar harvester. the drain to source voltage of this switch to the input offset voltage of the operational amplifier, which was designed adopted.to Sections III and IV describe models of the PV module • 2009. E. Dallagobe 20 mV. et al., Electronic An enable signal is used to improve the response speed andof the harvester circuit, respectively. Section V describes interface forcomparators piezoelectric CMP1 and CMP2 because energy they have to reactthe circuit optimization methodology and provides some use- The power supply for the harvester circuit is provided by to signals whose speed is in the order of fR. The enableful guidelines for solar harvester design. Section VI presents both the PV module and the output dc–dc power supply. This scavengingsignal system increases the bias current of CMP1 during phaseexperimental 2 results and a comparison between two different design choice allows to improve the efficiency during the initial and of CMP2 during phase 3. This signal has the purpose solarto harvester circuit implementations designed following the phase of SC charging and enables the harvester circuit to start – CMOS preventimplementation an useless power consumption of SECE out of these phases. operating when the SC voltage is low. For efficient harvester The average current consumption of the whole drivingproposed guidelines. Finally, Section VII concludes this paper. – 700 nA circuitquiescent is equal about current 700 nA. operation, the MPP should continuously be tracked; hence, the input stage and the comparator should also be powered when II. SOLAR HARVESTING CIRCUIT – 5V maximum voltageIV. EXPERIMENTAL RESULTS the output dc–dc converter is off because the SC voltage is Experimental characterization of the proposed circuit haveA. Circuit Architecture below the start-up threshold. For this reason, the power supply been done with a function generator and a capacitance so to to the input MPPT stage is provided by the PV panel even when emulate the behaviour of the piezoelectric transducer. With The circuit architecture of the developed solar harvester is respect to the equivalent circuit shown in Fig. 2a its the dc–dc converter is not operating. Thevenin equivalent has been implemented. This waspresented in [12] and depicted in Fig. 1. It consists of three composed of a cascade of a function generator, which givesmain building blocks. B. MPPT Technique the equivalent output voltage of the transducer at no load 1) DC–DC Input Stage: Most of the MPPT systems pro- 48 condition, and of a capacitance which is the equivalent posed in the literature employ standard switch-mode power There are several methods and algorithms to track the MPP capacitance CP of the transducer itself. supply technologies, with switching transistors, diodes, and Fig. 4 shows the comparison of the output voltages voltage [13]–[15]. The most popular ones are Perturb and obtained with the proposed front-end circuit and with capacitors. a They are driven by a pulsewidth-modulated (PWM) Observe (P&O) [13], [19] and Fractional Open-Circuit Voltage passive voltage doubler; this was realized with BAT86signal generated by a control algorithm. The three basic topolo- (FOCV) [14], which is the one we adopted. Schottky diodes. Function generator supplied a sinusoidalgies are buck (step down), boost (step up), and buck–boost The P&O method is an approach that is widely used with input waveform with a peak amplitude equal to 1.5 V and (step up–down). In this implementation, a buck topology is medium–high power PV modules, since it allows very accurate frequency equal to 50 Hz. A load resistance equal used to to interface the voltage from the PV module to the SC. 650 k has been connected in parallel with storage MPP calculation. However, it requires complex control actions Ω The operation of the input dc–dc stage differs from the one of capacitance CO. that are often implemented using microcontrollers or DSPs. Al- It is possible to see that the proposed circuit works asastandardbuckconverterbecauseinputandoutputvoltagesdo a though analog versions are implemented, the main shortcoming passive one until the energy stored into C is enough vary to during the SC charge process, as well as duty cycle, period, O of this method is the high cost and complexity of the system. supply the active elements, this condition is reached at t . and1 switchingFig. 3 a) Block frequency scheme of the of implemented the MOSFET front-end switch. circuit. b) Picture of On the other hand, FOCV is largely used in small-scale PV From this moment on, an interval is needed to switch the 2)the MPP diffused Tracker: prototype.The MPP tracking is implemented by the bias circuit on. At time t driving circuit is fully on and the systems. This method exploits the nearly linear relationship 2 analog circuit highlighted in Fig. 1. It autonomously estimates output voltage reaches a value which is higher than the between the operating voltage at MPP Vmpp of a PV module the MPP using an open-loop configuration without any in- input voltage and is a function of the whole power and its open-circuit voltage VOC,i.e., consumption. terference to the PV module. This way, the control unit is simply implemented with a single low-power comparator that Vmpp ∼= KFOC VOC. (1) compares the current PV module voltage to the estimated MPP · to generate the PWM control signal. No costly DSP or digital KFOC is a constant that ranges from 0.71 to 0.78, which controllers are necessary. The details of the generation of the slightly depends on irradiance conditions [1]. Considering estimated MPP have been left out of the figure for the sake KFOC as a constant under different irradiance conditions leads of simplicity.404 The PWM control signal forces the pseudo-buck to small errors in the Vmpp evaluation but strongly simplifies dc–dc input stage to work within a narrow voltage window circuit solutions adopted to implement MPPT, also reducing centered on the MPP voltage Vmpp. its power consumption. Table I reports that the maximum 3) Output DC–DC Converter: The output dc–dc converter difference between MPP voltages measured during PV panel delivering power supply to the wireless sensor node is required characterization (Vmpp,meas) and calculated through (1) assum- to match the input voltage of the WSN node. This component ing KFOC =0.74(Vmpp,cal) [12] is smaller than 5% on a wide is not taken into account in this paper, because it needs cus- range of light irradiance conditions. tom design related to the operating voltage of the WSN node VOC of small-size solar cells can be estimated by exploiting adopted. Moreover, commercial integrated dc–dc converters sensing devices that autonomously monitor the environmental usually provide an efficiency on the order of 90%. light, such as light intensity sensors, voltage output sensors

Authorized licensed use limited to: UNIVERSITE LOUIS PASTEUR. Downloaded on November 26, 2009 at 07:02 from IEEE Xplore. Restrictions apply. Meanwhile in scientific literature…

• 2012. K. Kadirvel et al., A 330 nA energy- harvesting charger with battery management for solar and thermoelectric energy harvesting, IEEE ISSCC – Nanopower implementation of FOCV MPPT – 150 nA quiescent current

– Minimum VIN=330 mV and PIN=5 µW.

– efficiency >80% for VIN=500 mV

• 2014. E. Aktakka, K. Najafi, A micro inertial energy harvesting platform with self-supplied power management circuit for autonomous wireless sensor nodes, IEEE JSSC – All components in a single package – SSHI on a miniature piezo source – 0.5 µW consumption in active mode, 10 pW in sleep- mode

49 Meanwhile in scientific literature…

• 2013-2015. M. Dini et al. (UNIBO), Developed a series of nanopower ASICs for DC, piezoelectric, and heterogeneous energy harvesting sources, IEEE TPEL, ESSCIRC, PRIME

0.32 µm STM technology 0.32 µm STM technology 0.32 µm STM technology FOCV MPPT for DC srcs Multi-source (9 piezo&DC) with Implements SECE-RCI independent MPPT and shared L Cold start-up @0.2V Separate IC/load supplies IDDq ≅ 360 nA (40 nA/source) Separate IC/load supplies PMIN = 296 nW (@7 Hz, Efficiency up to 85% P ≅ 1 µW, I ≅ 300 nA 0.5VPK) MIN DDq

• 2015-2016. A. Camarda et al. (UNIBO), developed an integrated ultra-low

voltage dual-polarity bootstrap circuit (-8/+15 mVCHOWDARY)et al.:AN18nA,87%EFFICIENTSOLAR,VIBRATIONANDRFENERGY-HARVESTINGPOWERMANAGEMENTSYSTEMbased on a piezoelectric 2505 transformer

• 2016. G. Chowdary et al., An 18 nA, 87% efficient solar, vibration and RF energy harvesting power management system with a single shared inductor, IEEE JSSC – Multi-source IC with single shared inductor

– PMIN = 25 nW, IDDq = 18 nA, 87% efficiency

Fig. 5. Overall architecture of the chip.

where IP is the peak inductor current. The power absorbed by quantization error in the oscillator is < 20%. With additional the circuit is given by: bits, the search process increases linearly, and the maximum 50 2 2 efficiency loss decreases exponentially. LI LI IBIAS P E/T P P (7) S T V C = = 2 S = D OSC III. ARCHITECTURE where TS is the period of the oscillator, given by Amulti-sourceenergyharvestingDC-DCbuck-boostcon- VDCOSC/2IBIAS.Theerrorinthepowerabsorbedbythecir- verter controlled by oscillators, trained according to Fig. 3, cuit because of a quantization error in the oscillator frequency was designed. The goal was to harvest from available powers is given by: ranging from 20 nW to 100 µW. The complete architecture !P !TS !COSC . (8) of the system is shown in Fig. 5. The system can be cold- P = − T = − C S OSC started through a photo-voltaic cell or an RF antenna, or Equation (8) shows that the loss in efficiency ( !P/P)isthe both (Fig. 5), to charge C .OnceV goes above 1.2 V, − D D relative quantization error (!COSC/COSC). When we work a VD-OK comparator (consuming 200 pW) having a hysteresis with a range of available powers, from 20 nW to 2 µW, the of 0.16 V releases the VD-OK signal to start the search phase, value of COSC will adapt, according to our algorithm in Fig. 3, and disables start-up. When VD goes above 1.2 V, CD slowly to the available power. max(COSC) has to be designed for the charges a large storage capacitor, Cstore (> 100 µF). When minimum power, i.e., 20 nW. If the number of calibration bits Vstore goes above 1.3 V, Vstore-OK comparator goes high in COSC is N,thentheunitcapacitor,C0 is max(COSC)/ and VD is shorted to V .Asearchphaseisalsoinitiated. N store (2 1),whichisalsoequaltothequantizationerrorinthe AfractionoftheOCVissampled(V )andacomparator, − R total value of the capacitor obtained. When the incident avail- U1, is used to initiate energize/dump pulses to regulate the

able power is 2 µW, the optimum value of COSC will decrease input voltage v B at VR.Anoscillatoristrainedtomimicthe 20 nW to max(COSC) .Therelativequantizationerror(which output of U1 in the digital controller. Once the oscillator is · 2 µW is also the efficiency loss according to (8)) at this power level trained, U1 is disabled and energize/dump signals are initiated is now 100/(2N 1).With9bits,thelostefficiencybecauseof with the oscillator. The search phase is repeated after every − State of the art of nano-power PMICs

• S. Bandyopadhyay et al., A 1.1 nW energy harvesting system with 544pW quiescent power for next-generation implants, IEEE JSSC 2014

• Features – 70-100 mV input from endo-cochlear bio-potential inside ear

– Efficiency > 53% @ VDD=0.9V, L=47 uH – Boost converter topology with 12 Hz switching frequency – Trade-off between switching frequency, FET sizes and power losses carefully investigated – 0.18 µm CMOS – Cannot self-start – The lowest intrinsic consumption reported up to now

51 51 Trends: Commercial PMICs

100" • Two parameters analyzed: minimum start-up voltage and minimum input power • Most effective products target today few µW and 10" few hundreds mV power sources • However, many enviromental sources Minimum&input&power&(µW)& often provide less than that in their worst case • No synchronized switch 1" harvesters for piezo 0" 1" 2" 3" 4" 5" 6" 7" sources available up to now Minimum&input&voltage&(V)&

52 Trends: Industry and Research

100$ • Commercial PMICs stay on the ‘safe’ side – reliability 10$ – higher output current required by external circuits 1$ • Research is keeping on pushing the limits towards lower power 0.1$ and voltages

– Very good trade-offs Minimum&input&power&(µW)& on power can be 0.01$ found – Voltage is practically

limited by VGS,TH (sub-100mV typically 0.001$ achieved by step-up oscillators) 0$ 1$ 2$ 3$ 4$ 5$ 6$ 7$ Minimum&input&voltage&(V)&

53 Trends: Industry and Research

100$ • Sub-µW operation is likely to be achieved in commercial PMICs in the near future as market demands more 10$ power efficient components (MCUs, radios, analog front- end for sensors, etc.) 1$

• Ultra-low voltage circuits are expected to stay in a niche (lower efficiency and 0.1$ higher min. power), with

a envisaged use for Minimum&input&power&(µW)& battery-less circuit start-up 0.01$ from fully discharged states

0.001$ 0$ 1$ 2$ 3$ 4$ 5$ 6$ 7$ Minimum&input&voltage&(V)&

54 Trends: Industry and Research

100 • The best product and the best LTC3108 research work per LTC3588 10 LTC3331

year of introduction W) µ were found and KEH.-007 SPV1050 plotted 1 MAX17710 S6AE10xA • A trend towards [14] [15] lower operating [19] power levels is 0.1 present • Research works are

grouped in two: ( power input Minimum 0.01 [12] – Truly micro-power [13] converters [20] – Nano-power converters 0.001 2008 2009 2010 2011 2012 2013 2014 2015 2016 2017 src: A. Romani et al., IEEE Computer, 2017 Year of introduction

55 Conclusions

56 Conclusions

• Energy harvesting is an exciting research field experiencing continuous advancements

• The micropower barrier was broken in research. Many commercial power management ICs are becoming available. Careful designs can yield to very interesting results

• Energy-aware and design techniques for operation in power- constrained scenarios are progressively being applied to CPUs, sensors, radios, etc. This is necessary to go further.

57 References

• R.J.M. Vullers, R. Van Schaijk, I. Doms, C. Van Hoof, R. Mertens, Micropower energy harvesting, Solid. State. Electron. 53 (2009) 684–693. doi:10.1016/j.sse.2008.12.011. • E. Lefeuvre, A. Badel, C. Richard, L. Petit, and D. Guyomar, “A comparison between several vibration-powered piezoelectric generators for standalone systems,” Sensors Actuators A Phys., vol. 126, no. 2, pp. 405–416, Feb. 2006. • J. Dicken, P. D. Mitcheson, I. Stoianov, and E. M. Yeatman, “Power-Extraction Circuits for Piezoelectric Energy Harvesters in Miniature and Low-Power Applications,” IEEE Trans. Power Electron., vol. 27, no. 11, pp. 4514–4529, 2012. • A. Dolgov, R. Zane, Z. Popovic, Power management system for online low power RF energy harvesting optimization, Circuits Syst. I Regul. Pap. IEEE Trans. 57 (2010) 1802–1811. doi:10.1109/TCSI. 2009.2034891. • T. Paing, R. Zane, Design and optimization of an adaptive non-linear piezoelectric energy harvester, in: 2011 Twenty-Sixth Annual. IEEE Appl. Power Electron. Conf. Expo., Ieee, 2011: pp. 412–418. doi:10.1109/APEC.2011.5744630. • S. Boisseau, P. Gasnier, M. Gallardo, G. Despesse, Self-starting power management circuits for piezoelectric and -based electrostatic mechanical energy harvesters, in: J. Phys. Conf. Ser., IOP Publishing, 2013: p. 012080. doi:10.1088/1742-6596/476/1/012080 • P. Gasnier, J. Willemin, S. Boisseau, G. Despesse, C. Condemine, G. Gouvernet, and J.-J. Chaillout, “An Autonomous Piezoelectric Energy Harvesting IC Based on a Synchronous Multi-Shot Technique,” IEEE J. Solid-State Circuits, vol. 49, no. 7, pp. 1561–1570, Jul. 2014. • A. Romani, E. Sangiorgi, M. Tartagni, R. P. Paganelli, E. Sangiorgi, and M. Tartagni, “Joint Modeling of Piezoelectric Transducers and Power Conversion Circuits for Energy Harvesting Applications,” IEEE Sens. J., vol. 13, no. 3, pp. 916–925, Mar. 2013. • A. Romani, M. Filippi, and M. Tartagni, “Micropower Design of a Fully Autonomous Energy Harvesting Circuit for Arrays of Piezoelectric Transducers,” IEEE Trans. Power Electron., vol. 29, no. 2, pp. 729–739, Feb. 2014. • A. Romani, M. Filippi, M. Dini, M. Tartagni, A sub-µA stand-by current synchronous electric charge extractor for piezoelectric energy harvesting, in: ACM J. Emerg. Technol. Comput. 12(11) 2015 • E. Dallago, A.L. Barnabei, A. Liberale, P. Malcovati, G. Venchi, An Interface Circuit for Low-Voltage Low-Current Energy Harvesting Systems, IEEE Trans. Power Electron. 30 (2015) 1411–1420. doi: 10.1109/TPEL.2014.2322521. • K. Kadirvel, Y. Ramadass, U. Lyles, J. Carpenter, V. Ivanov, V. McNeil, et al., A 330nA energy-harvesting charger with battery management for solar and thermoelectric energy harvesting, 2012 IEEE ISSCC (2012) 106–108. doi:10.1109/ISSCC.2012.6176896. • M. Dini, A. Romani, M. Filippi, V. Bottarel, G. Ricotti, M. Tartagni, A Nano-current Power Management IC for Multiple Heterogeneous Energy Harvesting Sources, IEEE Trans. Power Electron., vol.30, no.10, pp.5665,5680, Oct. 2015 • M. Dini, A. Romani, M. Filippi, M. Tartagni, "A Nano-Power Synchronous Charge Extractor IC for Low Voltage Piezoelectric Energy Harvesting with Residual Charge Inversion," IEEE Trans. Power Electron., vol.PP, no.99, pp.1-1, Mar. 2015, ISSN: 0885-8993, DOI: 10.1109/TPEL.2015.2417352 • T. Hehn, F. Hagedorn, D. Maurath, D. Marinkovic, I. Kuehne, A. Frey, and Y. Manoli, “A Fully Autonomous Integrated Interface Circuit for Piezoelectric Harvesters,” Solid-State Circuits, IEEE J., vol. 47, no. 9, pp. 2185–2198, 2012. • E.E. Aktakka, K. Najafi, A Micro Inertial Energy Harvesting Platform With Self-Supplied Power Management Circuit for Autonomous Wireless Sensor Nodes, IEEE J. Solid-State Circuits. 49 (2014) 2017–2029. doi:10.1109/JSSC.2014.2331953.

58 References

• S. Bandyopadhyay, P.P. Mercier, A.C. Lysaght, K.M. Stankovic, A. Chandrakasan, A 1.1nW energy harvesting system with 544pW quiescent power for next-generation implants, in: 2014 IEEE Int. Solid-State Circuits Conf. Dig. Tech. Pap., IEEE, 2014: pp. 396–397. doi:10.1109/ISSCC.2014.6757485. • M. Dini, A. Romani, M. Filippi, and M. Tartagni, “A Nano-Current Power Management IC for Low Voltage Energy Harvesting,” IEEE Trans. Power Electron., vol. 31, no. 6, pp. 4292–4304, 2016. • A. Camarda, M. Tartagni, and A. Romani, “A -8 mV/+15 mV Double Polarity Piezoelectric Transformer-Based Step-Up Oscillator for Energy Harvesting Applications,” IEEE Trans. Circuits Syst. I Regul. Pap., 2017. • A. Camarda, A. Romani, E. Macrelli, and M. Tartagni, “A 32 mV/69 mV input voltage booster based on a piezoelectric transformer for energy harvesting applications,” Sensors Actuators A Phys., vol. 232, pp. 341–352, May 2015. • E. Macrelli, A. Romani, R. P. Paganelli, A. Camarda, and M. Tartagni, “Design of Low-Voltage Integrated Step-up Oscillators with Microtransformers for Energy Harvesting Applications,” IEEE Trans. Circuits Syst. I Regul. Pap., vol. 62, no. 7, pp. 1747–1756, Jul. 2015. • M. Pizzotti, L. Perilli, M. Del Prete, D. Fabbri, R. Canegallo, M. Dini, D. Masotti, A. Costanzo, E. Franchi Scarselli, and A. Romani, “A long-distance RF-powered sensor node with adaptive power management for IoT applications,” Sensors (Switzerland), vol. 17, no. 8, 2017. • D. Masotti, A. Costanzo, P. Francia, M. Filippi, and A. Romani, “A Load-Modulated Rectifier for RF Micropower Harvesting With Start-Up Strategies,” IEEE Trans. Microw. Theory Tech., 2014. • A. Romani, M. Filippi, and M. Tartagni, “Micropower Design of a Fully Autonomous Energy Harvesting Circuit for Arrays of Piezoelectric Transducers,” IEEE Trans. Power Electron., vol. 29, no. 2, pp. 729–739, Feb. 2014. • T. Huang, C. Hsieh, Y. Yang, Y. Lee, Y. Kang, K. Chen, C. Huang, Y. Lin, and M. Lee, “A Battery-Free 217 nW Static Control Power Buck Converter for Wireless RF Energy Harvesting With -Calibrated Dynamic On / Off Time and Adaptive Phase Lead Control,” IEEE J. Solid State Circuits, vol. 47, no. 4, pp. 852–862, 2012. • J. Kim, P. K. T. Mok, and C. Kim, “A 0.15 V Input Energy Harvesting Charge Pump With Dynamic Body Biasing and Adaptive Dead-Time for Efficiency Improvement,” IEEE J. Solid-State Circuits, vol. PP, no. 99, pp. 1–12, 2014. • G. Chowdary, A. Singh, and S. Chatterjee, “An 18 nA, 87% Efficient Solar, Vibration and RF Energy-Harvesting Power Management System With a Single Shared Inductor,” IEEE J. Solid- State Circuits, vol. 51, no. 10, pp. 2501–2513, Oct. 2016. • D. El-Damak and A. Chandrakasan, “A 10 nW-1 uW Power Management IC With Integrated Battery Management and Self-Startup for Energy Harvesting Applications,” IEEE J. Solid-State Circuits, vol. 51, no. 4, pp. 943–954, Apr. 2016. • A. Romani, A. Camarda, A. Baldazzi, and M. Tartagni, “A micropower energy harvesting circuit with piezoelectric transformer-based ultra-low voltage start-up,” in 2015 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), 2015, pp. 279–284. • Linear Technology, LTC3588 datasheet • Linear Technology, LTC3108 datasheet • TI, bq25570 datasheet • STMicroelectronics, SPV1050 datasheet • Cypress, S6AE10xA datasheet • Cypress, MB39C811 datahseet • Maxim, MAX17710 datashet

59

Aldo ROMANI Advanced Research Center on Electronic Systems “E. De Castro” University of Bologna, Campus of Cesena

[email protected]

60