<<

2015 Oral Presentations (as of April 10)

Session Session Program Date Session Title Presentation Title Name Affiliation time No. No. 1-1 for FPD Mr. Yasuhiro Kanaya Inc. (Invited) Enabling the future registration requirements needed for 1-2 effective production of the most advanced displays by using a Mr. Mikael Lars Wahlsten Mycronic 13:00- April 20 FPD Photomasks combination of the Prexision-80 and the Prexision-MMS 14:40 1 1-3 Latest technology trends of FPD Photomask Mr. TORU KUZUWA SK-Electronics CO., LTD.

1-4 Impact of the back side flatness of a mask on the panel overlay Mr. Daisuke Kemmochi Photomask Japan 2015 Oral Presentations (as of April 10)

Session Session Program Date Session Title Presentation Title Name Affiliation time No. No. 9:10- 2-1 Keynote Lecture Mask challenges in complementary EUV/193i patterning Mr. Mark Phillips Corporation 9:40 2 (Invited) Contact Hole Multiplication using Grapho-Epitaxy Directed Self- 3-1 Assembly: process choices, template optimization, and Dr. Joost Bekaert imec 9:40- (Invited) DSA placement accuracy 10:30 3 N7 logic Via patterning using templated DSA: Implementation 3-2 Dr. Joost Bekaert imec aspects 4-1 Mask data processing in the era of multibeam writers Mr. Frank E. Abboud Intel Corporation (Invited) 4-2 Progress of Multi-Beam Mask Writer Dr. Platzgummer Elmar IMS 10:50- (Invited) 4 Writing Technologies 12:30 4-3 Correction of Resist Heating Effect on VSB Mask Writer Ms. Mizuna Suganuma NuFlare Technology

Investigation of local registration performance of IMS 4-4 Dr. Daniel Chalom IMS Nanofabrication Nanofabrication's Multi-Beam Mask Writer The method of quartz damage recovery in the photomask repair 5-1 Mr. Hoon Namkung SK Hynix April 21 process Demonstration of Parallel Scanning Probe Microscope for High 5-2 Dr. Hamed Sadeghian TNO 12:55- Photomask Fabrication Throughput Photomask Metrology 5 15:15 Processes 5-3 Multi-beam SEM technology for ultra-high throughput Dr. Thomas Kemen Carl Zeiss Microscopy GmbH

Development of high-transmittance phase-shift mask 5-4 Mr. Won-Suk Ahn SAMSUNG ELECTRONICS fabrication for immersion ArF 6-1 Model-Based Data Preparation and Verification for Mask Mr. Patrick Schiavone Aselta (Invited) Manufacturing Model-based dose MPC for advanced VSB and multi-beam 6-2 Mr. Peter Buck Mentor Graphics Corporation mask lithography 15:35- 6 MDP&OPC 6-3 Pitch-based pattern splitting for 1D layout Mr. Ryo Nakayama Canon Inc. 17:25 High performance ILT for hotspots repair with hierarchical 6-4 Mr. Kyohei Sakajiri Mentor Graphics Corporation pattern matching Challenges and requirements of mask data processing for Samsung Electronics Co., 6-5 Dr. Jin Choi multi-beam mask writer Ltd Photomask Japan 2015 Oral Presentations (as of April 10)

Session Session Program Date Session Title Presentation Title Name Affiliation time No. No. 8-1 Mask Complexity : The Panel Discussion Mr. Naoya Hayashi Dai Nippon Printing Co., Ltd. (Invited) 8-2 30 years of R&D of EUVL and its mask inspection technologies Prof. Hiroo Kinoshita University of Hyogo (Invited) 9:00- 8 EUVL Masks(1) Phase Imaging Results of Phase Defect Using Micro Coherent 10:40 8-3 Dr. Tetsuo Harada University of Hyogo EUV Scatterometry Microscope

Mask blank defect printability comparison using optical 8-4 Dr. Pawitter Mangat GLOBALFOUNDRIES mask/ inspection and bright field actinic mask inspection

EUV scanner printability evaluation of natural blank defects 9-1 Mr. Noriaki Takagi EIDEC detected by actinic blank inspection

9-2 ENDEAVOUR to Understand EUV Buried Defect Printability Mr. Kazunori Seki Photomasks, INC. 11:00- 9 EUVL Masks(2) 12:20 Defectivity evaluation of EUV reticles with etched multilayer 9-3 Mr. Rik Jonckheere imec image border by wafer printing analysis Alternative EUV mask technology to compensate for mask 3D imec, Kapeldreef 75, B-3001 9-4 Dr. Lieve Van Look April 22 effects Leuven, Belgium The impact of mask topography induced phase effects and their 10-1 mitigation by absorber optimization for ArFi and EUV Dr. Jo Finders ASML (Invited) lithography 10-2 EUV pellicle development update Dr. Carmen Zoldesi ASML Netherlands 13:20- 10 EUVL Masks(3) 14:50 Grid-supported EUV pellicles: a theoretical investigation for 10-3 Dr. Florian DHALLUIN ASML added value Impact of the deformed extreme pellicle in terms of 10-4 Ms. In-Seon Kim Hanyang University critical dimension uniformity Patterned mask inspection technology with Projection Electron EUVL Infrastructure 11-1 Microscope (PEM) technique for 11 nm half-pitch (hp) Mr. Ryoichi Hirano Development Center, Inc. generation EUV masks

11-2 Pattern inspection of etched multilayer EUV mask Dr. Susumu Iida EIDEC 15:10- 11 EUVL Masks(4) 16:30 Analysis of a low-aspect phase defect for actinic EUVL mask 11-3 Dr. Takeshi Yamane EIDEC blank inspection

11-4 Detection capability of Actinic Blank Inspection tool Mr. Tomohiro Lasertec corporation