<<

Welcome to the 2018

Photomask Technology + EUV Conference in Monterey, CA Chairs

SPIE Technology EUV Lithography Abstracts by region Photomask EUV

USA Europe USA Europe (20) (17) (27) (25)

Asia (19) Asia (24) Agenda

Sunday Monday Tuesday Wednesday Thursday Imec workshop EUVL + PM 1 Keynotes EUVL + PM 4 EUVL 6 + PM 8 EUV High-NA and on EUV mask EUV mask blanks EUV mask and imaging Imaging blanks EUV Deep learning EUV Nanoimprint EUVL 7 + PM 9 EUV Patterning advanced data Lithography Scanner analytics Materials EUV inspection, repair, Source I and verification EUV Mask EUVL Mask write EUVL 8 + PM 10 inspection, Process control, repair afternoon and MPC EUV pellicle and control off metrology EUVL + PM Mask EUV Panel: Poster speed talks process and Materials Optical and resist II EUV masks Poster Dinner (Marriott) Entertainment Venue

EUV breakout sessions

Joint sessions PM breakout sessions Exhibition Reminder to speakers

• Please load your presentation on the conference room computer during a break prior to your session • Steinbeck2 for joint and Photomask • Steinbeck3 for EUVL • Arrive at your session early and introduce yourself to the Session chairs • Sit near the session chair table at the front of the room

• Speed poster participants –load your presentations by 12:30pm today • Additional option of using computer in the back Monday posters

Poster session preceded by a new session with 10-minute invited talks followed by 2-minute speed talks

NOTE: All speed poster presenters must load their slide on the SPIE computer by 12:30pm Wednesday evening Student Scholarships

• Goal: Encourage student engagement in EUVL • Selection: Through abstract review • Award: Registration and travel support • This year: 14 scholarships awarded across AP, EU, and US

Note: applies to photomask submissions related to EUV Thank you to the conference and award sponsors Thank you to the following sponsors for their generous support Plenary

9:00 to 9:40am Current challenges and opportunities for EUV lithography

Harry J. Levinson HJL Lithography (USA) Photomask Technology Kick-off 38th annual conference 2nd year joint with EUVL Thank you to the BACUS Steering and Program Committees

Frank E. Abboud, Corp. Frank E. Abboud, Intel Corp. (United States) Michael D. Archuletta, RAVE LLC Uwe F.W. Behringer, UBC Microelectronics (Germany) Ki-ho Baik, HOYA Corp. USA Byungcheol (Brian) Cha, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) Artur Balasinski, Cypress Corp. Uwe F. W. Behringer, UBC Microelectronics Lucien Bouchard, Photronics, Inc. Peter D. Buck, Mentor Graphics Corp. Thomas B. Faure, GLOBALFOUNDRIES Inc. (United States) Brian Cha, Samsung Electronics Co., Ltd. Aki Fujimura, D2S, Inc. (United States) Derren Dunn, IBM Corp. Brian J. Grenon, RAVE LLC (United States) Thomas B. Faure, GLOBALFOUNDRIES Inc. Aki Fujimura, DS2, Inc. Naoya Hayashi, Dai Nippon Printing Co., Ltd (Japan) Emily Gallagher, imec Izak Kapilevich, Applied Materials, Inc. (United States) Brian J. Grenon, RAVE LLC Bryan S. Kasprowicz, Photronics, Inc. (United States) Jon Haines, Inc. Byung Gook Kim, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) Naoya Hayashi, Dai Nippon Printing Co., Ltd. Bryan S. Kasprowicz, Photronics, Inc. Lloyd C. Litt, GLOBALFOUNDRIES Inc. (United States) Patrick M. Martin, Applied Materials, Inc. Paul A. Morgan, Micron Technology, Inc. (United States) Kent Nakagawa, Toppan , Inc. Kent H. Nakagawa, Toppan Photomasks, Inc. (United States) Jan Hendrik Peters, bmbg consult Takahiro Onoue, HOYA Corp. (Japan) Moshe Preil, KLA-Tencor Corp. Jed Rankin, GLOBALFOUNDRIES Inc. Jan Hendrik Peters, BMBG Consult (Germany) Stephen P. Renwick, Nikon Research Corp. of America Moshe E. Preil, KLA-Tencor Corp. (United States) Douglas J. Resnick, Canon Nanotechnologies, Inc. Douglas J. Resnick, Canon Nanotechnologies, Inc. (United States) Thomas Scheruebl, Carl Zeiss SMT GmbH Thomas Scherübl, Carl Zeiss SMT GmbH (Germany) Thomas Struck, Infineon Technologies AG BACUS Steering Committee Steering BACUS Bala Thumma, Synopsys, Inc. Yuyang Sun, Mentor Graphics Corp. (United States) Anthony Vacca, Automated Visual Inspection Bala Thumma, Synopsys, Inc. (United States) Photomask Program Committee Program Photomask Michael Watt, Shin-Etsu MicroSi Inc. Banqiu Wu, Applied Materials, Inc. (United States) Jim N. Wiley, ASML US, Inc. Shusuke Yoshitake, NuFlare Technology, Inc. (Japan) Larry S. Zurbrick, Keysight Technologies, Inc. Panel session

Optical and EUV Masks: Analyzing the HVM requirements and Capability Differences • Wednesday 4:10 to 5:50 PM • Steinbeck 2 • Panelists: • Takahiro Onoue: Hoya Corp. • Paul Morgan: Micron Technology Inc. • Thomas Scherubl: Carl Zeiss SMT • Peter Buck: Mentor, A Siemens Business • Byung Gook Kim: Samsung