Register Today

This program is current as of 17 June 2010. Please visit spie.org/pmadvance for event updates, travel, and

registration information.

he premier optical sciences and technology memeetingete ing

Connecting minds for global solutions

Connecting minds for global solutions The premier meeting for the industry

• Mask Infrastructure • Mask Integration • Emerging Mask Technology • Patterned Media

Advance Technical Program

The international technical group of SPIE dedicated to the advancement of photomask technology Conferences + Courses: 13-16 September 2010 Exhibition: 14-15 September 2010 Monterey Conference Center and Monterey Marriott Hotel Monterey, California, USA Showcase your results When you present your research at SPIE Photomask Technology it is exposed to a forum of leaders in photomask from around the world. This showcases your results and gives you immediate feedback from an audience of your peers. Collaborate with your Participate in colleagues SPIE Photomask Technology provides the largest and the perfect environment to enhance your professional value. Experience a rich most important marketplace of ideas, an audience of technical experts, and a dynamic atmosphere that worldwide supports professional networking. technical event Publish your research Within days or weeks of presentation your work will be published in the SPIE Digital Library in the photomask and be distributed through leading scientifi c databases and indexes. Your work will become industry. part of the scientifi c literature of the world. U.S. Patent literature cites over 40,000 SPIE publications, with 161 universities and 2,785 non-academic organizations from 43 different countries citing SPIE papers.

Advance Technical Program

Conferences + Courses: 13-16 September 2010 Exhibition: 14-15 September 2010 Monterey Conference Center and Monterey Marriott Hotel Monterey, California, USA Contents Exhibition ...... 2 Invitation ...... 3 Special Events ...... 4-5 Special Session on E-Beam/Direct-Write ...... 5 Photomask Reception ...... 5 Daily Schedule ...... 6 Sponsors ...... 6 Conference ...... 7-12 Proceedings ...... 12 Courses ...... 13–15 Registration Information ...... 16–17

Keynote Presentation The Future is Bright for Those with Open Eyes Tuesday 14 September, 8:10 to 8:50 am Franklin Kalk, Executive Vice-President, Technology Toppan

Don’t miss this exciting opening Keynote on Tuesday morning.

Visit the SPIE Photomask Technology website to make your time in Monterey a success! Everything you need to know about the conference and location is available online. Up-to-date paper listings and session times Use the My Schedule tool to build a custom, printable schedule Updated lists of exhibiting companies and activity on the show fl oor Hotel, travel, and registration information And more! Register today and save spie.org/pmadvance spie.org/pmadvance

1 TEL:TEL: +1 SPIE360 676 Photomask 3290 · Technology +1 888 504 2010 8171 · · spie.org/pmadvance [email protected]@spie.org 1 MAKE TIME FOR THE FREE EXHIBITION Monterey Conference Center · Serra Ballrooms Exhibition Dates: 14 – 15 September 2010 Tuesday-Wednesday · 10:00 am to 4:00 pm Tuesday Poster Reception · 6:15 to 7:45 pm

Photomask 2010 Exhibitor List

New and returning companies confi rmed for 2010 are highlighted in boldface. (Current as of 5/25/2010)

Advantest Corporation n&k Technology, Inc. Applied Materials, Inc. Nippon Control System Corp. See the latest in: Beam Services, Inc. Park Systems Inc. Carl Zeiss SMS GmbH Plasma-Therm LLC · -beam Corning Inc. Pozzetta Products, Inc. Cyantek Corp. RAVE LLC · EUV Gudeng Precision Industrial Co., Ltd. International · Metrology Hamatech USA, Inc. Magazine Heidelberg Instruments Inc. Shin-Etsu MicroSi, Inc. · Hitachi High Technologies America, Inc. Sigmameltec Ltd. · Nanotechnology Infi nite Graphics Incorporated SII NanoTechnology Inc. · Optical/ microlithography Inko Industrial Corp. SoftJin Technologies Pvt. Ltd. KLA-Tencor Corp. Synopsys, Inc. · Resist technology and KM ACT Corp. TOOL Corp. processing Mentor Graphics Corp. XEI Scientifi c, Inc. · Software Micro Lithography, Inc. XYALIS Micronic Laser Systems AB · Electronic imaging components Mitsui Chemicals America, Inc.

SPIE Sales [email protected] Tel +1 360 676 3290

2 SPIESPIE Photomask Technology 2010 · spie.orgspie.org/pmadvance/pmadvance BACUS Steering Committee Plan now to Attend Frank E. Abboud, On behalf of SPIE, BACUS, and the Organizing Committee, we invite you to at- Corp. tend the 29th Annual SPIE/BACUS Photomask Symposium. Photomask Technol- Paul W. Ackmann, ogy continues to be the premier worldwide technical meeting for the photomask GLOBALFOUNDRIES Inc. industry. This year’s symposium will give the authors an opportunity to present their exciting research fi ndings that relate to the emerging technical challenges facing Michael D. Archuletta, the photomask industry, to an international audience of their peers. RAVE LLC Artur P. Balasinski, Cypress This year we will open on Tuesday with the Keynote Presentation by Dr. Franklin D. Semiconductor Corp. Kalk, Toppan Photomasks, titled, The Future is Bright for Those with Open Eyes. Dr. Kalk will certainly have insight into the photomask industry, so you won’t want Uwe F. W. Behringer, UBC to miss his presentation! Microelectronics (Germany) Peter D. Buck, We have received 144 presentations this year, so it should be a very informative Toppan Photomasks, Inc. week. The all-day Wednesday Special Session is on EBDW/ML2: 13.5 Nanometer Brian Cha, or 17.3 Picometer?: not enough R&D $s to go around? From ‘Plenty, but Never SEMATECH North Enough’ to ‘Badly Needed’: are we funding the right technology/wavelength? Our Co-Chairs Brian Grenon and Wolf Staud have solicited the contributions of Thomas B. Fauer, industry luminaries on the various subjects and challenges that ML2 is facing: start- IBM Corp. ing with Burn Lin, TSMC [Keynote Speaker], Hans Pfeiffer [historical background], Brian J. Grenon, Neil Berglund [CoO], Aki Fujimura, [Invited: Design challenges], David Lam, Grenon Consulting, Inc. [Complimentary Lithography], Tor Sandstrom [platform], Laurent Pain [datapath] and many more tool and sub-system suppliers to give you a great program on this Naoya Hayashi, more than viable alternative. Dai Nippon Printing Co., Ltd. (Japan) We hope that you will be joining us in beautiful Monterey. Not only will you have a Mark T. Jee, great experience attending the sessions, you can also plan on staying on for a few HOYA Corp. USA days in the City by the Bay!

Wilhelm Maurer, Conference Chair Infi neon Technologies AG (Germany) M. Warren Montgomery M. Warren Montgomery, College of NanoScale Science and Engineering CNSE/SEMATECH (CNSE) and SEMATECH Inc. Emmanuel Rausa, Plasma-Therm LLC Douglas J. Resnick, Molecular Imprints, Inc. Conference Co-Chair Steffen F. Schulze, Mentor Graphics Corp. Wilhelm Maurer Infi neon Technologies AG (Germany) Wolf Staud, Applied Materials, Inc. J. Tracy Weed, Synopsys, Inc. John M. Whittey, KLA-Tencor Corp. Banqiu Wu, Applied Materials, Inc. Larry S. Zurbrick, Agilent Technologies, Inc.

SPIE would like to express its deepest appreciation to the symposium chairs, conference chairs, program committees, session chairs, and authors who have so generously given their time and advice to make this symposium possible. The symposium, like our other conferences and activities, would not be possible without the dedicated contribution of our participants and members. This program is based on commitments received up to the time of publication and is subject to change without notice.

TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 3 Special Events

Keynote Presentation The Future is Bright for Those with Open Eyes Tuesday 14 September 8:10 to 8:50 am Dr. Franklin Kalk is Toppan Photomasks’ executive vice president of Technology, with responsibility for the company’s global R&D programs. His recent interest is the effect of next generation lithography on business models. He is widely published and Franklin Kalk, holds 18 U.S. patents. He received a Ph.D. in Optics from the University Executive Vice-President, of Rochester. Technology Toppan Photomasks Don’t miss this exciting opening Keynote.

Poster Viewing Exhibition/Poster Reception Tuesday 14 September . . . . 10:00 am to 4:00 pm, and 6:15 to 7:45 pm Monterey Conference Center, Serra Grand Ballroom Wednesday 15 September ...... 10:00 am to 3:00 pm Tuesday 14 September ...... 6:15 to 8:15 pm Poster Reception Beer Poster authors may set up their poster papers between 10:00 am and 4:00 pm on Tuesday and will leave them up until Wednesday afternoon. Sponsored by Authors will be present during the Poster Reception 6:15 to 7:45 pm Tuesday to answer questions and provide in-depth discussion regard- ing their papers. Any papers not removed by Wednesday at 3:00 pm Symposium attendees and guests are invited to attend an Exhibition/ will be considered unwanted and will be discarded. SPIE assumes no Poster Reception on Tuesday evening in the Serra Grand Ballroom. The responsibility for papers left up after Wednesday at 3:00 pm. reception provides an opportunity for attendees to meet colleagues, network, view poster papers, and visit the exhibition booths. Refresh- ments will be served. Attendees are requested to wear their conference registration badges.

SPIE Green Initiative As host to events that bring together scientists and engineers from around the globe, SPIE is committed to making our symposia as environmentally friendly as possible. Ongoing efforts of SPIE include using non-disposable materials such as glass plates and metal fl atware as often as possible, and encouraging facilities to donate surplus meals to soup kitchens. Many partnering facilities have robust recycling programs for paper, plastic, and aluminum products. SPIE continues to collaborate with venues, hotels, suppliers and the local Chambers of Commerce to assess and ease the conference’s environmental Stay up to date with current practice impact. SPIE is currently working to implement solutions from the Green Meetings Industry in photomask engineering. Courses Council guidelines with a goal to take our environmental effi ciency to a whole new level. on E-beam, EUV, and Photomask When at this event, SPIE encourages you to take advantage of recycling bins, to reuse fabrication & technology. towels at your hotel, and to carpool whenever transportation is required during your stay Details on page 13-15. in Monterey.

4 SPIE Photomask Technology 2010 · spie.org/pmadvance Special Events

Special Session on EBDW/ML2 13.5 nm or 17.3 Picometer? Are We Funding the Right Wavelength/Technology? Wednesday 15 September • 8:00 am to 5:30 pm Okay. We all drank the EUV Kool-Aid. Now it is time to ensure our roadmap. The increasing cost of lithographic masks is raising concerns for future technology generations and the Semiconductor roadmap at large. Cost-per-design is another limiting factor in diminishing the number of prototype starts. The staggering overall cost of EUV lithography, combined with even higher blank and fi nished mask cost, is driving another nail into the coffi n of the semiconductor progress. One could argue that EUV appears to be only of benefi t to true high-- volume-per-mask end-users, that can absorb the cost thru their wafer, chip and systems cost. beams, thereby pushing the potential productivity from hours-per- As a result, semiconductor manufacturers are beginning to look for wafer into the wafer-per-hour regime. Furthermore, several ML2 tools ways to reduce or eliminate the need for masks altogether - mask-less can be clustered on the fl oor space allocated for just one EUV scanner, lithography (ML2) is one such approach. potentially providing 100wph for the 22nm hp node, capitalizing on the In a sense, one variation of mask-less lithography* - Electron-beam advantage of using the same common infrastructure - with the added direct-write tools - have been around for decades and are still successfully benefi t of redundancy in case of downtime related to for instance source, used in the semiconductor industry for rapid device development. optics clean, defects, or general contamination problems. Typically, EBDW tools operate at 50keV electron-beam energy and have For the Special Session this year on Wednesday, Sept 15th, our Co- excellent resolution capabilities. The only reason the tools are not widely Chairs Brian Grenon and Wolf Staud have solicited the contributions used in production is their lack of throughput. Multibeam maskless of industry luminaries on the various subjects and challenges that ML2 lithography offers all the benefi ts of EBDW while resolving the volume is facing: starting with Burn Lin, TSMC [Keynote Speaker], Hans Pfeiffer problem by implementing a massive parallelization of electron beams. [historical background], Neil Berglund [CoO], Aki Fujimura, [Invited: Massively-parallel Mask-less Lithography contrary to EUV is an Design challenges], David Lam, [Complimentary Lithography], Tor innovation on an evolutionary path that-to a large extent-capitalizes Sandstrom [platform], Laurent Pain [datapath] and many more tool and on existing e-beam technology parts (e.g., source, lenses, wafer stage, sub-system suppliers to give you a full-days program on this more than beam software, magnetic shielding, and tool software). The main viable alternative. advantage of ML2 is the realization of pattern transfer through an array Please join us for what will surely be a very challenging and interesting of several hundreds or thousands of individually addressable electron session.

Don’t Miss the Photomask Reception Wednesday 15 September, 6:00 to 8:00 pm Make plans to join your colleagues and friends Admission is included with your paid registration. at the annual Photomask Reception. This year’s Guest tickets may be purchased with your event focuses on good food, beverages, and pre-registration or onsite (we highly recommend plenty of time to socialize or talk business with purchasing in advance to assure your reservation). fellow conference attendees. Awards and other presentations will be included in the evening.

TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 5 Daily Schedule

MONDAY TUESDAY WEDNESDAY THURSDAY 13 SEPTEMBER 14 SEPTEMBER 15 SEPTEMBER 16 SEPTEMBER

Courses Conference

SC579 Photomask Fabrication Photomask Technology Conference p. 7-12 and Technology Basics (Duff) 8:30 am to 5:30 pm, Exhibition, 10:00 am to 4:00 pm, p.2 p. 14 SC888 EUV Lithography (Bakshi) Poster Viewing, p.4 8:30 am to 5:30 pm, p. 15 10:00 am to 4:00 pm; 6:15 to 7:45 pm 10:00 am to 3:00 pm SC991 Electron Beam Lithography using Massively Parallel Pixel Keynote Presentation The Special Session on EBDW/ Projection (Pfeiffer) 8:30 am Future is Bright for Those ML2 13.5 nm or 17.3 to 12:30 pm, p. 15 with Open Eyes, 8:10 to Picometer?Are We SC1009 Electron Beam 8:50 am, p. 4 Funding the Right Inspection - Principles Exhibition/Poster Reception Wavelength/Technology?, and Applications in IC and Monterey Conference 8:00 am to 5:30 pm p. 5 Mask Manufacturing (Xiao) 1:30 to 5:30 pm, p. 14 Center, Serra Grand Ballroom, 6:15 to 7:45 pm, p. 4 Photomask Reception 6:00 to 8:00 pm, p. 5

SPIE thanks the following sponsors for their generous support

CONFERENCE BAGS RECEPTION WINE DOOR DECALS

www.synopsys.com www.hoya.co.jp www.smt.zeiss.com/sms

LANYARDS INTERNET PAVILION TUESDAY POSTER RECEPTION BEER

www.mentor.com www.jeol.com www.synopsys.com

HOTEL KEY CARDS GENERAL REFRESHMENT Micro Lithography Inc. www.smt.zeiss.com/sms

6 SPIE Photomask Technology 2010 · spie.org/pmadvance Conference 7823

Monday-Thursday 13-16 September 2010 • Proceedings of SPIE Vol. 7823 Photomask Technology Conference Chair: M. Warren Montgomery, CNSE/SEMATECH Conference Co-Chair: Wilhelm Maurer, Infi neon Technologies AG (Germany) Program Committee: Ki-Ho Baik, Luminescent Technologies, Inc.; Ronald R. Bozak, RAVE LLC; William H. Broadbent, KLA-Tencor Corp.; David Y. Chan, SEMATECH North; Han-Ku Cho, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Roxann L. Engelstad, Univ. of Wisconsin- Madison; Emily E. Gallagher, IBM Corp.; Rik M. Jonckheere, IMEC (Belgium); Chin-Hsiang John Lin, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan); Thomas H. Newman, Micronic Laser Systems Inc.; Hiroshi Nozue, NuFlare Technology, Inc. (Japan); James E. Potzick, National Institute of Standards and Technology; Frank M. Schellenberg, Consultant; Thomas Scheruebl, Carl Zeiss SMS GmbH (Germany); Robert J. Socha, ASML US, Inc.; Anna Tchikoulaeva, GLOBALFOUNDRIES Inc. (Germany)

Tuesday 14 September Opening Remarks ...... Tues. 8:00 to 8:10 am Session Chairs: M. Warren Montgomery, CNSE/SEMATECH; Wilhelm Maurer, Infi neon Technologies AG (Germany)

SESSION 1 ...... Tues. 8:10 to 10:10 am Invited Session Session Chairs: M. Warren Montgomery, CNSE/SEMATECH; Wilhelm Maurer, Infi neon Technologies AG (Germany) The Future is Bright for Those with Open Eyes (Invited Paper), Franklin D. Kalk, Toppan Photomasks, Inc. (United States) ...... [7823-01]

Mask Industry Assessment: 2010, Gregory P. Hughes, David Y. Chan, SEMATECH North (United States) ...... [7823-02] EMCL 2010 Best Paper: E-beam induced EUV photomask repair: a perfect match, Markus Waiblinger, K. Kornilov, Thorsten Hofmann, Klaus Edinger, Carl Zeiss SMS GmbH (Germany) ...... [7823-03] PMJ 2010 Best Paper: Dry etching technologies for EUV mask, Hidehito Azumano, Shibaura Mechatronics Corp. (Japan) ...... [7823-04] PMJ 2010 Panel Overview (Presentation Only) ...... [7823-05]

Sessions 2-3-4 run concurrently with sessions 5-6-7-8.

SESSION 2 ...... Tues. 10:40 am to 12:20 pm SESSION 5 ...... Tues. 10:40 to 11:40 am Pattern Generation NIL Session Chairs: Hiroshi Nozue, NuFlare Technology, Inc. (Japan); Session Chairs: Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan); Roxann L. Engelstad, Univ. of Wisconsin-Madison Douglas J. Resnick, Molecular Imprints, Inc. Improvement of mask write time for curvilinear assist features at 22 nm Development of template and mask replication using jet and fl ash-imprint (Invited Paper), Aki Fujimura, Ingo Bork, D2S, Inc. (United States); Taiichi Kiuchi, lithography, Douglas J. Resnick, Cynthia B. Brooks, Kosta S. Selinidis, Gary F. Tadashi Komagata, Yasutoshi Nakagawa, JEOL Ltd. (Japan) ...... [7823-06] Doyle, Dwayne L. LaBrake, S. V. Sreenivasan, Molecular Imprints, Inc. (United States) ...... [7823-23] eMET: 50 keV electron mask exposure tool development based on proven multibeam projection technology, Elmar Platzgummer, Stefan Cernusca, Peter 6-inch circle template fabrication for patterned media, Morihisa Hoga, Joechl, Christof Klein, Samuel Kvasnica, Hans Loeschner, IMS Nanofabrication Kimio Itoh, Dai Nippon Printing Co., Ltd. (Japan); Nobuhito Toyama, Dai Nippon AG (Austria) ...... [7823-07] Printing Co. America, Inc. (United States) ...... [7823-24] Multishaped e-beam technology for mask writing, Juergen Gramss, Vistec Inspection technique for nano-imprint template with mirror electron Electron Beam GmbH (Germany); Martin Sczyrba, Timo Wandel, Advanced microscopy, Tomokazu Shimakura, Masaki Hasegawa, Hiroshi Suzuki, Hiroya Mask Technology Ctr. GmbH Co. KG (Germany); Hans-Joachim Doering, Vistec Ohta, Hitachi, Ltd. (Japan) ...... [7823-25] Electron Beam GmbH (Germany) ...... [7823-08] Lunch/Exhibition Break...... 11:40 am to 1:00 pm Multiple-beam mask writers: an industry solution to the write-time crisis, Lloyd C. Litt, Gregory P. Hughes, SEMATECH North (United States) . . .[7823-09] Resist process windows in electron-beam lithography, Andrew T. Jamieson, Nathan Wilcox, Wai Y. Kwok, Yong Kwan Kim, Intel Corp. (United States) ...... [7823-10] Authors and Presenters Lunch/Exhibition Break...... 12:20 to 1:40 pm Find full instructions for a successful presentation at Photomask Technology—oral and poster. Find full instructions for successful manuscript preparation.

TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 7 Conference 7823

Tuesday 14 September (continued) Sessions 2-3-4 run concurrently with sessions 5-6-7-8. SESSION 3 ...... Tues. 1:40 to 4:30 pm SESSION 6 ...... Tues. 1:00 to 2:00 pm Mask Process Mask Data Preparation Session Chairs: Emmanuel Rausa, Plasma-Therm LLC; Session Chairs: Thomas H. Newman, Micronic Laser Systems Inc.; John Lin, Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan) J. Tracy Weed, Synopsys, Inc. Process window improvement on 45-nm technology nonvolatile memory by Writing 32-nm hp contacts with curvilinear assist features, Aki Fujimura, D2S, CD uniformity correction, Ute Buttgereit, Robert Birkner, Carl Zeiss SMS GmbH Inc. (United States); Byung-Gook Kim, SAMSUNG Electronics Co., Ltd. (Korea, (Germany); Erez Graitzer, Avi Cohen, Carl Zeiss SMS GmbH (Israel); Benedetta Republic of); David H. Kim, Luminescent Technologies, Inc. (United States); Ingo Triulzi, Carmelo Romeo, Numonyx Agrate (Italy)...... [7823-11] Bork, D2S, Inc. (United States); Christophe Pierrat, IC Images Technologies, Inc. (United States) ...... [7823-26] Degradation of pattern quality due to strong electron scattering in EUV mask, Jin Choi, Sang Hee Lee, Hee Bom Kim, Byung-Gook Kim, Sang-Gyun Optimization of MDP, mask writing, and mask inspection for mask Woo, HanKu Cho, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) [7823-12] manufacturing cost reduction, Masaki Yamabe, Tadao Inoue, Masahiro Shoji, Akio Yamada, Hiromichi Hoshi, Kenichi Takahara, Association of Super- Computational defect statistics in process analysis and control, Clemens S. Advanced Electronics Technologies (Japan) ...... [7823-27] Utzny, Christian Tonk, Jan H. Peters, Advanced Mask Technology Ctr. GmbH Co. KG (Germany) ...... [7823-13] Generalization of shot defi nition for variable shaped e-beam machines for write-time reduction, Emile Sahouria, Mentor Graphics Corp. (United States); Plasma monitoring of chrome dry etching for mask making, Sung-Won Amanda Bowhill, Mentor Graphics (United States) ...... [7823-118] Kwon, Dong-Chan Kim, Dong-Seok Nam, Sang-Gyun Woo, Han-Ku Cho, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) ...... [7823-14] SESSION 7 ...... Tues. 2:00 to 3:20 pm Long-range proximity effects and CD variation: a method to characterize chemical fl are and develop loading, Linda K. Sundberg, Gregory M. Wallraff, Simulation Alexander M. Friz, Blake W. Davis, IBM Almaden Research Ctr. (United States); Amy E. Zweber, IBM Corp. (United States); Emmanuel Delamarche, Robert D. Session Chairs: Ki-Ho Baik, Luminescent Technologies, Inc.; Lovchik, IBM Zürich Research Lab. (Switzerland); William D. Hinsberg, IBM Banqiu Wu, Applied Materials, Inc. Almaden Research Ctr. (United States)...... [7823-15] Physical resist model calibration for implant level using laser masks, New method to determine process window considering pattern failure, Dongbing Shao, Todd C. Bailey, Mohamed Talbi, IBM Corp. (United States); Seung-Hune Yang, Sungho Moon, Young-Chang Kim, Sung-Woon Choi, Sajan R. Marokkey, Infi neon Technologies North America Corp. (United SAMSUNG Electronics Co., Ltd. (Korea, Republic of) ...... [7823-16] States) ...... [7823-28] A systematic approach to the determination of SRAF capabilities in high- Compensation methods using a new single-layer model for buried defects in end mask manufacturing, Christian Buergel, Martin Sczyrba, Advanced Mask extreme- lithography masks, Chris H. Clifford, Tina T. Chan, Andrew Technology Ctr. GmbH Co. KG (Germany) ...... [7823-17] R. Neureuther, Univ. of California, Berkeley (United States) ...... [7823-29] Evaluation of a new model of mask topography effects, Christophe Pierrat, IC SESSION 4 ...... Tues. 4:30 to 6:10 pm Images Technologies, Inc. (United States) ...... [7823-30] Mask Materials An advanced modeling approach for mask and wafer process simulation, Ahmet Karakas, Gauda, Inc. (United States) ...... [7823-31] Session Chairs: Mark T. Jee, HOYA Corp. USA; Thomas B. Faure, IBM Corp. SESSION 8 ...... Tues. 3:50 to 6:10 pm Development and characterization of a thinner binary mask absorber for 22-nm node and beyond, Thomas B. Faure, Karen D. Badger, Louis M. Kindt, Optical Proximity Correction IBM Corp. (United States); Yutaka Kodera, Toru Komizo, Shinpei Kondo, Takashi Session Chairs: Robert J. Socha, ASML US, Inc.; Mizoguchi, Satoru Nemoto, Tasuku Senna, Toppan Electronics, Inc. (United Steffen F. Schulze, Mentor Graphics Corp. States); Richard E. Wistrom, Amy E. Zweber, IBM Corp. (United States); Kazuhiro Nishikawa, Yukio Inazuki, Hiroki Yoshikawa, Shin-Etsu Chemical Co., Ltd. Attaining the 11-nm node using nonlinear 193-nm exposure addition of (Japan) ...... [7823-18] generated pattern and projection lithography produced line-break patterns that are optimized through source-mask Advanced binary fi lm for 193-nm lithography extension to sub-32-nm node, optimization, John S. Petersen, Periodic Structures, Inc. (United States); Ilhami Osamu Nozawa, Hiroaki Shishido, Masahiro Hashimoto, Yasushi Ohkubo, Torunoglu, Ahmet Karakas, Gauda, Inc. (United States) ...... [7823-32] Hideaki Mitsui, HOYA Corp. (Japan) ...... [7823-19] Model-based double-dipole lithography for sub-30-nm node device, A-Young Lithography with the advanced binary fi lm photomask, Hiromitsu Mashita, Je, Soo Han Choi, Jeong Hoon Lee, SAMSUNG Electronics Co., Ltd. (Korea, Yingkang Zhang, Takafumi Taguchi, Toshiya Kotani, Shoji Mimotogi, Hidefumi Republic of); Ji Young Lee, Mentor Korea Co., Ltd. (Korea, Republic of); James Mukai, Yasushi Ito, Koji Murano, Shinji Yamaguchi, Keiko Morishita, Takashi C. Word, Mentor Graphics Corp. (United States) ...... [7823-33] Hirano, Tomotaka Higaki, Osamu Ikenaga, Hidehiro Watanabe, Toshiba Corp. (Japan) ...... [7823-20] SMO mask requirements for low-k1 lithography, Seiji Nagahara, Kazuyuki Yoshimochi, Hiroshi Yamazaki, Akihiko Ando, Ken Nakajima, NEC Electronics Understanding the trade-offs of thinner binary mask absorbers, Jaione Corp. (Japan) ...... [7823-34] Tirapu-Azpiroz, Gregory R. McIntyre, Thomas B. Faure, Scott D. Halle, Michael S. Hibbs, Alfred Wagner, Kafai Lai, Emily E. Gallagher, Timothy A. Brunner, IBM Reliable prediction of SRAF using new resist modeling technique, Corp. (United States) ...... [7823-21] Sunggon Jung, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Xin Zhou, Luminescent Technologies, Inc. (United States) ...... [7823-35] Aging study in advanced photomasks: impact of EFM effects on lithographic performance with MoSi binary and attenuated PSM 6% masks, A systematic study of source-error infl uence on source-mask optimization, Isabelle Servin, Jérôme Belledent, Marc-Olivier Fialeyre, Lab. d’Electronique Clovis Alleaume, Emek Yesilada, Vincent Farys, STMicroelectronics (France); de Technologie de l’Information (France); Brid Connolly, Matt J. Lamantia, Laurent Depre, Vincent Arnoux, Zhipan Li, Brion Technologies, Inc. (United Toppan Photomasks, Inc. (Germany); Karine Jullian, Bertrand Le-Gratiet, States); Yorick Trouiller, Lab. d’Electronique de Technologie de l’Information STMicroelectronics (France); Laurent Pain, Lab. d’Electronique de Technologie (France) ...... [7823-36] de l’Information (France) ...... [7823-22] Impact of model-based fracturing on proximity effect correction methodology, Christophe Pierrat, IC Images Technologies, Inc. (United States); Ingo Bork, D2S, Inc. (United States) ...... [7823-37] Fast pixel-based OPC algorithm based on nonparametric kernel regression, Xu Ma, Shangliang Jiang, Avideh Zakhor, Univ. of California, Berkeley (United States) ...... [7823-38]

8 SPIE Photomask Technology 2010 · spie.org/pmadvance Conference 7823

Exhibition/Poster Reception ...... Tues. 6:15 to 7:45 pm Proximity effect correction concerning forward scattering, Dai Tsunoda, Nippon Control System Corp. (Japan) ...... [7823-95] Session Chairs: Archita Sengupta, Intel Corp.; Brian Cha, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) An optimized OPC and MDP fl ow for reducing mask write time and mask cost, Ellyn Yang, Cheng-He Li, Semiconductor Manufacturing International Corp. Symposium attendees and guests are invited to attend an Exhibition/Poster (China); Yu Zhu, Mentor Graphics Shanghai Electronic Technology Co. (China); Reception on Tuesday evening in the Serra Grand Ballroom. The reception Eric G. Guo, Semiconductor Manufacturing International Corp. (China) .[7823-96] provides an opportunity for attendees to meet colleagues, network, and view poster papers. Refreshments will be served. Attendees are requested to wear Metrology their conference registration badges. Ellipsometric investigation of mechanically polished sitall and quartz glass, Oleksandr Shestopal, National Taras Shevchenko Univ. of Kyiv Mask Blanks (Ukraine) ...... [7823-97] High-performance photomask technology with the advanced binary fi lm, Thin fi lm metrology by FFT using SE and SR signal, Jangik Park, Yusin Yang, Koji Murano, Kosuke Takai, Kunihiro Ugajin, Machiko Suenaga, Takeharu SAMSUNG Electronics Co., Ltd. (Korea, Republic of) ...... [7823-98] Motokawa, Masato Saito, Tomotaka Higaki, Osamu Ikenaga, Hidehiro Watanabe, Toshiba Corp. (Japan) ...... [7823-80] A new CDSEM metrology method for thin fi lm hardmasks patterns using multiple detectors, Sumito Harada, Yuta Chihara, Motoji Hirano, Toshimichi Clean Iwai, Masayuki Kuribara, Ikuo Iko, Masahiro Seyama, Jun Matsumoto, Takayuki Advanced cleaning of nano-imprint lithography template in patterned Nakamura, Advantest Corp. (Japan) ...... [7823-99] media applications, Sherjang Singh, Ssuwei Chen, Hamatech USA, Inc. (United IntenCD and mask-phase uniformity, Ilan Englard, Applied Materials BV States); Peter Dress, HamaTech APE GmbH & Co. KG (Germany); Uwe Dietze, (Netherlands); Shmoolik Mangan, Yaron Cohen, Applied Materials Hamatech USA, Inc. (United States); Nobuo Kurataka, Gene G. Gauzner, Seagate (Israel) ...... [7823-100] Technology LLC (United States) ...... [7823-81] Optimized reticle alignment structures for minimizing aberration sensitivities Advanced photomask cleaning for 32 nm and beyond, Jong-Min Kim, Young- and pattern shifts, Mark A. van de Kerkhof, Barry Moest, Haico Kok, ASML Jin An, Hyo-Jin Ahn, Dong-Seok Lee, Sang-Soo Choi, PKL Co., Ltd. (Korea, Netherlands B.V. (Netherlands) ...... [7823-101] Republic of) ...... [7823-82] EUV NIL Investigation of a multi-tier template fabrication process for 3D NIL, Jörg Inspection solutions for EUV-mask defectivity applications, Shmoolik Butschke, Mathias Irmscher, Holger Sailer, Institut für Mikroelektronik Stuttgart Mangan, Lior Shoval, Applied Materials (Israel) ...... [7823-83] (Germany); Thomas Glinsner, Gerald Kreindl, EV Group (Austria) . . . . .[7823-102] Mask process correction (MPC) modeling and its application to EUV mask Manufacturably duplicated template for discrete track media, Atsushi for electron-beam mask writer EBM-7000, Takashi Kamikubo, Takayuki Tatsugawa, Noriko Yamashita, Tadashi Oomatsu, Kenji Saitou, Kazuyuki Usuki, Ohnishi, Shigehiro Hara, Hirohito Anze, Yoshiaki Hattori, Shuichi Tamamushi, FUJIFILM Corp. (Japan) ...... [7823-103] NuFlare Technology, Inc. (Japan); Yasuko Saito, Tadahiro Takigawa, Brion Technologies KK (Japan); Shufeng Bai, Jen-Shiang Wang, Rafael C. Howell, Optical Proximity Correction George Chen, Jiangwei Li, Jun Tao, James N. Wiley, Brion Technologies, Inc. Optimize the OPC control recipe with cost function, Qingwei Liu, (United States) ...... [7823-84] Semiconductor Manufacturing International Corp. (China); Liguo Zhang, Mentor Sensitivity results from a 193-nm EUV-mask inspector, Gregg A. Inderhees, Graphics Shanghai Electronic Technology Co. (China) ...... [7823-104] Daniel C. Wack, Tao-Yi Fu, Qiang Zhang, Yalin Xiong, KLA-Tencor Corp. (United OPC recipe optimization using simulated annealing, Tamer S. Desouky, States) ...... [7823-85] Mentor Graphics Egypt (Egypt) ...... [7823-105] Haze Qualifi cation methodology of SRAF insertion at contact holes patterning Mask haze reduction by evaluate storage material and storage condition lithography process, Juhwan Kim, Pat J. Lacour, Mentor Graphics Corp. (United and application performance, Shu Li Chen, United Microelectronics Corp. States) ...... [7823-106] (Taiwan) ...... [7823-86] CAD for positive line-by-fi ll SADP process, Qiao Li, Mentor Graphics Corp. Inspection (United States) ...... [7823-107] An analysis of correlation between scanning direction and defect detection A full-chip MB-SRAF placement for advanced technology nodes using the at ultra-high resolution, Kwon Lim, Sung Pil Choi, Wonil Cho, Dong-Hoon SRAF guidance map, Yen-Wen Lu, Brion Technologies, Inc. (United States); Chung, Chan-Uk Jeon, HanKu Cho, SAMSUNG Electronics Co., Ltd. (Korea, Shigeki Nojima, Masahiro Miyairi, Toshiba Materials Co., Ltd. (Japan); Tatsuo Republic of) ...... [7823-69] Nishibe, Brion Technologies KK (Japan); Been-Der Chen, Hanying Feng, William Wong, Zhangnan Zhu, Min-Chun Tsai, Brion Technologies, Inc. (United Feasibility study of EUV patterned mask inspection for the 22-nm node, States) ...... [7823-108] Dana Bernstein, Applied Materials (Israel); Dong-Hoon Chung, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) ...... [7823-87] Optical proximity correction challenges with highly elliptical contacts, Free form micro-lens arrays enable innovations and more effi ciency for Christopher M. Cork, Synopsys SARL (France); Levi D. Barnes, Yang Ping, Xiaohai Li, Stephen Jang, Synopsys, Inc. (United States) ...... [7823-109] mask inspection tools, Tanja Bizjak, Manfred Jarczynski, Günter Hess, Lutz Aschke, LIMO Lissotschenko Mikrooptik GmbH (Germany) ...... [7823-88] Manufacturing cost reduction and extending scanner life by using inverse lithography technology with assist feature, Sung-Ho Jun, Yeon-Ah Shim, Strategies for future inspections, Shmoolik Mangan, Applied Materials Jaeyoung Choi, Kwangsun Choi IV, Jae-won Han, Dongbu HiTek Co., Ltd. (Israel) ...... [7823-89] (Korea, Republic of); Ki-Ho Baik, David H. Kim, Dong-Hwan Son, Xin Zhou, 28N foundry reticle requal challenges and solutions for IC fabs, Bryan Youngtag Woo, Luminescent Technologies, Inc. (United States) ...... [7823-110] W. Reese, KLA-Tencor Corp. (United States); David Wu, KLA-Tencor Taiwan Affordable and process window increasing novel mask-writing technique, (Taiwan); Bo Mu, KLA-Tencor Corp. (United States)...... [7823-90] David H. Kim, Luminescent Technologies, Inc. (United States); Shuichiro Ohara, Study of EUV-mask inspection technique using DUV-light source for Nippon Control System Corp. (Japan) ...... [7823-111] hp-22-nm node and beyond, Ryoichi Hirano, Nobutaka Kikuiri, Masatoshi Substrate aware OPC rules for block levels generated using physical resist Hirono, Advanced Mask Inspection Technology, Inc. (Japan); Kenichi Takahara, simulation, Dongbing Shao, Todd C. Bailey, Mohamed Talbi, IBM Corp. (United Hideaki Hashimoto, NuFlare Technology, Inc. (Japan); Hiroyuki Shigemura, States) ...... [7823-112] Semiconductor Leading Edge Technologies, Inc. (Japan) ...... [7823-91] Multiple models versus single compromise model for doped-poly, double- Mask Data Preparation patterning OPC, Lawrence S. Melvin III, Jianliang Li, Synopsys, Inc. (United Effi cient MDP automation system linkage and verifi cation focusing on States); Ezequiel Vidal-Russell, , Inc. (United Boolean layer generation, George Shiau, United Microelectronics Corp. States) ...... [7823-113] (Taiwan) ...... [7823-92] High-pattern-fi delity inverse mask design incorporating an innovative MDP arrangement with mask suppliers for rising OASIS tape out, Erwin image-contrast optimization algorithm, Jue-Chin Yu, Peichen Yu, National Deng, Rachel Lee, United Microelectronics Corp. (Taiwan) ...... [7823-93] Chiao Tung Univ. (Taiwan)...... [7823-114] Study of data I/O performance on distributed disk system in mask data Simultaneous source-mask optimization: a numerical combining method, preparation, Shuichiro Ohara, Nippon Control System Corp. (United States); Thomas Mülders, Synopsys GmbH (Germany); Vitaliy M. Domnenko, Synopsys, Hiroyuki Oodaira, Tomoyuki Chikanaga, Masakazu Hamaji, Yasuharu Yoshioka, Inc. (Russian Federation); Bernd Küchler, Thomas Klimpel, Hans-Jürgen Stock, Nippon Control System Corp. (Japan) ...... [7823-94] Synopsys GmbH (Germany); Amyn A. Poonawala, Kunal N. Taravade, William A. Stanton, Synopsys, Inc. (United States) ...... [7823-115] TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 9 Conference 7823

Posters (continued) Reduced basis method for source mask optimization, Jan Pomplun, Konrad- Zuse-Zentrum für Informationstechnik Berlin (Germany) and JCMwave GmbH (Germany); Lin W. Zschiedrich, JCMwave GmbH (Germany); Sven Burger, Frank Schmidt, Konrad-Zuse-Zentrum für Informationstechnik Berlin (Germany) and JCMwave GmbH (Germany); Jacek K. Tyminski, Nikon Precision Inc. (United States); Donis G. Flagello, Nikon Research Corp. of America (United States); Toshiharu Nakashima, Nikon Corp. (Japan) ...... [7823-116] Patterning The CD uniformity improvement for ArF extension using mask DFM (design for manufacturing), Byung-Ho M. Nam, YoungMo Lee, Sunghyun Oh, YongDae Kim, MunSik Kim, Chungseon Choi, Tae-Joong Ha, Chang-Reol Kim, Hynix Semiconductor Inc. (Korea, Republic of) ...... [7823-117] EUV-mask defect mitigation through pattern placement, Mansoor Abbas, John M. Burns, Synopsys, Inc. (United States); Yan A. Liu, Pei-Yang Yan, Intel Corp. (United States) ...... [7823-120] Mask Process Understanding BARC thinning phenomena over topography: a quest for compensation opportunities., Chloé Hégaret, STMicroelectronics (France) and Ecole Nationale Supérieure de Chimie de Mulhouse (France); Lionel Ravel, Antonio Di Giacomo, Romain Lallement, STMicroelectronics (France); Christophe M. Brault, Rohm and Haas Electronic Materials S.A.S. (France) ...... [7823-121] Simulation Integrated mask and optics simulations for corner rounding effect in OPC modeling, Jing Xue, Zhijie Deng, Synopsys, Inc. (United States); Kyo-il Koo, Synopsys Korea Inc. (Korea, Republic of); Yunqiang Zhang, Yongfa Fan, James P. Shiely, Synopsys, Inc. (United States); Thomas Schmoeller, Synopsys GmbH (Germany); Sooryong Lee, Synopsys Korea Inc. (Korea, Republic of) . .[7823-122]

Wednesday 15 September

Session 9 runs concurrently with sessions 10-11. SESSION 10 ...... Wed. 1:00 to 3:00 pm Session 9: Special Session on EBDW/ML2 EUV I Session Chairs: Wolf Staud, Applied Materials, Inc.; Session Chairs: Rik M. Jonckheere, IMEC (Belgium); Brian J. Grenon, Grenon Consulting, Inc. Frank E. Abboud, Intel Corp. A lifetime study of EUV masks, Emily E. Gallagher, Uzodinma Okoroanyanwu, Room: Steinbeck Forum ...... Wed. 8:00 am to 5:30 pm Obert R. Wood II, Louis M. Kindt, Monica J. Barrett, IBM Corp. (United States); Hirokazu Kato, Toshiba America Electronic Components, Inc. (United States); “13.5 nanometer or 17.3 picometer? - we did not drink Guillaume Landie, STMicroelectronics (United States) ...... [7823-39] the Kool-Aid! From ‘Plenty, but never enough’ to ‘badly The control of EUV-mask defects on mask making process, Kuan-Wen Lin, needed’ - are we funding the right technology??” Taiwan Semiconductor Manufacturing Co. Ltd. (Taiwan) ...... [7823-40] Impact of mask topography and multilayer stack on high-NA imaging of EUV Overview Session will include presentations by: masks, Johannes Ruoff, Carl Zeiss SMT AG (Germany) ...... [7823-41] Aki Fujimura, D2S Inc.; Hans Pfeiffer, HCP Consulting Services; Tor EUV-mask stack optimization for enhanced-imaging performance, Eelco Sandstrom, Micronic MyData AB; Neil Berglund, Consultant; Stefan Wurm, Van Setten, ASML Netherlands B.V. (Netherlands); Mircea V. Dusa, ASM Belgium SEMATECH N.V. (Belgium); Robert de Kruif, Natalia Davydova, Kornelis Feenstra, Christian Challenges/Processes Sessions will include presentations by: Wagner, ASML Netherlands B.V. (Netherlands); Petra Spies, Tristan Bret, Markus Waiblinger, Carl Zeiss SMS GmbH (Germany) ...... [7823-42] Shy-Jay Lin, Taiwan Semiconductor Manufacturing Co.; Ido Holcmann, AMAT PDC; David Lam, Multibeam Systems Inc.; Jim Thackery, Dow Assessing pattern inspection solutions for EUVL reticles at the 11-nm logic Electronics; Reinhard Galler, EQUIcon Software GmbH Jena; Laurent Pain, node, Ted Liang, John F. Magana, Firoz A. Ghadiali, Guojing Zhang, Intel Corp. CEA/LETI (United States) ...... [7823-43] Users Session presentations to be determined EUV-mask inspection technologies for contact (hole) layers for 2-nm hp and beyond, Gregg A. Inderhees, Daniel C. Wack, Tao-Yi Fu, Qiang Zhang, Yalin Systems Session will include presentations by: Xiong, KLA-Tencor Corp. (United States) ...... [7823-44] Kiichi Sakamoto, Advantest; Mark McCord, KLA-Tencor Corp; Matthias Slodowski, Vistec Electron Beam GmbH; Bert Kampherbeek, Mapper Lithography Get a free trial subscription. An Open Discussion with Audience Participation Ask your librarian.

SPIEDigitalLibrary.org

10 SPIE Photomask Technology 2010 · spie.org/pmadvance Conference 7823

Wednesday 15 September (continued) Session 9 runs concurrently with sessions 10-11. SESSION 11 ...... Wed. 3:30 to 5:30 pm EUV II Session Chairs: HanKu Cho, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); Paul W. Ackmann, GLOBALFOUNDRIES Inc. Investigation of carbon contamination growth dependency on Ruthenium surface property of EUV mask, Han-Shin Lee, JaeHyuck Choi, DongGun Lee, DaeHyuk Kang, Hyungho Ko, SeongSu Kim, Chan-Uk Jeon, HanKu Cho, SAMSUNG Electronics Co., Ltd. (Korea, Republic of) ...... [7823-45] Current status and challenges in EUV reticle defect detection: a case study of using a real product, John F. Magana, Manish Chandhok, Timothy F. Crimmins, Firoz A. Ghadiali, Ted Liang, Guojing Zhang, Intel Corp. (United States) ...... [7823-46] Natural EUV-mask blank defects: evidence, timely detection, analysis, and outlook, Dieter Van den Heuvel, Rik M. Jonckheere, Eric Hendrickx, Shaunee Y. Cheng, Kurt G. Ronse, IMEC (Belgium) ...... [7823-47] Printability of EUVL mask defect detected by actinic blanks inspection tool and 199-nm pattern inspection tool, Takashi Kamo, Tsuneo Terasawa, Takeshi Yamane, Hiroyuki Shigemura, Noriaki Takagi, Tsuyoshi Amano, Toshihiko Tanaka, Kazuo Tawarayama, Osamu Suga, Ichiro Mori, Semiconductor Leading Edge Technologies, Inc. (Japan) ...... [7823-48] Improvement of actinic blank inspection and phase defect analysis, Takeshi Yamane, Toshihiko Tanaka, Tsuneo Terasawa, Osamu Suga, Semiconductor Leading Edge Technologies, Inc. (Japan) ...... [7823-49] Investigation of the infl uence of resist patterning on absorber LWR for 22-nm-node EUV lithography, Yuichi Inazuki, Tsukasa Abe, Taichi Ogase, Satoshi Kawashima, Tadahiko Takigawa, Hiroshi Mohri, Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan) ...... [7823-142]

Thursday 16 September

Sessions 12-13-14 run concurrently with sessions 17-18. SESSION 12 ...... Thurs. 8:00 to 9:00 am SESSION 17 ...... Thurs. 8:20 to 10:00 am Mask Business Metrology I Session Chairs: Bryan S. Kasprowicz, Photronics, Inc.; Session Chairs: John M. Whittey, KLA-Tencor Corp.; Artur P. Balasinski, Cypress Semiconductor Corp. David Y. Chan, SEMATECH North Mask shop automation: station controllers for photomask manufacturing, Detecting measurement outliers: remeasure effi ciently, Albrecht Ullrich, Venkatesh Nadamuni, Derek Lager, Intel Corp. (United States) ...... [7823-50] Advanced Mask Technology Ctr. GmbH Co. KG (Germany) ...... [7823-72] Defect reduction through lean methodology, Kathleen Purdy, Louis M. Kindt, Advanced mask CD MTT correction technique through improvement of CD Jim Densmore, Craig Benson, Nancy C. Zhou, John Leonard, Cynthia Whiteside, measurement repeatability of CD SEM, Choonghan Ryu, Hoyong Jung, Tae- Robert Nolan, David E. Shanks, IBM Corp. (United States) ...... [7823-51] Joong Ha, Chang-Reol Kim, Hynix Semiconductor Inc. (Korea, Republic of) ...... [7823-73] How to match without copying: an approach for APSM mask process matching using aerial imaging, Martin Sczyrba, Advanced Mask Technology Improving registration measurement capability by defi ning a 2D grid Ctr. GmbH Co. KG (Germany); Carmelo Romeo, Numonyx Agrate (Italy); Frank standard using multiple registration measurement tools, Oliver Löffl er, Schurack, Advanced Mask Technology Ctr. GmbH Co. KG (Germany); Tom Albrecht Ullrich, Gunter Antesberger, Jan Richter, Andreas Wiswesser, Advanced K. Castro, Numonyx California Technology Ctr. (United States); Brid Connolly, Mask Technology Ctr. GmbH Co. KG (Germany); Masaru Higuchi, Tatsuhiko Toppan Photomasks, Inc. (Germany) ...... [7823-52] Kamibayashi, Toppan Printing Co., Ltd. (Japan); Frank Laske, Dieter K. Adam, Michael Ferber, Klaus-Dieter Roeth, KLA-Tencor MIE GmbH (Germany) [7823-74] SESSION 13 ...... Thurs. 9:00 to 10:20 am CD-signature determination by Nufl are inspection tool, Jan Richter, Jan P. Heumann, Clemens S. Utzny, Advanced Mask Technology Ctr. GmbH Co. KG Mask Repair (Germany); Noriyuki Takamatsu, Shuichi Tamamushi, NuFlare Technology, Inc. Session Chairs: Ronald R. Bozak, RAVE LLC; (Japan) ...... [7823-75] Peter D. Buck, Toppan Photomasks, Inc. In-die registration metrology: design data preparation solution, Frank Laske, Advanced laser mask repair in the current wafer foundry environment, Tod KLA-Tencor MIE GmbH (Germany); Stephen H. Kim, Seurien Chou, Synopsys, E. Robinson, RAVE LLC (United States) ...... [7823-53] Inc. (United States); Klaus-Dieter Roeth, Loc Ho, KLA-Tencor MIE GmbH (Germany) ...... [7823-76] Impact of new MoSi mask compositions on processing and repair, Anthony D. Garetto, Carl Zeiss SMT Inc. (United States); John B. Stuckey, Donald H. Butler, MP Mask Technology Ctr., LLC (United States) ...... [7823-54] Prospect of EUV mask repair technology using e-beam tool, Shingo Kanamitsu, Takashi Hirano, Toshiba Corp. (Japan); Osamu Suga, Semiconductor Leading Edge Technologies, Inc. (Japan) ...... [7823-55] Get more informationmation oonline:nline: Study of EUV mask defect repair using FIB method, Tsuyoshi Amano, Hiroyuki Shigemura, Noriaki Takagi, Tsuneo Terasawa, Osamu Suga, Semiconductor spie.org/pmadvance Leading Edge Technologies, Inc. (Japan); Kensuke Shiina, Fumio Aramaki, Anto Yasaka, SII NanoTechnology Inc. (Japan); Yuichi Inazuki, Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan) ...... [7823-56]

TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 11 Conference 7823

Thursday 16 September (continued) Sessions 12-13-14 run concurrently with session 17-18. SESSION 14 ...... Thurs. 10:50 am to 12:30 pm SESSION 18 ...... Thurs. 10:30 to 11:30 am Mask Cleaning Metrology II Session Chairs: Michael D. Archuletta, RAVE LLC; Session Chairs: Thomas Scheruebl, Carl Zeiss SMS GmbH Anna Tchikoulaeva, GLOBALFOUNDRIES Inc. (Germany) (Germany); Uwe F.W. Behringer, UBC Microelectronics (Germany) Study and improvement approach to 193-nm radiation damage of Improving registration metrology by correlation methods based on alias- attenuated phase-shift mask, Tomohito Hirose, Hitomi Tsukuda, Yosuke free aerial image simulation, Michael Arnz, Carl Zeiss SMT AG (Germany); Dirk Kojima, Hayato Ida, Takashi Haraguchi, Tsuyoshi Tanaka, Toppan Printing Co., Seidel, Dirk Beyer, Carl Zeiss SMS GmbH (Germany) ...... [7823-77] Ltd. (Japan) ...... [7823-57] Using principal component analysis for photomask CD signature Fundamental study of droplet spray characteristics in photomask cleaning investigations, Rusty Cantrell, Christian Buergel, Axel Feicke, Martin Sczyrba, for advanced lithography, Chi-Lun Lu, Wei Hung Liu, Ting Hao Hsu, Sheng-Chi Clemens S. Utzny, Advanced Mask Technology Ctr. GmbH Co. KG J. Chin, Shin Chang Lee, Anthony Yen, Taiwan Semiconductor Manufacturing (Germany) ...... [7823-78] Co. Ltd. (Taiwan); Gaston Lee, Shunho Yang, HamaTech APE GmbH & Co. KG Performance evaluation results on 2x nm node enabler for mask registration (Taiwan); Peter Dress, HamaTech APE GmbH & Co. KG (Germany); Sherjang metrology, Oliver Löffl er, Jan Richter, Andreas Wiswesser, Advanced Mask Singh, Uwe Dietze, Hamatech USA, Inc. (United States) ...... [7823-58] Technology Ctr. GmbH Co. KG (Germany); Frank Laske, Dieter K. Adam, Michael Qualifi cation of BitClean TM technology in photomask production, Tod E. Ferber, Klaus-Dieter Roeth, KLA-Tencor MIE GmbH (Germany) ...... [7823-79] Robinson, RAVE LLC (United States) ...... [7823-59] Confi rmation about degradation of capping layer in some kinds of cleaning condition, Noriaki Takagi, Semiconductor Leading Edge Technologies, Inc. (Japan) ...... [7823-60]

Study of the airborne SO 2 and NH3 contamination on Cr, MoSi, and quartz surfaces of photomasks, Herve Fontaine, Virginie Enyedi, Sylviane Cetre, Lab. d’Electronique de Technologie de l’Information (France) ...... [7823-61] Lunch Break ...... 12:30 to 1:50 pm

SESSION 15 ...... Thurs. 1:50 to 3:10 pm SESSION 16 ...... Thurs. 3:40 to 5:20 pm Inspection I Inspection II Session Chairs: William H. Broadbent, KLA-Tencor Corp.; Session Chairs: James E. Potzick, National Institute of Standards and Emily E. Gallagher, IBM Corp. Technology; Larry S. Zurbrick, Agilent Technologies, Inc. Electron-beam inspection of NGL reticles, Sterling Watson, David S. Alles, Study of shape evaluation for mask and using large fi eld of view, Gregg A. Inderhees, KLA-Tencor Corp. (United States) ...... [7823-62] Ryoichi Matsuoka, Hitachi High-Technologies Corp. (Japan) ...... [7823-66] EUV-mask defectivity study by existing DUV tools and new e-beam New strategies for mask noise reduction, Aviram Tam, Yulian Wolff, Michael technology, Ilan Englard, Applied Materials BV (Netherlands); Rik M. Jonckheere, Ben-Yishai, Shmoolik Mangan, Applied Materials (Israel) ...... [7823-67] Dieter Van Den Heuvel, IMEC (Belgium); Shmoolik Mangan, Amiad Conley, Inspection of advanced computational lithography logic reticles using a 193- Moshe Rozentsvige, Doron Meshulach, Applied Materials (Israel); Robert nm inspection system, Jinggang Zhu, William J. Huang, William H. Broadbent, Schreutelkamp, Gaetano Santoro, Applied Materials (Belgium); Vladislav KLA-Tencor Corp. (United States)...... [7823-68] Kudriashov, Ran Brikman, Applied Materials (Israel) ...... [7823-63] Lithographic pattern recovery (LPR) for sub-32-nm mask defect review Native pattern defect inspection on 88-nm HP dense pattern using and classifi cation, Vikram L. Tolani, Thuc Dam, Danping Peng, Lin He, Linyong advanced electron-beam inspection system, Takeya Shimomura, Dai Nippon Pang, Luminescent Technologies, Inc. (United States) ...... [7823-70] Printing Co. America, Inc. (United States); Yuichi Inazuki, Tukasa Abe, Tadahiko Takikawa, Hiroshi Mohri, Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan); Computational lithography and inspection (CLI), and its applications in Fei Wang, Long E. Ma, Yan Zhao, Chiyan Kuan, Hong Xiao, Jack Y. Jau, Hermes- mask inspection, metrology, review, and repair, Linyong Pang, Danping Peng, Microvision Inc., USA (United States) ...... [7823-64] Dongxue Chen, Lin He, Vikram L. Tolani, Luminescent Technologies, Inc. (United States) ...... [7823-71] Development of EB inspection system(EBeyeM) for EUV mask, Takashi Hirano, Shinji Yamaguchi, Masato Naka, Masamitsu Ito, Yuuichiro Yamazaki, Motoki Kadowaki, Tooru Koike, Toshiba Corp. (Japan); Norio Kimura, Hiroshi Sobukawa, Kenji Terao, Masahiro Hatakeyama, Takeshi Murakami, Kiwamu Tsukamoto, Takehide Hayashi, Ebara Research Co., Ltd. (Japan); Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan) ...... [7823-65]

Order additional Proceedings volumes or CD-ROMs now and receive low prepublication prices Proceedings of SPIE Proceedings on CD-ROM Available within 6 weeks of the meeting. Full-text papers from the Proceedings volume. Photomask Technology 2010 Searchable CD-ROM is now available within 8 weeks of Order No. Vol. 7823 the meeting. PC, Macintosh, and Unix compatible.

Meeting Attendee: $135 Photomask Technology 2010 (Includes Vol. 7823) Order No. CDS409 • Est. pub. November 2010 Meeting Attendee: $85 Nonattendee member price: $95 Nonattendee nonmember price: $130

12 SPIE Photomask Technology 2010 · spie.org/pmadvance SPIE COURSES

Relevant training, proven instructors.

Take full advantage of your time at Photomask with a technical course. Stay up to date with training on current and emerging photomask topics including E-beam lithography using MPPP, E-beam inspection, and EUVL—taught by some of the top minds in the industry.

Register for a course: Take advantage of the industry’s best instructors Further your career through ongoing education Earn CEUs for your continuing education

Money-back Guarantee We are confi dent that once you experience an SPIE course for yourself you will look to SPIE for your future education needs. However, if for any reason you are dissatisfi ed, SPIE will gladly refund your money. We just ask that you tell us what you did not like; suggestions for improvement are always welcome.

Continuing Education Units SPIE has been approved as an authorized provider of CEUs by IACET, The International Association for Continuing Education and Training (Provider #1002091). In obtaining this approval, SPIE has demonstrated that it complies with the ANSI/IACET Standards which are widely recognized as standards of good practice.

SPIE reserves the right to cancel a course due to insuffi cient advance registration. spie.org/education

TEL:TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected]@spie.org 13 COURSES AT CONFERENCES ONLINE COURSES DVD, CD, VIDEO IN COMPANY TRAINING COURSES AT CONFERENCES ONLINE COU CD, VIDEO IN COMPANY TRAINING COURSES AT CONFERENCES COURSES AT CONFERENCES ONLINE COURSES DVD, CD, VIDEO I TRAINING COURSES AT CONFERENCES ONLINE COURSES DVD, CD, VIDEO IN COMPANY TRAINING COURSES AT CONFERENCES C ONFERENCES ONLINEG COURSES COURSES AT CONFERENCES NE DVD, COURSES CD, VIDEO DVD, TRAININGCOURSES IN CD, COMPANY VIDEO COURSES AT CONFERENCES INTRAININGE COURSESCOMPANY AT CONFERENCES COURSES DVD, TRAINING ONLINEENCES CD, ATVIDEO COURSES ONLINE COURSESCOURSESCONFERENCES IN COURSESCOMPANY DVD, OATAT IN CONFERENCESCONFERENCES COMPANY CD, ONLINE TRAININGVIDEODVD, CD, TRAINING COURSES ES IN VIDEO AT COMPANY COURSES ONLINECOURSES CONFERE COUIN DVD, COCO TA A Courses

Photomask Fabrication and Technology Electron Beam Inspection - Principles and Basics Applications in IC and Mask Manufacturing SC579 SC1009 NEW Course level: Introductory Course level: Introductory CEU .65 $490 / $590 USD CEU .35 $285 / $385 USD Monday 8:30 am to 5:30 pm Monday 1:30 to 5:30 pm This course provides attendees with a working knowledge of photomask This course explains basic principles and applications of electron beam technology. The course focuses on process fl ow with emphasis in the inspection (EBI). The primary goals of the course are to describe the challenges associated with design data conversions, lithography, basic of scanning electron microscope (SEM) imaging, defect behavior process, metrology, inspection, and advanced mask manufacture. in the SEM image, case studies of EBI applications in semiconductor Although an entry-level course, the following topics are reviewed in process development, and capability studies of EBI applications on considerable detail: EUV and NIL masks. Basics principles of design for inspection (DFI) and • Design Data conversion tools and conversion strategies some case studies of EBI test circuit design are also covered. • Patterning Technologies (e-beam and laser) and key resolution and write time drivers LEARNING OUTCOMES • Advanced Mask Processing (Bake, Develop, Etch, CAR) This course will enable you to: • Mask Materials (NTAR, etc.) • describe the basic structure of a SEM system • list at least three contrasts in SEM image Other topics such as the application of SPC, signature matching, Phase • compare optical inspection and EBI Shifting Masks, and Imprint Templates will also be covered. • explain the difference between wafer inspection and mask inspection LEARNING OUTCOMES • identify the layer in CMOS manufacturing where EBI is most This course will enable you to: commonly used • have an understanding and appreciation of mask making and how it • list at least two defects of interest (DOI) in stack DRAM process that differs from wafer process EBI can effectively help to control • describe mask specifi cation and its impact • describe the basic principles of the EBI test structure • comprehend the constraints and impact of design conversion and INTENDED AUDIENCE replication on the mask Scientists, engineers, technicians, or managers who wish to learn more • compare the benefi ts of various mask writing tools and their about e-beam defect inspection for wafer and mask. Undergraduate respective writing strategies training in engineering or science is assumed. Basic knowledge of IC • identify potential challenges in current and future design, lithography, and mask manufacturing processing is preferred but not required. and metrology strategies INSTRUCTOR INTENDED AUDIENCE Hong Xiao has worked at Hermes Microvision, Inc., a EBI solution This material is intended for anyone who needs to learn about mask provider for the semiconductor and mask industry, for more than 5 making and its impact in the microlithography process. As there is a years, fi rst as a technical marketing specialist and then as a strategy focus on design data conversion and its impact, those who work with marketing technologist. He earned a Ph.D. in Physics at the University of advanced semiconductor designs or deal with mask specifi cation will Texas at Austin. He has authored and coauthored more than 20 journal fi nd this course valuable. and conference papers, and is the author of the text Introduction to INSTRUCTOR Semiconductor Manufacturing Technology (Prentice Hall, 2000). John W. L. Duff has been involved in the photomask industry in an engineering/R&D capacity for over 20 years. His focus has been on design database conversion, e-beam and laser lithography, metrology, SPC, inspection, and design for manufacturability. John received his B.Sc. from Glasgow University, Glasgow, Scotland, and has worked with , Toppan, Photronics, and Molecular Imprints. He has been responsible for managing technical programs for various companies and research establishments. John is an ISO Certifi ed Lead Assessor.

Register by 27 August 2010 and save $100 USD over onsite pricing.

Register today spie.org/pmadvance

14 SPIE Photomask Technology 2010 · spie.org/pmadvance Courses

Electron Beam Lithography using Massively EUV Lithography Parallel Pixel Projection SC888 NEW SC991 NEW Course level: Intermediate Course level: Intermediate CEU .65 $705 / $805 USD CEU .35 $285 / $335 USD Monday 8:30 am to 5:30 pm Monday 8:30 am to 12:30 pm This course provides attendees with a full overview of the fundamentals, This course provides attendees with a comprehensive knowledge of current status, and technical challenges of EUV Lithography. Topics recent advances in electron beam lithography. The course concentrates covered include EUV Sources, EUV Source Metrology, EUV Optics, EUV on innovative new techniques which have been and still are being systems and introduction to patterning, Cost of Ownership and EUV developed to overcome throughput limitations encountered with more Mask. We will begin with an overview of EUVL and a brief history of conventional e-beam systems. The new electron optics techniques are EUVL and cover EUV sources, EUV source metrology and EUV optics. based on ‘massively parallel’ projection of pixels and several practical Next is overview of EUVL scanners and fundamental of patterning. We examples of these emerging lithography technologies are presented and continue with an exploration of EUVL Mask technology issues such analysed. The various technical challenges of ongoing tool development as design, materials including refl ective multilayers, mask defects, efforts are discussed together with their potential for advancing the manufacturing process and mask metrology. Important topic of Cost of state-of-the-art in electron beam lithography. Applications considered Ownership for lithography will be also covered. Finally we conclude with for these new lithography tools are discussed in context of their a Status Review of EUVL. Course material will be mostly drawn from the lithographic capability, their business value and viability. You will be accompanying texts EUV Sources for Lithography and EUV Lithography. able to identify emerging lithographic technologies by their specifi c LEARNING OUTCOMES level of complexity which will enable you to judge their applicability and This course will enable you to: opportunity for success. * learn the fundamentals of EUV lithography (EUVL) LEARNING OUTCOMES * learn the history and basics of the development of EUVL This course will enable you to: * learn the basic information on different EUV source types and current • defi ne lithographic capabilities and limitations of each advanced technical challenges of EUV source technology electron beam technique * learn the essentials of EUV source metrology and source power • identify and understand the physics and performance limiting measurements parameters in the various approaches * learn the rudiments of EUV multilayer optics • compare the various electron optics approaches in their potential to * learn the fundamentals of EUVL systems and patterning improve throughput * learn the introduction to EUVL mask technology and mask metrology • recognize the potential of each approach and predict possible levels * learn the fundamentals of Cost of Ownership of performance and applications within the semiconductor industry * learn the current status and technical challenges of EUVL for • appreciate the potential for advanced electron beam tools in a mix supporting high volume computer chip manufacturing and match lithography with optical tools INTENDED AUDIENCE INTENDED AUDIENCE This material is intended for anyone who is involved in the development Lithography and device engineers and managers will gain knowledge of EUV Lithography and/or other emerging lithography techniques, of latest advances in electron beam lithography and their potential needs to understand the current technology status of EUV Lithography, applications in the semiconductor fabrication process. An undergraduate and is interested in learning the fundamentals of this leading patterning education in physics or engineering is recommended. technology for the 32 nm node and beyond. Those who are responsible for the development of the roadmap for lithography in manufacturing INSTRUCTOR and making technology decisions will fi nd this course valuable. Hans Pfeiffer is IBM Fellow Emeritus and Proprietor of HCP Consulting INSTRUCTOR Services. He has pioneered shaped electron beam lithography and Dr. Vivek Bakshi invented PREVAIL, an electron beam projection lithography approach is the president of EUV Litho, Inc. an organization he (EPL). For 34 years he managed and developed state-of-the-art has formed to promote EUV Lithography via consulting, education and electron beam lithography tools at IBM. He is currently consulting in the workshops. Previously he was a Senior Member of Technical staff in the fi eld of electron optics for lithography, inspection and test. This work Lithography Division of SEMATECH. He has edited two books on EUV includes the assessment of e-beam projects using massively parallel Lithography: EUV Sources for Lithography (SPIE Press, 2006) and EUV pixel projection. Lithography (SPIE Press and John Wiley & Sons, Inc., 2008). He is an internationally recognized expert on EUV Source Technology and EUV Lithography. He is the author of EUV Source Technology chapter in the book EUV Lithography. Note COURSE PRICE INCLUDES the texts EUV Sources for Lithography (SPIE Press, 2006) and EUV Lithography (SPIE Press and John Wiley and Sons, Inc., 2008), both edited by Vivek Bakshi.

TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 15 Registration Form

Name and Address Fill in the information in this section completely. Your registration badge will refl ect this information. SPIE Members: Your reduced fees appear under the Member column in the fee schedules. Write your Membership Number in the box provided. Monterey Marriott and Monterey Conference Center Monterey, California, USA Symposium Registration Pre-registration deadline is 27 August 2010; symposium registration prices quoted on this form and online go up $100 USD after that date. Student fees increase by $50.

Your full symposium registration fees include admission to all conference sessions, poster session/reception, buffet lunches, coffee breaks, exhibition, and desserts in the exhibition hall Tuesday and Wednesday. Registration also includes a full conference proceedings.

Printed Proceedings Volume Register online—it’s fast and easy: If you are only interested in editor-reviewed papers or want an archive of the conference, choose the spie.org/pmadvance printed book, available 6 weeks after the conference. Searchable CD-ROM Proceedings Choose this option if you are interested in searching editor-reviewed papers. You can search for Save $60 on your specifi c areas of interest. CD-ROM is available within 8 weeks of the meeting. registration—join SPIE today Proceedings and CD-ROM as part of a registration plan include tax and shipping.

Important News for All Visitors from Outside Courses are priced separately the United States See course descriptions on pp. 14-15. Fill in the course number and price for each course you are registering for. SPIE Members and students receive substantial discounts on all courses. Course Find important new requirements for visiting the prices go up $50 after 27 August 2010. United States on the SPIE Photomask Technology Course-only registration includes your selected course(s), course notes, coffee breaks, and website. There are new steps that ALL visitors to admittance to the exhibition. Course prices include California State Tax. the United States need to follow. spie.org/pmadvance Exhibition Registration Exhibition admission is included with conference or course registration. Exhibition-only admission is free; registration is only available online or during onsite registration.

Media/Press Representatives For credentialed press and media representatives, please email contact information, title and organization to [email protected].

Onsite Registration Hours Additional Proceedings, CD-ROM, and Monterey Conference Center SPIE Digital Library Subscriptions Portola Lobby Order an additional Proceedings volume and searchable CD-ROMs with your registration and receive low prepublication prices. Monday 13 September ...... 7:30 am to 4 pm You can purchase additional Proceedings of SPIE volumes, symposium CD-ROMs, or Digital Library Tuesday 14 September ...... 7:15 am to 4 pm subscriptions. See p. 12 for the volume available from this meeting. Fill in the volume number Wednesday 15 September . . . . 7:30 am to 4 pm and price; fi gure the applicable tax and shipping amounts from below, and transfer them to the Thursday 16 September . . . . . 8 am to 10:30 am registration form. Proceedings and CD-ROMs purchased separately from a registration plan do not include shipping or taxes. Tax and Shipping Taxes: CA, FL, and WA state residents add applicable sales tax. Canadian residents add 7% GST $______Shipping: add 5% in U.S. or 10% outside U.S. $______

Papers available in 2-4 weeks. Payment This form will NOT be processed if payment is not included or if your signature is not included when paying by credit card. Please complete all information. Refund Policy for Preregistration There is a $40 service charge for processing refunds. A letter requesting the refund should state the preregistrant’s name and to whom the check should be made payable. Requests for preregistration or banquet ticket refunds must be received no later than 2 September 2010. Membership dues are not SPIEDigitalLibrary.org refundable. SPIE Digital Library subscriptions are not refundable.

16 SPIE Photomask Technology 2010 · spie.org/pmadvance Registration Form

Name and Address SPIE ID # Register and Pay Today! ______First / Given Name MI. Last / Family Name After 27 August 2010, Fees increase $100 USD ______(Courses increase $50 USD; Title Student fees increase $50 USD)

______Preregistration for Company Photomask Technology ______13-16 September 2010 Address (include Mail Stop) Monterey Marriott and Monterey Conference Center ______Monterey, California, USA City State / Province Zip/Postal Code Mail or fax this form to ______SPIE, PO Box 10, Country Bellingham, WA 98227-0010 USA TEL +1 360 676 3290 ______Fax +1 360 647 1445 Phone Fax spie.org/pmadvance [email protected] ______E-Mail Address (SPIE does not sell e-mail addresses) Date of Birth Submit one form per person. Membership—Join and Save on your Registration Fees! To receive the Member discount, check appropriate box(es) below and fax or mail this form. 7166 RPM10A ■ Regular/Fellow Membership: $105 ■ Student Membership: $20 (Est. graduation date:______) ■ Early Career Professional (Offered for 3 years following graduation): $55 (Graduation date:______) ■ Regular/Fellow 3-year Membership: $297 ■ Regular/Fellow life Membership: $995 Online Journal Option (choose one): ■ Optical Engineering ■ Electronic Imaging ■ Biomedical Optics ■ Micro/, MEMS, and MOEMS ■ Applied Remote Sensing ■ ■ If, due to a disability, you Symposium Registration have special needs, check here and SPIE will contact you. ■✔ Check box to indicate appropriate symposium registration fee. ■ Speaker/Author SPIE SPIE Member Nonmember MEMBERSHIP TOTAL Full meeting plus printed proceedings. ■ $585 ■ $645 Full meeting plus Symposium CD-ROM ■ $585 ■ $645 $______■ Non-Author/Attendee Full meeting plus printed proceedings. ■ $655 ■ $715 Full meeting plus Symposium CD-ROM ■ $655 ■ $715 REGISTRATION TOTAL $______■ Session Chair/Committee Full meeting plus printed proceedings. ■ $585 ■ $645 Full meeting plus Symposium CD-ROM ■ $585 ■ $645 CHOOSE ■ Full-time student ■ $260 ■ $280 PROCEEDINGS Does not include Proceedings or Symposium CD-ROM. ❑ PRINT ❑ CD-ROM You must present valid student ID when you pick up your registration materials onsite. ■ GUEST RECEPTION TICKETS, Wednesday 15 September, ___# of tickets ■ $75 ■ $75 GUEST RECEPTION TOTAL Courses $______Write the number(s) and price(s) of the courses you will attend. (See pp. 14-15 for a complete list of courses). COURSE TOTAL SC ______@ $______SC _____ @ $______$______Additional Proceedings, CD-ROMs, and Digital Library Subscription (See p. 12 for the Proceedings of SPIE and Conference Proceedings on CD-ROM for this event. ADDITIONAL (Proceedings) Vol. _____@ $ ____ (CD-ROM) CDS______@ $ _____ PUBLICATIONS TOTAL Tax & Shipping (see worksheet on facing page) $ ______$______Subscribe to the SPIE Digital Library 1-year subscription, up to 25 full-article downloads: Member ■ $145 Student/Retired ■ $95 Nonmember ■ $250 1-year subscription, up to 50 full-article downloads: Member ■ $195 Student/Retired ■ $125 Nonmember ■ $335 DIGITAL LIBRARY SUBSCRIPTION TOTAL Once form is submitted and validated, you will receive an email confi rmation with instructions for setting up your account. At that point, you may begin using all the features of the Digital Library. $______Payment Method Date:______Credit card payments will be charged in USD and converted to your local currency by your card company or bank. SUBTOTAL Check #______Amount USD$______(payable to SPIE) $______

Credit Card: Card Number:

VISA I authorize SPIE to charge total payment fee to my credit card. MasterCard Payment must accompany registration. American Express Security Code Diners Club TOTAL

Discover Expiration Date ______Signature______$______Month /Year

TEL: +1 360 676 3290 · +1 888 504 8171 · [email protected] 17 SPIEDigitalLibrary.org

Research driving technological innovation The world’s largest collection of optics and photonics research

Conference Proceedings SPIE Journals eBooks Available in 2–4 weeks Ask your librarian for access