AMD Manufacturing Leadership

2005 A Customer-Centric Innovator

Expand connections with customers, partners and end users Evolve beyond products and technologies to solutions Customers are at the Strive to enable customer center of everything we do. differentiation at ever-declining costs

2 v3.5 3Q05 AMD Manufacturing Leadership Fundamentally Changing the Way the Industry Manufactures

AMD has created an entirely new and differentiated manufacturing model

It emphasizes speed, accuracy and agility across AMD’s manufacturing operations to better respond to, and fulfill, the needs of our customers

We call it Automated Precision Manufacturing (APM)

You can think of it as manufacturing operations deliberately tuned to accurately deliver what customers need, when they need it

Faster Faster intro of Better quality Greater customer advanced and higher capacity response technologies efficiencies flexibility

3 v3.5 3Q05 AMD Manufacturing Leadership Continuous Technology Improvement Introduction of improved designs into high- volume manufacturing on a quarterly basis

65nm

90nm

Node 8+ Common transistor Node 8 learning 90nm to 65nm Node 7+

Node 7 • Device Scaling nce a Node 6+ • Junction engineering • Mobility enhancement Node 6 (Strain engineering)

Perform 2005 2006 2007

4 v3.5 3Q05 AMD Manufacturing Leadership APM: Unique Technology Framework

Over 400 AMD patented or patent-pending technologies

Highly automated and Equipment synchronized decision Performance making Optimization Five integrated algorithmic Yield Product analysis systems — much Management Performance Systems APM Targeting more than just APC Framework Includes proprietary technologies, logic and

Integrated Advanced business processes Production Process Scheduling Control Fed by fab-wide tool and data integration

5 v3.5 3Q05 AMD Manufacturing Leadership AMD is Leading, the Industry is Following

“AMD has a leadership position in process control automation. They have developed a comprehensive suite of software to automate fab operations.”

November 2003 edition of CPU Magazine, Gartner APMAPM 3.03.0 analyst Bob Johnson quoted FirstFirst toto FullFull FabFab Synchronization*Synchronization* APMAPM 2.02.0 20062006 && BeyondBeyond FirstFirst toto AutoAutomamatedted • Virtually All Processes Decision Making APMAPM 1.51.5 Decision Making Automatically FirstFirst toto Fab-WideFab-Wide 19951995 -- 20062006 Controlled DatDataa IntegrationIntegration • Extensive Tool Linking • Intelligent Wafer LateLate 80s80s –– 19951995 • Integrated Data Routing with Agent • Network Creation Analysis Based Scheduling and Tool Linking • Data Shared Among • Automated Tool-to- • Database All Five Systems Tool Delivery Centralization • Increasing Levels of • Wafer Level and Die- • Isolated Data Automated Recipe Level Control Mining Adjustment

*AMD Estimate

6 v3.5 3Q05 AMD Manufacturing Leadership Fab-Wide Tool and Data Integration

All critical tool sets within AMD fabs are networked and integrated into the APM framework

APM constantly monitors the health of all tools as well as the current health of all chips in production

Using this information, APM can automatically adjust chip recipes to account for small but critical changes in tool performance

7 v3.5 3Q05 AMD Manufacturing Leadership Example: “Critical Dimension” Control

“Critical Dimension” (a.k.a. “Gate Length”)

Adjusting the critical dimension on each transistor results in a performance change in the resulting chip

There are over 200 million in the current dual- core AMD Opteron™ processor

8 v3.5 3Q05 AMD Manufacturing Leadership Example: “Critical Dimension” Control

Using APM, AMD can rapidly, accurately and automatically make adjustments as small as 1nm (60 thousand times smaller than a human hair) to the critical dimension ...

In every transistor

In every chip

On every wafer

That’s roughly 21 billion

transistors per wafer* * Based on production of 90nm AMD Opteron processors on 200mm wafers

9 v3.5 3Q05 AMD Manufacturing Leadership Example: Feed Forward, Feed Backward Correction

Tool A Tool B APM Processing automatically variability Pod A corrects the discovered variability at by APM at this step this step

To all other To all other Data upstream Data downstream tools tools

Process change is populated fab-wide (upstream and Process and tool downstream) to parameters are stop future errors constantly tracked and before they start analyzed by APM

10 v3.5 3Q05 AMD Manufacturing Leadership Sneak Peek at APM 3.0: Agent Based Scheduling

Tool to tool automated delivery creates Smart Pods thousands of possible ? processing paths ? Which is the most ? efficient and cost effective path based on customer requirements?

Software agents are used to enable pod to tool negotiation on the optimal processing path based on current tool and wafer states

11 v3.5 3Q05 AMD Manufacturing Leadership APM Operational Benefits

Speed + Accuracy + Agility

Rapid product Rapid transition to improvements in current products in new technology generation technology generations

• Quarterly transistor • Fast, smooth transitions using the performance/power upgrades in most advanced submicron MPUs — five last year alone structures and materials

• Rapid and consistent increase in • Consistent reduction in time to production yields on new mature yields on new products in products in the same technology the next technology generation generation

12 v3.5 3Q05 AMD Manufacturing Leadership Faster Technology Introduction

In-Fab Research APM enables faster Learning 1 transitions to new 2 New submicron technology generations Mixed mode technologies R&D manufacturing for and achievement of accelerated learning mature yields 80% reduction in time to mature yield over the past three generations

Customers can introduce Volume Mature their latest, highest Production Yields performing, highest 3 4 margin products sooner Volume production Yield entitlement initiated achieved

13 v3.5 3Q05 AMD Manufacturing Leadership Faster Yield Ramp, Generation After Generation

Mature yield achieved Mature yield achieved ~40 percent faster than ~66 percent faster than previous generation previous generation

Mature Yield r Yield e f a W

90nm SOI Technology 130nm SOI Technology 130nm Bulk Technology

Production Volume

14 v3.5 3Q05 AMD Manufacturing Leadership More Agile Customer Response

APM enables more agile Retargeting Input changes to production mix and product 2 1 power/performance Product production Customer expresses targeting redefined need for product targets change Today: from input to implementation in Customers can more less than a day accurately match

product supply with Adaptation Implementation demand to take full advantage of near-term 3 4 Fab process updated Production with new revenue opportunities targets and process begins

15 v3.5 3Q05 AMD Manufacturing Leadership Faster Processing Cycle Times

Once changes are implemented, APM helps deliver them to customers faster

Industry Worst Industry Average Industry Best AMD Fab 30 ing Layer Days Per Mask

2003 -2004

Sources: AMD & Sematech

16 v3.5 3Q05 AMD Manufacturing Leadership Flexibility to Changing Demand

APM allows flexible reprioritization of products already started in production

Q2 2004 Example

As of the first week of the quarter, the plan for Product A was 1.6M. By mid-quarter it was clear that demand in the market was stronger.

Our customers wanted more!

Through flexible APM scheduling AMD increased the availability of Product A 30% to 2.1M by the end of the quarter.

17 v3.5 3Q05 AMD Manufacturing Leadership Better Quality and Higher Efficiencies

Variability Variability APM enables more Isolated Identified accurate identification 2 1 and correction of Cause is identified Off-target processing processing variability discovered Today: solutions identified often in Customers can better a matter of hours forecast and achieve Solution Process supply chain Identified Updated requirements due to consistent delivery 3 4 Process change is Solution volumes determined implemented

18 v3.5 3Q05 AMD Manufacturing Leadership Rock Solid Yield Consistency

19 v3.5 3Q05 AMD Manufacturing Leadership APM is More than Great Technology

Seamless blending of the best people and the best technologies is what makes APM so successful

Unique Unique Technology APM Employee Framework Skill Sets

20 v3.5 3Q05 AMD Manufacturing Leadership APM: Unique Employee Skill Sets

Thousands of AMD employees developing, implementing and using APM technologies

A highly skilled and Process & talented workforce Equipment Engineering

Yield Integration Uniquely trained in Engineering Engineering using and developing APM Skill Sets APM technologies

Operating in both Industrial Automation Engineering & Control Germany and the US Engineering

21 v3.5 3Q05 AMD Manufacturing Leadership Ongoing Success in Saxony

Dresden: One of Europe’s largest microelectronics clusters

Center of operations for AMD fabrication

Tremendous ongoing success for AMD, The Free State of Saxony, and Germany

Over 7,000 jobs directly or indirectly created by AMD since 1996

22 v3.5 3Q05 AMD Manufacturing Leadership AMD Fab 30: Delivering on the Promise

AMD’s flagship 200mm fab today producing all AMD64 and AMD Sempron™ processors

All AMD64 wafer starts converted to 90nm by mid-2005

Dual-core AMD64 processors shipping for revenue

23 v3.5 3Q05 AMD Manufacturing Leadership Highly Successful 90nm Technology Transition

High yield at start of volume production

Builds on 130nm SOI success 90nm 130nm 115mm2 Increased thermal 193mm2 efficiencies

Significant die-size reductions

Addition of strained 90nm 130nm 84mm2 silicon transistor 145mm2 technology

24 v3.5 3Q05 AMD Manufacturing Leadership SOI: Dramatically Improved Performance and Power Efficiencies

Silicon-on-Insulator 12

VDD=1.4V )

Advantages: s 11 o p T=25 C e (

At equivalent Off current, ag 10 St / y a SOI can provides up to l e 18% performance D 9 PD/SOI Bulk-Si improvement over bulk-Si 8 technology 1 10 100 1000

ID-off (n+2p) (nA/µm) Vertical Cj component is Bulk SOI eliminated thus RC delay can be decreased G G Higher Reliability due to elimination of latch-up S D S D effect and higher radiation hardness P-substrate SiO2 BOX

25 v3.5 3Q05 AMD Manufacturing Leadership Second-Generation in Production

~24 percent transistor performance increase with no increase in power Dual Stress Liners integrated into 90nm generation with equivalent yield

Athlon Rapidly integrated 64 Yield into volume (a.u.) manufacturing using standard tools and materials Unstressed DSL

26 v3.5 3Q05 AMD Manufacturing Leadership Strain Engineering: Dual Stress Liners (DSL)

Dual Stress Liners simultaneously improve the n-channel and p-channel transistor performance using conventional materials

Oxide

Compressive nitride Tensile nitride

p+ n+ p+ STI p STI n pFET n+ nFET

BOX

27 v3.5 3Q05 AMD Manufacturing Leadership AMD Fab 36: AMD’s Next Benchmark Fab

Expected to be the most advanced 300mm fab in the world when completed

First test wafer starts in March 2005 Only 16 months from ground breaking to first silicon On track for volume production in 2006

Planned for 65nm, 45nm and 32nm technology generations

AMD Fab 36

AMD Fab 30

28 v3.5 3Q05 AMD Manufacturing Leadership AMD/IBM Partnership Succeeding

Global joint R&D team in Germany and US

Focus on 65nm, 45nm and 32nm technology generations

Germany work taking place at AMD Fab 30 and Fab 36 in Dresden

US work taking place at IBM 300mm facility in East Fishkill, NY Common goals in high performance

29 v3.5 3Q05 AMD Manufacturing Leadership Great Progress on 65nm Development

Achieved our 65nm SRAM milestones SRAM test vehicle yields are exceeding our development plans

Transistor and interconnect development on schedule Yield metrics exceeding development plan 3rd generation of strained-silicon GateGate lengthlength == 39nm39nm Addition of Nickel Silicide 4th generation of low-k dielectric stack

(Human(Human hairhair wwiidthdth == ~~60,000nm)60,000nm) On schedule for development process installation in Fab 36 in High-performance mid-2005 65nm technology SOI AMD is optimizing Fab 36 for transistor with strain smooth transition of 65nm engineering technology

30 v3.5 3Q05 AMD Manufacturing Leadership Post-45nm Research Begun and Progressing

AMD Metal-Gate FDSOI Key candidates: – Ultra-thin, fully-depleted First Published at SSDM 2003 (FDSOI) – Metal gate (NiSi) – Intrinsic channels – Multi-gate 3D structure – Strain engineering

Expected advantages: Multi-gate – Lower leakage (Off current and gate leakage) structure – Higher drive currents Lg=20 nm – Faster switching – Exceeded ITRS performance projected for 2009 Research transistors surpass ITRS 2009 performance projections

31 v3.5 3Q05 AMD Manufacturing Leadership Chartered Agreement

Manufacture of AMD64 at Chartered planned to begin in 2006

Operational flexibility — ability to add manufacturing capacity as needed

Implementation of APM at Chartered Fab7 is underway and progressing on schedule

32 v3.5 3Q05 AMD Manufacturing Leadership Executing for Maximum Advantage

33 v3.5 3Q05 AMD Manufacturing Leadership Positioned for Continued Growth and Success With industry-leading capabilities, accurately aligned capacity levels and world-class execution, AMD is strongly positioned to achieve its aggressive growth objectives through the end of this decade • APM continues to increase our manufacturing speed, accuracy and agility, enabling us to more rapidly and effectively respond to the needs of customers • Through smart capacity growth, comprised of both fixed and flexible capacity, AMD can fully achieve its growth objectives and expand capacity as necessary should demand exceed projections • The Dresden success story continues, with unprecedented levels of efficiency in AMD Fab 30 and the ramp of AMD Fab 36 right on track • The IBM joint technology alliance is exceeding development objectives and timelines

34 v3.5 3Q05 AMD Manufacturing Leadership AMD, the AMD Arrow logo and combinations thereof are trademarks of , Inc. Other product names used in this presentation are for identification purposes only and may be trademarks of their respective companies.

©Copyright 2005 Advanced Micro Devices, Inc. All rights reserved.

35 v3.5 3Q05 AMD Manufacturing Leadership “This presentation contains forward-looking statements, which are made pursuant to the safe harbor provisions of the U.S. Private Securities Litigation Reform Act of 1995. Forward-looking statements are generally preceded by words such as “expects,” “plans,” “believes,” “anticipates,” or “intends.” Investors are cautioned that all forward-looking statements in this presentation involve risks and uncertainty that could cause actual results to differ materially from current expectations. Forward-looking statements in this presentation include the risks that AMD will not introduce certain transistor designs or implement certain operations or operational improvements on the schedules currently anticipated, that aspects of the processor or chipset design and functionality may change, that AMD may not be able to produce in expected volumes, and that AMD fabs will not be in operation or production in the time frame anticipated. We urge investors to review in detail the risks and uncertainties in the company’s U.S. Securities and Exchange Commission filings including, but not limited to, the Annual Report on Form 10-K for the year ended December 26, 2004, and the Quarterly Report on Form 10-Q for the quarter ended June 26, 2005.”

36 v3.5 3Q05 AMD Manufacturing Leadership