FLASH: Fast, Parallel, and Accurate Simulator for HLS Young-Kyu Choi, Member, IEEE, Yuze Chi, Jie Wang, and Jason Cong, Fellow, IEEE

Total Page:16

File Type:pdf, Size:1020Kb

FLASH: Fast, Parallel, and Accurate Simulator for HLS Young-Kyu Choi, Member, IEEE, Yuze Chi, Jie Wang, and Jason Cong, Fellow, IEEE IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 1, NO. 1, JANUARY 2020 1 FLASH: Fast, ParalleL, and Accurate Simulator for HLS Young-kyu Choi, Member, IEEE, Yuze Chi, Jie Wang, and Jason Cong, Fellow, IEEE Abstract—A large semantic gap between a high-level synthesis time. Some work has been done to automate hardware probe (HLS) design and a low-level RTL simulation environment often insertion from the HLS source file [11]–[17], but this work creates a barrier for those who are not FPGA experts. Moreover, requires regeneration of the FPGA bitstream if there is a such a low-level simulation takes a long time to complete. Software HLS simulators can help bridge this gap and accelerate change in the debugging point. The turnaround time is often the simulation process; but their shortcoming is that they do in hours. On-board emulators also have a similar problem and not provide performance estimation. To make matters worse, require a long time for the bitstream generation. we found that the current FPGA HLS commercial software These problems can be partially solved by the software- simulators sometimes produce incorrect results. In order to solve based simulators provided by HLS tools. The HLS software these performance estimation and correctness problems while maintaining the high speed of software simulators, this paper simulators compile the C or OpenCL source code for na- proposes a new HLS simulation flow named FLASH. The main tive execution on the host machine. It takes little time to idea behind the proposed flow is to extract scheduling information reconfigure the debugging points, and no semantic gap exists from the HLS tool and automatically construct an equivalent between the simulation and the design. However, a well- cycle-accurate simulation model while preserving C semantics. known shortcoming of these simulators is that most of them do Experimental results show that FLASH runs three orders of magnitude faster than the RTL simulation. not provide performance estimation. In addition, we found a critical deficiency—they sometimes provide incorrect results. Index Terms—Simulation acceleration, high-level synthesis, An example can be found in the molecular dynamics field-programmable gate array, source-to-source transformation. simulation [18] (Fig.1). Multiple distance processing elements (Dist PEs) filter out faraway molecules above threshold and send them to Force PE. The pruned molecules create a bubble I. INTRODUCTION (empty data) in the FIFO, and Force PE processes only the LTHOUGH the field-programmable gate array (FPGA) valid data (after non-blocking read) in the order they are A has many promising features that include power- received from any of the FIFOs. However, if the modules are efficiency and reconfigurability, the low-level programming instantiated in the order of (Dist PE1, PE2, . Force PE) environment makes it difficult for programmers to use the in the source file, Vivado HLS software simulator finishes the platform. In order to solve this problem, many high-level simulation of Dist PE1 first, followed by Dist PE2, and so on. synthesis (HLS) tools such as Xilinx Vivado HLS [1], [2] As a result, by the time the Force PE is simulated, the bubbles and Intel OpenCL HLS [3] have been released. These tools in the FIFOs are completely removed, and the Force PE output allow programmers to design FPGA applications with high- ordering can be entirely different from the RTL simulation. If level languages such as C or OpenCL. This trend is reinforced one is trying to quickly trace the source of a problem that was by recent efforts on FPGA programming with languages of observed in the output of an RTL simulation, the person will higher abstraction—such as Spark or Halide [4]–[6]. not be able to reproduce the problematic state in the software Even though such progress has been made on the de- simulation. sign automation side, a large semantic gap still exists on Another problematic example can be found in the artificial the simulation side. Programmers often need to use low- deadlock situation [19], which occurs when the depth of the level register-transfer level (RTL) simulators (e.g., Model- FIFO is smaller than the latency difference among modules Sim [7], NCSim [8], or VCS [9]) or on-board emulators (details in Section III-B). The issue is that the HLS software (e.g., Zebu [10]) and try to map the result back to HLS. The simulator cannot detect the deadlock situation and proceeds as result is often incomprehensible to those who are not FPGA if there is no problem with the design. We also have found experts. Moreover, low-level RTL simulation takes a very long a problem in the simulation of feedback loops where the feedback data is ignored by the HLS tool (Section III-C). Manuscript received June 21, 2019; revised December 6, 2019; ac- cepted January 7, 2020. Date of publication March 1, 2020; date of cur- The primary reason for the incorrect simulation result is rent version October 1, 2020. This paper was recommended by Asso- that HLS software simulators do not guarantee cycle accuracy. ciate Editor J. Cortadella. The authors are with Computer Science Depart- The comparison between the software simulator of the two ment, University of California, Los Angeles, CA, 90095, USA. E-mail: fykchoi,chiyuze,jiewang,[email protected] most popular ([20]) commercial FPGA HLS tools, Xilinx This research is in part supported by Intel and NSF Joint Research Center Vivado HLS (VHLS) [2] and Intel OpenCL HLS (AOCL) on Computer Assisted Programming for Heterogeneous Architectures (CAPA) [3], is presented in TableI. VHLS assumes unlimited FIFO (CCF-1723773) and Baidu, NEC, and VMWare under the CDSC industrial partnership. depth, which makes it difficult to accurately model FIFO Digital Object Identifier XX.XXXX/TCAD.2020.XXXXXXX fullness/emptiness. Also, the sequential simulation execution IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 1, NO. 1, JANUARY 2020 2 1 6 (II=4) Dist PE1 Dist PE2 Dist PE3 Dist PE4 Allocation 9 5 2 i=1 : (bubble) 2 (bubble) (bubble) Library i=2 : 5 (bubble) (bubble) 8 11 10 I=3 : (bubble) 10 11 (bubble) HLS C code Compilation Binding Generation RTL code 3 8 4 (Round-robin r<thres (II=1) Force PE non-blocking stmt,loop, read) func, ... Scheduling 7 12 < HLS C code> RTL sim output: 2 5 8 10 11 SW Proposed RTL #pragma HLS dataflow simulator simulator scheduling info simulator SW sim output: 5 211810 Dist_PE1(); 5 Simulated in Dist_PE2(); 2 10 Does not instantiation Dist_PE3(); 11 match Fig. 2. HLS design steps [21] and comparison of simulation flows Dist_PE4(); order 8 → Missing bubbles Force_PE(); Fig. 1. Molecular dynamics simulation [18] In this paper, we propose FLASH—an HLS software simu- lation (HSS) flow that addresses these challenges. We describe TABLE I transformations that allow cycle-accurate simulation of FIFO COMPARISON OF THE SOFTWARE SIMULATION OF XILINX VIVADO HLS communication (defined in SectionIV). Also, a method is [2] AND INTEL OPENCL HLS [3]. U NDESIRABLE CHARACTERISTICS ARE presented to simulate task-level and pipelined parallelism with IN BOLD. C semantics. These steps are described in SectionV. Xilinx VHLS C Sim Intel AOCL Sim In order to simulate pipelined parallelism, variables need to FIFO depth Unlimited Exact Exec model Sequential Concurrent be duplicated to match the depth of a loop pipeline (explained Feedback Not supported Supported in Section V-B1). But this results in a redundant data copy, Sim speed ∼5 Mcycle/s ∼1 Mcycle/s which slows down the simulation. We propose optimization Sim order Deterministic Non-deterministic Cycle-acc Not cycle-accurate Not cycle-accurate techniques to reduce this overhead in SectionVI. We obtain the scheduling information from the HLS synthe- sis report and automatically generate a new simulation code model prevents correctly simulating designs with feedback based on the information. The new simulation code is made to loops (Section III-C). AOCL simulates about 5X slower than be compatible with the conventional HLS software simulator VHLS, but it correctly simulates the FIFO depth. The tool for easy integration with the existing tool. The overall flow is assigns a thread to each module for concurrent simulation; described in Section VII. but the execution order of the threads is not deterministic and FLASH also provides correctness and performance debug- may produce different results in different simulation runs for ging support for programmers. In order to ease the process of cases in Section III. detecting deadlocks or stalls, a set of source-level directives is HLS design steps and conventional simulation flows are included. Also, the debugging time is shortened by allowing shown in Fig.2. A software simulator runs fast but provides variables to be added to the capture list in the middle of no cycle estimation and may have the correctness problem. An simulation. This will be explained in Section VIII. RTL simulator is accurate but runs slow, because it simulates The contribution of this paper can be summarized as fol- low-level implementation details. We attempt to devise a new lows: simulation flow that solves both problems. The idea is to • We show that simulating based on the scheduling infor- add the scheduling information of C statements in the HLS mation can help solve the correctness issue of HLS soft- software simulation. The new simulation flow would be faster ware simulators and rapidly provide accurate performance than the RTL simulation without the allocation / binding estimation. information and the component libraries; it would solve the • We develop a framework that allows fast cycle-accurate correctness problem of the software simulation and provide simulation of an HLS design. Several code transformation accurate performance estimation with its cycle accuracy.
Recommended publications
  • Development of Systemc Modules from HDL for System-On-Chip Applications
    University of Tennessee, Knoxville TRACE: Tennessee Research and Creative Exchange Masters Theses Graduate School 8-2004 Development of SystemC Modules from HDL for System-on-Chip Applications Siddhartha Devalapalli University of Tennessee - Knoxville Follow this and additional works at: https://trace.tennessee.edu/utk_gradthes Part of the Electrical and Computer Engineering Commons Recommended Citation Devalapalli, Siddhartha, "Development of SystemC Modules from HDL for System-on-Chip Applications. " Master's Thesis, University of Tennessee, 2004. https://trace.tennessee.edu/utk_gradthes/2119 This Thesis is brought to you for free and open access by the Graduate School at TRACE: Tennessee Research and Creative Exchange. It has been accepted for inclusion in Masters Theses by an authorized administrator of TRACE: Tennessee Research and Creative Exchange. For more information, please contact [email protected]. To the Graduate Council: I am submitting herewith a thesis written by Siddhartha Devalapalli entitled "Development of SystemC Modules from HDL for System-on-Chip Applications." I have examined the final electronic copy of this thesis for form and content and recommend that it be accepted in partial fulfillment of the equirr ements for the degree of Master of Science, with a major in Electrical Engineering. Dr. Donald W. Bouldin, Major Professor We have read this thesis and recommend its acceptance: Dr. Gregory D. Peterson, Dr. Chandra Tan Accepted for the Council: Carolyn R. Hodges Vice Provost and Dean of the Graduate School (Original signatures are on file with official studentecor r ds.) To the Graduate Council: I am submitting herewith a thesis written by Siddhartha Devalapalli entitled "Development of SystemC Modules from HDL for System-on-Chip Applications".
    [Show full text]
  • A Fedora Electronic Lab Presentation
    Chitlesh GOORAH Design & Verification Club Bristol 2010 FUDConBrussels 2007 - [email protected] [ Free Electronic Lab ] (formerly Fedora Electronic Lab) An opensource Design and Simulation platform for Micro-Electronics A one-stop linux distribution for hardware design Marketing means for opensource EDA developers (Networking) From SPEC, Model, Frontend Design, Backend, Development boards to embedded software. FUDConBrussels 2007 - [email protected] Electronic Designers Problems Approx. 6 month design development cycle Tackling Design Complexity Lower Power, Lower Cost and Smaller Space Semiconductor Industry's neck squeezed in 2008 Management (digital/analog) IP Portfolio FUDConBrussels 2007 - [email protected] FUDConBrussels 2007 - [email protected] A basic Design Flow FUDConBrussels 2007 - [email protected] TIP: Use verilator to lint your verilog files. Most of the Veripool tools are available under FEL. They are in sync with Wilson Snyder's releases. FUDConBrussels 2007 - [email protected] FUDConBrussels 2007 - [email protected] GTKWaveGTKWave Don'tDon't forgetforget itsits TCLTCL backendbackend WidelyWidely usedused togethertogether withwith SystemCSystemC FUDConBrussels 2007 - [email protected] Tools Standard Cell libraries FUDConBrussels 2007 - [email protected] BackendBackend designdesign Open Circuit Design, Electric FUDConBrussels 2007 - [email protected], Toped gEDA/gafgEDA/gaf Well known and famous. A very good example of opensource
    [Show full text]
  • Simulator for the RV32-Versat Architecture
    Simulator for the RV32-Versat Architecture João César Martins Moutoso Ratinho Thesis to obtain the Master of Science Degree in Electrical and Computer Engineering Supervisor(s): Prof. José João Henriques Teixeira de Sousa Examination Committee Chairperson: Prof. Francisco André Corrêa Alegria Supervisor: Prof. José João Henriques Teixeira de Sousa Member of the Committee: Prof. Marcelino Bicho dos Santos November 2019 ii Declaration I declare that this document is an original work of my own authorship and that it fulfills all the require- ments of the Code of Conduct and Good Practices of the Universidade de Lisboa. iii iv Acknowledgments I want to thank my supervisor, Professor Jose´ Teixeira de Sousa, for the opportunity to develop this work and for his guidance and support during that process. His help was fundamental to overcome the multiple obstacles that I faced during this work. I also want to acknowledge Professor Horacio´ Neto for providing a simple Convolutional Neural Net- work application, used as a basis for the application developed for the RV32-Versat architecture. A special acknowledgement goes to my friends, for their continuous support, and Valter,´ that is developing a multi-layer architecture for RV32-Versat. When everything seemed to be doomed he always had a miraculous solution. Finally, I want to express my sincere gratitude to my family for giving me all the support and encour- agement that I needed throughout my years of study and through the process of researching and writing this thesis. They are also part of this work. Thank you. v vi Resumo Esta tese apresenta um novo ambiente de simulac¸ao˜ para a arquitectura RV32-Versat baseado na ferramenta de simulac¸ao˜ Verilator.
    [Show full text]
  • FPGA-Accelerated Evaluation and Verification of RTL Designs
    FPGA-Accelerated Evaluation and Verification of RTL Designs Donggyu Kim Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2019-57 http://www2.eecs.berkeley.edu/Pubs/TechRpts/2019/EECS-2019-57.html May 17, 2019 Copyright © 2019, by the author(s). All rights reserved. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, to republish, to post on servers or to redistribute to lists, requires prior specific permission. FPGA-Accelerated Evaluation and Verification of RTL Designs by Donggyu Kim A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy in Computer Science in the Graduate Division of the University of California, Berkeley Committee in charge: Professor Krste Asanovi´c,Chair Adjunct Assistant Professor Jonathan Bachrach Professor Rhonda Righter Spring 2019 FPGA-Accelerated Evaluation and Verification of RTL Designs Copyright c 2019 by Donggyu Kim 1 Abstract FPGA-Accelerated Evaluation and Verification of RTL Designs by Donggyu Kim Doctor of Philosophy in Computer Science University of California, Berkeley Professor Krste Asanovi´c,Chair This thesis presents fast and accurate RTL simulation methodologies for performance, power, and energy evaluation as well as verification and debugging using FPGAs in the hardware/software co-design flow. Cycle-level microarchitectural software simulation is the bottleneck of the hard- ware/software co-design cycle due to its slow speed and the difficulty of simulator validation.
    [Show full text]
  • Static Analysis to Improve RTL Verification
    Static Analysis to Improve RTL Verification Akash Agrawal Thesis submitted to the Faculty of the Virginia Polytechnic Institute and State University in partial fulfillment of the requirements for the degree of Master of Science in Computer Engineering Michael Hsiao, Chair Haibo Zeng A. Lynn Abbott February 16, 2017 Blacksburg, Virginia Keywords: Static Analysis, ATPG, RTL, Reachability Analysis Copyright 2017, Akash Agrawal Static Analysis to Improve RTL Verification Akash Agrawal ABSTRACT Integrated circuits have traveled a long way from being a general purpose microprocessor to an application specific circuit. It has become an integral part of the modern era of technology that we live in. As the applications and their complexities are increasing rapidly every day, so are the sizes of these circuits. With the increase in the design size, the associated testing effort to verify these designs is also increased. The goal of this thesis is to leverage some of the static analysis techniques to reduce the effort of testing and verification at the register transfer level. Studying a design at register transfer level gives exposure to the relational information for the design which is inaccessible at the structural level. In this thesis, we present a way to generate a Data Dependency Graph and a Control Flow Graph out of a register transfer level description of a circuit description. Next, the generated graphs are used to perform relation mining to improve the test generation process in terms of speed, branch coverage and number of test vectors generated. The generated control flow graph gives valuable information about the flow of information through the circuit design.
    [Show full text]
  • Performed the Most Often. in FPGA Design Flow, Functional and Gate
    performed the most often. In FPGA design flow, functional and gate-level timing simulation is typically performed when designers suspect that there might be a mismatch between RTL and functional or gate-level timing simulation results, which can lead to an incorrect design. The mismatch can be caused for several reasons discussed in more detail in Tip #59. Note that the nomenclature of simulation types is not consistent. The same name, for instance “gate-level simulation”, can have slightly different meaning in simulation flows of different FPGA vendors. The situation is even more confusing in ASIC simulation flows, which have many more different simulation types, such as transistor-level, and dynamic simulation. The following figure shows simulation types designers can perform during Xilinx FPGA synthesis and physical implementation process. Figure 1: Simulation types Xilinx FPGA designers can perform simulation after each level of design transformation from the original RTL to the bitstream. The following example is a 12-bit OR gate implemented in Verilog. module sim_types(input [11:0] user_in, output user_out); assign user_out = |user_in; endmodule XST post-synthesis simulation model is implemented using LUT6 and LUT2 primitives, which are parts of Xilinx UNISIMS RTL simulation library. wire out, out1_14; LUT6 #( .INIT ( 64'hFFFFFFFFFFFFFFFE )) out1 ( .I0(user_in[3]), .I1(user_in[2]), .I2(user_in[5]), .I3(user_in[4]), .I4(user_in[7]), .I5(user_in[6]), .O(out)); LUT6 #( .INIT ( 64'hFFFFFFFFFFFFFFFE )) out2 ( .I0(user_in[9]), .I1(user_in[8]), .I2(user_in[11]), .I3(user_in[10]), .I4(user_in[1]), .I5(user_in[0]), .O(out1_14)); LUT2 #( .INIT ( 4'hE )) out3 ( .I0(out), .I1(out1_14), .O(user_out) ); Post-synthesis simulation model can be generated using the following command: $ netgen -w -ofmt verilog -sim sim.ngc post_synthesis.v Post-translate simulation model is implemented using X_LUT6 and X_LUT2 primitives, which are parts of Xilinx SIMPRIMS simulation library.
    [Show full text]
  • RTL Design and Implementation of a Framebuffer for a RISC-V Processor
    Universitat Politècnica de Catalunya (UPC) BarcelonaTech Facultat d’Informàtica de Barcelona (FIB) RTL design and implementation of a framebuffer for a RISC-V processor Educational Cooperative Agreement with Barcelona Supercomputing Centre (BSC) Computer Engineering Degree Final Project Author: Narcís Rodas Quiroga ​ Supervisor: Miquel Moretó (Computer Architecture Department DAC) ​ Co-supervisor: Guillem Cabo ​ Specialization: Computer Engineering ​ Date of oral defense: 28th of October 2020 ​ Abstract The RISC-V instruction set architecture (ISA) and the foundation that supports it continue to grow rapidly as an open-source alternative for hardware designs. Despite open-source software already being established as an important part of all the software solutions, open-source hardware has only recently begun to expand. Before that, the market was entirely made of proprietary ISAs (mostly from the US) that controlled it. This Final Degree Thesis shows the design, implementation and testing of a VGA (Video Graphics Array) framebuffer for the RISC-V processor being developed in the DRAC project by the Barcelona Supercomputing Centre. This document explains the various steps taken along the way and the reasoning behind the decisions that were taken. Keywords: RISC-V, VGA, RTL, Verilog, Framebuffer, AXI. ​ Resumen El conjunto de instrucciones o ISA (del inglés instruction set architecture) RISC-V y la ​ ​ fundación que lo respalda siguen creciendo rápidamente como una alternativa open-source para los diseños hardware. Aunque el software open-source ya representa una parte importante de todas las soluciones software, el hardware open-source todavía está empezando a expandirse. Antes de esto, el mercado estaba compuesto íntegramente de ISAs propietarias (la gran mayoría provenientes de los E.E.
    [Show full text]
  • Pymtl: a Unified Framework for Vertically Integrated Computer
    Appears in the Proceedings of the 47th Int’l Symp. on Microarchitecture (MICRO-47), December 2014 PyMTL: A Unified Framework for Vertically Integrated Computer Architecture Research Derek Lockhart, Gary Zibrat, and Christopher Batten School of Electrical and Computer Engineering, Cornell University, Ithaca, NY {dml257,gdz4,cbatten}@cornell.edu Abstract—Technology trends prompting architects to con- tures, it has general value as a methodology for more tradi- sider greater heterogeneity and hardware specialization have tional architecture research as well. exposed an increasing need for vertically integrated research Unfortunately, attempts to implement an MTL methodol- methodologies that can effectively assess performance, area, and energy metrics of future architectures. However, constructing ogy using existing publicly-available research tools reveals such a methodology with existing tools is a significant challenge numerous practical challenges we call the computer architec- due to the unique languages, design patterns, and tools used ture research methodology gap. This gap is manifested as in functional-level (FL), cycle-level (CL), and register-transfer- the distinct languages, design patterns, and tools commonly level (RTL) modeling. We introduce a new framework called used by functional level (FL), cycle level (CL), and register- PyMTL that aims to close this computer architecture research methodology gap by providing a unified design environment transfer level (RTL) modeling. We believe the computer ar- for FL, CL, and RTL modeling. PyMTL leverages the Python chitecture research methodology gap exposes a critical need programming language to create a highly productive domain- for a new vertically integrated framework to facilitate rapid specific embedded language for concurrent-structural modeling design-space exploration and hardware implementation.
    [Show full text]
  • Capability Directory 3
    AUTOMOTIVE CONSULTANCIES DEVELOPMENT TOOL SUPPLIERS POWERTRAIN CONSULTANCIES CAPABILITY TEST & CERTIFICATION FACILITIES TIER 1 - SYSTEM DEVELOPERS & DIRECTORY INTEGRATORS TIER 2 - COMPONENT DEVELOPERS & SUPPLIERS VEHICLE COMPONENT SUPPLIERS 2018/19 UK based Companies offering Automotive Electronics services and solutions. AESIN Capability Directory 3 As Chairman of AESIN I am delighted to announce the second revision of this valuable Automotive Capability Directory which provides a rich resource of UK based Companies offering Automotive Electronics services and solutions. As part of our work at AESIN to help enable rapid innovation in Automotive Electronic Systems, it is vital that we reach out to companies and organisations across the UK and engage with our core Industry led Workstream activities (Connectivity (V2X), More Electric powertrain, ADAS & AV, Security, Software and Research). As the AESIN Community is growing and seeking technology solutions this Directory has both a searchable on-line and printed version to be distributed at AESIN2018 Annual Conference 2nd October. I would like to thank all those involved at AESIN and TECHWORKS for the work in preparing this new revision of the publication and also to those providing the engaging Editorial supplements. I would encourage you make full use of the directory to help locate and connect with new potential suppliers and look forward to seeing this valuable resource grow year on year as we expand the AESIN Community to include Research and Academic Institutions . Alan Banks AESIN
    [Show full text]
  • Hardware Description Language Modelling and Synthesis of Superconducting Digital Circuits
    Hardware Description Language Modelling and Synthesis of Superconducting Digital Circuits Nicasio Maguu Muchuka Dissertation presented for the Degree of Doctor of Philosophy in the Faculty of Engineering, at Stellenbosch University Supervisor: Prof. Coenrad J. Fourie March 2017 Stellenbosch University https://scholar.sun.ac.za Declaration By submitting this dissertation electronically, I declare that the entirety of the work contained therein is my own, original work, that I am the sole author thereof (save to the extent explicitly otherwise stated), that reproduction and publication thereof by Stellenbosch University will not infringe any third party rights and that I have not previously in its entirety or in part submitted it for obtaining any qualification. Signature: N. M. Muchuka Date: March 2017 Copyright © 2017 Stellenbosch University All rights reserved i Stellenbosch University https://scholar.sun.ac.za Acknowledgements I would like to thank all the people who gave me assistance of any kind during the period of my study. ii Stellenbosch University https://scholar.sun.ac.za Abstract The energy demands and computational speed in high performance computers threatens the smooth transition from petascale to exascale systems. Miniaturization has been the art used in CMOS (complementary metal oxide semiconductor) for decades, to handle these energy and speed issues, however the technology is facing physical constraints. Superconducting circuit technology is a suitable candidate for beyond CMOS technologies, but faces challenges on its design tools and design automation. In this study, methods to model single flux quantum (SFQ) based circuit using hardware description languages (HDL) are implemented and thereafter a synthesis method for Rapid SFQ circuits is carried out.
    [Show full text]
  • Release Notes Fedora Electronic Lab 12 'Constantine'
    Release Notes Fedora Electronic Lab 12 ’Constantine’ Revision October 3, 2009 Publication ID EDA-FEL-012/PP#01.00 Fedora Electronic Lab 12 ’Constantine’ 2009 - CONTENTS Contents 1 Overview 3 2 Collaborative Code review 4 3 Eclipse default IDE 6 4 Analog ASIC Design 8 5 Spice Simulation 11 6 Embedded Design 13 7 Digital Design 15 8 Circuit and PCB 18 9 Perl Scripts for Hardware design 21 10 EPEL-5 Repository 22 11 Submenu support on electronics-menu 24 12 Bugzilla Reports and Closed Tickets 25 2 Fedora Electronic Lab 12 ’Constantine’ 2009 - 1 OVERVIEW 1 Overview Revision History The following table shows the revision history for this document. Date Version ChangeLog Author 20/07/2009 0.1 Initial draft release Chitlesh Goorah 10/09/2009 0.1.1 Added PLA, Verilog & 8051 micro- Shakthi Kannan controller related content 15/09/2009 0.1.2 Added EPEL-5 content & Peer Chitlesh Goorah Review methodology 29/09/2009 0.1.3 Added geda, picprog and avra Chitlesh Goorah content 01/10/2009 0.1.4 Updated Toped content Krustev Svilen 03/10/2009 0.1.5 Draft freezed for release 12 Chitlesh Goorah Abstract These release notes present the latest information about Fedora Project’s high- end microelectronic design platform : Fedora Electronic Laboratory (FEL)[1] 12. The following section covers new design methodologies, new EDA software, software updates, stability on 64 bit architectures and multiple bug fixes to the existing platform. Notice The information provided by this document strictly applies to Fedora Project’s product Fedora 12 and the EPEL-5[2] repository.
    [Show full text]
  • Verilator: Open Simulation - Growing Up
    Verilator: Open Simulation - Growing Up http://www.veripool.org/papers Wilson Snyder Cavium Networks [email protected] Agenda • Tenants • Modernities • Practicalities • Finalities • Q & A wsnyder 2013-01 Verilator - Open Simulation Growing Up 2 Agenda • Tenants • Modernities • Practicalities • Finalities • Q & A wsnyder 2013-01 Verilator - Open Simulation Growing Up 3 Verilator Solves A Problem • Verilator was created to solve a different problem than most simulators – Originally CPU design focused – Take a Verilog model and merge it with C++/SystemC – C++ owns the main loop, not the simulator – 100% C++ executable is major advantage • Enables easy cross compiling, gdb, valgrind, lots of other tools. • Fast simulations into MATLAB (via vmodel) • So, Verilator compiles Verilog into C++ – Matches synthesis rules, not simulation rules – Time delays ignored (a <= #{n} b;) – Only three state; unknowns randomized (better than Xs) wsnyder 2013-01 Verilator - Open Simulation Growing Up 4 Performance • Booting Linux on MIPS SoC N*-SIM Verilator • Testbuilder-Based Unit Test A*H*L Why so close? V** 8% in Verilog N*-SIM 92% in C Test Bench Verilator Oh well! • Motorolla Embedded CPU Icarus V** 32bit CV* 64bit N*-SIM As in all benchmarks, Verilator your mileage will vary wsnyder 2013-01 Verilator - Open Simulation Growing Up 5 Story HIDDEN • A lot of hiring here in Boston, interviews every few days, I ask about simulators or environments and every few weeks someone mentions and we use this open source simulator you probably haven’t heard of called verilator… wsnyder 2013-01 Verilator - Open Simulation Growing Up 6 Verilator User Base All trademarks registered by respective owners.
    [Show full text]