ees Notes Release eoaEetoi a 2’Constantine’ 12 Lab Electronic Fedora eiinOtbr3 2009 3, October EDA-FEL-012/PP#01.00 ID Publication Revision

2009 - Fedora Electronic Lab 12 ’Constantine’ Contents 12 11 10 9 8 7 6 5 4 3 2 1 uzlaRprsadCoe Tickets Closed and Reports Bugzilla electronics-menu on support Submenu Repository EPEL-5 design Hardware for Scripts Perl PCB and Circuit Design Digital Design Embedded Simulation Spice Design ASIC Analog IDE default Eclipse review Code Collaborative Overview 2 CONTENTS 25 24 22 21 18 15 13 11 8 6 4 3

2009 - Fedora Electronic Lab 12 ’Constantine’ Notice Abstract History Revision Overview 1 fayo hs tm etoe nti ouetcno erpoue,it reproduced, Bugzilla[3]. Fedora be the cannot to reported document be this and bug on a as mentioned repositories. considered F-11 items be and should these F-10 the of user- into Fedora’s any crawled of have If request features these By Project’s of repository. Fedora some EPEL-5[2] to base the applies and strictly 12 document Fedora this product by provided information The the to fixes bug multiple software, and architectures EDA platform. bit new existing 64 methodologies, on design stability updates, new software covers 12. section (FEL)[1] following Laboratory Electronic The Fedora high- : Project’s Fedora platform design about microelectronic information end latest the present notes release These document. this for history revision the shows table following The 03/10/2009 01/10/2009 29/09/2009 15/09/2009 10/09/2009 20/07/2009 Date 0.1.5 0.1.4 0.1.3 0.1.2 0.1.1 0.1 Version rf ree o ees 12 release for freezed Draft content Toped avraUpdated and picprog content , Added Peer & methodology Review content EPEL-5 Added content related controller micro- 8051 & PLA, Added release draft Initial ChangeLog 3 1 htehGoorah Chitlesh Svilen Krustev Goorah Chitlesh Goorah Chitlesh Kannan Shakthi Goorah Chitlesh Author OVERVIEW

2009 - Fedora Electronic Lab 12 ’Constantine’ olbrtv oereview Code Collaborative 2 oe r eti h omo ablsadtakda ablsisedo files, of instead tarballs as tracked and tarballs source of party, form third the a re- to in outsourced code sent is about are review feelings codes code the mixed when have in Sometimes hours often views. in- work Designers wasting small up for ends meetings. review and unnecessary code misguided often Hardware their is to improve companies methodologies. tools them experienced review help adequate and have code design persons and hardware these for sign-off dedicated that webserver ensure a to up want set we 12, Fedora For the into engage each to project. want encountered FPGA and have a medium of continents) networking development social different particular 4 a (from using scenario, other persons test-case a 4 As “collabo- imagine improving Fedora. let’s is on release experience” 12 development Lab hardware Electronic rative Fedora the a for under feature key and One structure choice. their directory of carefully certain system are controlled a revision netlists under tracks certain company or files Each reports methodology. dependent files sign-off eventual project many the The these of tracking part entails tools. as logged EDA design and multiple hardware analysed to digital fed of be faces to many the of One 2 OLBRTV OEREVIEW CODE COLLABORATIVE 4

2009 - Fedora Electronic Lab 12 ’Constantine’ inr ilbnfi ihafe n outpafr hc a aiyb deployed. be easily can which platform robust and free a de- with hardware benefit SELinux, will and signers Virtualization deadlines. in commitment and Fedora’s milestones with different Coupled can to and respect with project easy on-going very the Project links progress of create the overview files. track realistic to and more a changesets help have tasks, will also bugs, coordinators will between solution references Fedora seamless the peerreview into and trac-based solution review This code reliable and collection. efficient an included have way.We efficient an means no is this which 2 OLBRTV OEREVIEW CODE COLLABORATIVE 5

2009 - Fedora Electronic Lab 12 ’Constantine’ cis eal IDE default Eclipse 3 h olwn lgn rvddb eal nteFdr lcrncLbplatform Lab Electronic Fedora : the enhance on will default by provided plugins to following The is adoption soft- This embedded different by vendors. documentation. offered ware FEL’s and tools becomes between development Eclipse interoperability IP true team, maintain HDL Eclipse for Fedora from IDE support prime and help the With cis-gtAd itiue eso otoldGTitgaint the to integration GIT controlled version distributed Adds HDL the with side along IDE. Eclipse eclipse-egit maintained the to be integration Subversion can Adds scripts eclipse-subclipse Perl code. HDL the with side tools. along development maintained C++ be and can C scripts Embedded eclipse-epic Tcl Provides eclipse-dltk-tcl eclipse-cdt will plugin texlipse the latex, from generated is pdf the Since can pdf a eclipse-texlipse comments, style doxygen entails code vhdl the If eclipse-eclox Ver- develop designers designers/FPGA IC digital Description Helps eclipse-veditor Package • • • • elTlsrpig(elmdlswihfaue ic FEL10) projects since controlled featured version which modules (Perl scripting datasheets Perl/Tcl professional of maintenance and documentation of autogeneration design frontend al :ElpePui eetdfrhrwr design. hardware for selected Plugin Eclipse 1: Table cis IDE Eclipse code. said, That kile. rather on livedvd. FEL do Ctrl-S, the would only from one removed now was like kile is click creation manual pdf a easy than The and formatting creation. layout pdf page additional some provide client. the meetings to internal sent during or either used and autogenerated be etc.. signals typos, unnecessary of signals, notification missing warnings and error realtime a Provides Eclipse. on code ilog/VHDL 6 3 CIS EAL IDE DEFAULT ECLIPSE

2009 - Fedora Electronic Lab 12 ’Constantine’ inlidsr aahesaogsd h iia/meddIP. profes- digital/embedded write the to side help along plugins datasheets Eclipse industry these sional how demonstrates below figure The 7 3 CIS EAL IDE DEFAULT ECLIPSE

2009 - Fedora Electronic Lab 12 ’Constantine’ Caution Highlights Toped Design ASIC Analog 4 oe eessbfr .4wl o eal ora h e D ls h new version. The format files. the TDT of new independently the files read TDT to read able should be release not will 0.94 before releases Toped CIF and GDSII toped’s via Cells Standard demonstration Pharosc a parsers. with as interact files[5] can TELL toped 2 how provided Svilen Krustev and could Petley Graham user the box. that the of so out default toped by run /usr/share/toped the sets to package Toped Fedora $TPD_GLOBAL The variable 0.9.5. release consolidation the to Updated editors updated. schematic and been layout have those which on made changes the describes section This • • • • • • • • • • • rvsoso e air()errrpr asr oki progress. in Work parser. fixes. report bug error Many Calibre(C) is new 0 layer a on result now.Provisions In layer references. normal cell a the as of handled handling toolbars. internal - the customization in interface Updates user the on updates minutes. Further gigabyte in Multi converted now speed. are conversion files GDSII 0.7. the to in updated Version improvements records. Significant TELL. new to with files updated technology format TDT Virtuoso(C) particular. of in conversion GDSII for interfaces. utility external New the in fixes and is well. significantly.Updates This as improved default. improved also by significantly is active is speed be speed The will its renderer openGL because old uses issue, the an the F-11 older not so box, implementing desktops. the of drivers virtual out graphic 1.3 particularly cover and to versions remains openGL renderer a as old used be The will effects. It graphical Objects. future Re- Buffer for base Virtual times. and 3.5 1.4 up-to version drawing openGL the quires speeds-up which renderer graphic New 8 4 NLGAI DESIGN ASIC ANALOG

2009 - Fedora Electronic Lab 12 ’Constantine’ inaant rs h n eal naldi h . series. 8.0 the in documenta- entailed Ad- the details reading fine tutorials. in the and interested grasp be scmos to again also of called tion would examples package users some VLSI separate includes Magic a latter vanced The on documentation magic-doc. its : has package Magic Fedora 8.0.54. version to Updated • • • • • w diinlmns(e gr eo)addfrgi aiuainand manipulation grid for settings. added text below) figure (see menus additional Two improved. been has com- operators. speed paint" boolean Runtime "cif using new layout the and manipulating with for X11 use mand, for both operators "cifoutput" for some routines Features selection complete. and Magic. are in OpenGL manipulation, labels display, with associated aims the problems and of All project), lot freefont a the up clean of to (courtesy fonts vector outline Features 9 4 NLGAI DESIGN ASIC ANALOG

2009 - Fedora Electronic Lab 12 ’Constantine’ Highlights Xcircuit pae ovrin3.6.161. version to Updated updates-testing the to way their make once will plugins. repository. user’s electric add the of with not versions interoperability releas- new break can that Hence would plu- understands FEL version team upstream party Fedora, Lab new third a wih Electronic ing Fedora use incompatibilities said, userbase licensing That electric the plugins. those the to due of that most gins because that note Please 8.09. version to Updated • • • • • • • eoasnsiehsbe ace oacp al rmXici C in- TCL Xcircuit from calls accept to terface. patched been has Fedora’s improved. methods. been new has the version speed learn Runtime from to as tutorial the changed read was Please handled are PCB symbol for 3.6.66. a labels to info attached "wire" way start-point the The its like with label. used wire pin be or a pins. also pin start symbol can to or "A") "w") (key labels (key "attach-to" function pin for to macro attached allowing key automatically function, The "Attach-to" (semi-) the the be upon while to expands connected Added wires also remain It loaded. pins moved. were symbol is they to symbol which connected file. onto wires that page for which in from library support came objects the objects a all of which track for regardless declares to file, prefix file XCircuit by the library used as are Each a prefixes used The prefix", is name. "technology which object’s a name, the of technology and composed im- ("::"), name has a colon namespaces" has double "technology object of library Each concept between distinction the proved. the using making files by and handled pages are libraries way The function the effected. and was routines mechanism binding dispatch key-function the of overhaul complete A windows. layout schematic multiple Supports 10 4 NLGAI DESIGN ASIC ANALOG

2009 - Fedora Electronic Lab 12 ’Constantine’ gspiceui Simulation Spice 5 gd ybl directory). symbols (geda /usr/share/gEDA/sym/misc/ to opamp-3.sym missing includes GSpiceUI Fedora’s wxGTK interface. old GUI the GSpiceUIi’s of improves instead This 2.8 2.6. wxGTK under compiled now is GSpiceUI Fedora’s 0.9.97. to Updated Fedora the simulation. within spice ensured toward platform interoperability Lab the Electronic demonstrates below figure The 11 5 PC SIMULATION SPICE

2009 - Fedora Electronic Lab 12 ’Constantine’ tclspice ngspice Caution invaisTLbced ic eoai lopoiigtosfrboolean for plugin. EDA tools mixed-signal own providing her adequate also or have his is users spin Fedora Fedora to section), materials Since following the in backend. (explained TCL manipulation de- mixed-signal its for via capabilities extended sign with users tclspice. Fedora -qd provides rpm Tclspice via found be can tclspice fragile. of too stability Examples tclspice’s considered has Fedora ngspice-rework-19, Before Package. New pae orwr 19. rework to Updated result a architecture. as that architecture for PPC64 gwave supported missing Fedora of on available not is GSpiceUI models spice and schematics documentations: Added • • • • • eoasnsiehsbe ace oacp al rmXici C in- TCL Xcircuit from calls accept to terface. patched been has ADMS ngspice V2.63, Fedora’s model hicum2. EPFL-EKV hicum0, of mextram, integration models models, BSim of interface. cli Rework and plots in fixes enhancements Bug and bugfixes espice Warn- of Long Integration A - 514484 Message) (Bug ing leaks memory fixed management: Memory 12 5 PC SIMULATION SPICE

2009 - Fedora Electronic Lab 12 ’Constantine’ mcu8051ide gsim85 GNUSim8085 avra Design Embedded 6 thsisonasmlradspotfr2etra sebes o language, C For compiler. assemblers. external SDCC 2 uses for it support and assembler own its assembly. has and It C are languages programming Supported based 8051. microcontrollers for on enviroment development integrated is IDE 8051 MCU 1.3. version : package New implement- actualy before programs board. graphical 8085 test friendly on to user them used very ing be having can is It It interface. simulator. user microprocessor 8085 an is It 0.2. version : package New programs. the be debugging stack, can for has used which also be It keypad can which ease. a viewers much including port with and features programs memory language nice assembly very write to some assembly used microprocessor has 8085 It Intel for language. simulator graphical a is GNUSim8085 1.3.5. version : package New directives. mostly preprocessor bet- is additional as It and such support features microcontollers. new macro provides ter RISC but assembler, 8-bit own AVR Atmel’s Atmel’s with for compatible assembler an is Avra 1.2.3. version : package New 13 6 MEDDDESIGN EMBEDDED

2009 - Fedora Electronic Lab 12 ’Constantine’ gpsim picprog openocd .o n .t lso omn ieaelae ihu s cflag. -c -s, without loaded are line command on files *.stc and *.cod support) USB P18F4455(No and P12F683, P12F629, P16F676 P12F675, P16F630, P10F222, P10F220, processors: new for Supports 0.24.0. version to Updated PIC Microchip various to programmers. programs port serial burning of for types several program via microcontrollers simple a is Picprog 1.9.0. version : package New time. development ease to supported different are Various interfaces devices. and targets, embedded boards, for pro- testing in-system boundary-scan debugging, and provides gramming (OpenOCD) Debugger On-Chip Open The 0.2.0. version : package New 874 ouelbayde o odi s l osntexist. not does file .so if load not does library work. Module will file.hex" processor -p 16f87x. "gpsim in filename. error the simulation in A 2837446 "stc" Port with file a load 1629719 Cannot size. package wrong pins. sigsegv, 1832222 analog p18f2455 for disabled not pull-up 2803756 mode. weak compare in fail sleep. CCPs 2814946 during Multiple stop should TMR0 2814950 2819395 Title 502665 no. RHBZ al :Coe oreog u Reports Bug Sourceforge Closed 2: Table 14 6 MEDDDESIGN EMBEDDED

2009 - Fedora Electronic Lab 12 ’Constantine’ gplcver espresso-ab eqntott Dinotrace Design Digital 7 P vri nodrvrino vrta srlae ne h N General GNU the under released is that Cver of version older LRM. an P1364 is 2001 acc_, IEEE Cver (tf_, the interfaces GPL in PLI defined three implements as All also implemented features. are It vpi_) standard simulator. and P1364 Verilog 2001 standard the P1364 of IEEE some 1995 full a is Cver 2.11a. version : package New tool. minimization It logic representation. boolean equivalent a minimal multiple- is a (or produces two-valued and a function, of Boolean representation two-level valued) a input as takes Espresso 1.0. version : package New expres- tables. truth logic creating converting for minimization, and prepar- forms, logic for simpler for useful into is package sions that espresso table to truth input a into ing expressions logic Boolean converts It 9.0. version : package New source. the emacs-dinotrace-mode. in as seen the emacs be for in will dinotrace-mode highlighted error ships the be also at Fedora will signals errors of the values the and and clicks files, mouse log the Four in visible viewer. comments failure add form occur, simulation wave errors a where VTRACE, cursors With place automatically debugging. will rapid other for optimized and is Dinotrace printing, viewers. waveform searching, commercial signals, many to highlighting superior capabilities cursors, placing allows It formats. trace Dumps, other Change and Value Verilog ASCII, understands which viewer waveform a is Dinotrace 9.4a. version : package New 15 7 IIA DESIGN DIGITAL

2009 - Fedora Electronic Lab 12 ’Constantine’ nrdoadusrp and gnuradio Alliance vrq pae ovrin3.2.2. version to Updated obsoleting behind reason and valid active herb. no is of currently favour upstream is in VLSI there alliance Alliance but wishes, Since active our of was released. to fork development was responsive a F-11 herb be after release, to out F-11’s supported died Before was (which Fedora. herb viewer. by on Graph VLSI a alliance) Alliance is replace which release not new will all this We with for is coming has There release “xgra” new repository. upstream GUI this testing new that built EPEL-5 a happy also also and have repositories are We testing we alliance. supported and Fedora for with patches architecture 2009, our August 64 all in on applied patch stability 100th its to got respect repository devel CVS Alliance Fedora 20090901. Snapshot to Updated customiz- backend plugin for support of with execution parser single verilog. front-end a tools. process generic within able to a fashion is pipeline tools It a plugin in vrq. invoked supports be that may parser tools Multiple verilog modular is VRQ 1.0.58. version : package New executable teams. create design perfor- to software suited simulation embedded well fast for especially CPUs where is of projects and models concern, large or primary for of C++ designed synthesizable is into is mance compiles assertions It It Synthesis code. and simulator. SystemVerilog SystemC PSL, HDL some Verilog plus free Verilog, fastest the is Verilator 3.712. version : package New from available is Cver Corp. of Software version C commercial Pragmatic faster and newer A License. Public 16 7 IIA DESIGN DIGITAL

2009 - Fedora Electronic Lab 12 ’Constantine’ tkgate iverilog pae osaso . ea9. Beta 2.0 snapshot to Updated pae osaso 0.9.20090423. snapshot to Updated onwards. and 2.6 Python with compabitility ensure to fixes Multiple • • rprpl p fue documentation. user of ups pull Proper fix. bug VPI 17 7 IIA DESIGN DIGITAL

2009 - Fedora Electronic Lab 12 ’Constantine’ gEDA fped PCB and Circuit 8 u ntl geda-gaf install yum # with users suite allows geda which complete metapackage, the a install with to benefit users Fedora release, 1.6 release. With (transparent 1.6 replaced new the be released, by automatically is user) 1.6 will the gEDA packages to Once geda tarball. fedora reposito- big existing stable one the to since into review 1.6 geda-gaf undergone gEDA ships has Fedora of now by entrance upstream provided the packages geda prepare said, to That sent ries. was effort of lot A be can that the operation in equivalent expressed GUI. straightforward be the a through can performed has that anything also that definition such textual constrained is a language resembles The electronic that of format text footprints a of in creation language. stored programming interactive are the definitions allows Footprint that components. editor an is fped 5664 version snapshot : package New 400 mee yblcnet ifrn eedn nhwem- how on depending different mode contents net symbol from Embeded cancelling inconsistent left state attrib Graphic hidden deselect quit doesn’t at component dialog 2460301 Deselecting changes" "Save in focus button 2449060 Dangerous tarballs source 2430369 Combine 2058707 Title 1758673 no. RHBZ 860 edt hc htgofcncet TLfiles HTML create can groff that check to Need DEBUG=1 with error Compile pcbpins gnetlist: in names pin problematic 2836109 errors Quote all GLib on recent stop with doesn’t 2823755 fail autogen.sh tests and changed, output 2823703 Gnetlist 2655088 2455061 bedded al :Coe oreog u Reports Bug Sourceforge Closed 3: Table 18 8 ICI N PCB AND CIRCUIT

2009 - Fedora Electronic Lab 12 ’Constantine’ geda-gattrib geda-gsymcheck geda-gnetlist libgeda/geda-gschem • • • • • • • • • • • • • • • • • • • • • de dsbegtrbcmadln a o.cngr odsbethe disable to 2.17.x gtk+ ./configure using to when gattrib flag of building line removed. been command has –disable-gattrib option menu Added Open" -> "File unimplemented gattrib. The to made improvements comment doxygen Many suite. test the in bugs minor of bunch builds. whole directory a out-of-source Fixed for suite test generated. the now Fixed is documentation doxygen style Consistent the runs; it circumstances. time certain every in backend useful only drc2 is the backend drc2 recommend not all does removed; gnetlist gsch2pcb. been using have continue backends should users gnetlist PCBboard and gschem2pcb and cleanup documentation doxygen and infrastructure, refactoring. code, of updated. Lots been has translation API. Spanish searching The attribute new a when a sized Introduced reasonably be to lot. gschem a in zooming rendering size gschem. grip in the box Changed dialog dialog slot confirmation the close up the Cleaned gattrib). in color (and button gschem light "save" in the or box to dark focus the the to Changed changing for gschem. "vl" in schemes and "vd" key shortcuts well. as aligned Assigned supported properly are and keys accelerator icons Menu have now gschem bindings. in items menu Most sym- unprompted show now attributes. gschem bol in box dialog edit com- multi-attribute most The of completion has names. now attribute gschem mon in box GDK. dialog of edit instead attribute cairo The using now is fonts. rendering system Image native using now is rendering Text 19 8 ICI N PCB AND CIRCUIT

2009 - Fedora Electronic Lab 12 ’Constantine’ Kicad gerbv geda-symbols geda-utils n ftegaso E sntt uttl o pnoresfwr sgo,but good, is software opensource how development. talk hardware just opensource to support ensured. not be to is will also FEL of of goals stability the But, of re- trunk. One will from it pulled said, be That Kicad Fedora’s needs. quire development OpenMoko’s follow will Kicad Fedora’s 1863. revision snapshot to Updated non modifying when fault segmentation prevent layer. to rendering. existent fix arc a mode FAST includes in Gerbv error Fedora small fix to so src/draw-gdk.c contained to self patch Applied fully be libgerbv. libgerbv. to to of over libgerbv draw-gdk.c part require and is draw.c we move which -no-undefined, gerbv.c is in there used Since are functions draw-gdk.c and draw.c 2.3.0. version to Updated • • • • eusv aei sdsgicnl eswe nietesmosdirec- symbols the inside when less tory. removed. significantly been used have is definitions make font Recursive vector old the of All file. src the in attributes empty files. accepts PCB now non-footprint tragesym rejects and for checks gsch2pcb 20 8 ICI N PCB AND CIRCUIT

2009 - Fedora Electronic Lab 12 ’Constantine’ Caution perl-Verilog-Perl perl-SystemPerl design Hardware for Scripts Perl 9 elVrlgPr boee elVrlg eoauesaeavsdt uetheir tune accordingly. to scripts advised Perl are home-made users Fedora perl-Verilog. obsoletes perl-Verilog-Perl pae ovrin3.123. version to Updated expanded files. or SystemC time, stand-alone compile valid at them files make sp_preproc, to C++ using place into By in expanded minimized. be text is can expand language files to the designed SystemPerl in is repetition It needless language. that SystemC so the of version a is SystemPerl 1.331. version : Package New • • • • ie el580cmieerrwt callbackgen. with error compile 5.8.0 Perl Fixed rt48226. error, compile 5.8.8 Perl Fixed bug106. identifiers, preprocessor identifier. escaped as Fixed used "do" when warning Improved 9 ELSRPSFRHRWR DESIGN HARDWARE FOR SCRIPTS PERL 21

2009 - Fedora Electronic Lab 12 ’Constantine’ 0EE- Repository EPEL-5 10 u ruisal’lcrncLab’ ’Electronic for groupinstall configured yum is # node the (if installed with be branch) EPEL-5 can collection Lab Electronic Fedora procedures. installation Please for page[2] RHEL-5. wiki and Fedora CentOS-5 the out. with read carried compability been maintains has repository testing proper EPEL-5 if EPEL-5 support hit on the might first available they find solutions then mostly interoperability Fedora, will new you and features Hence standards, newer repositories. EPEL- of Fedora under the updates constant to undergo compared not 5 will packages FEL that note software). Please (assuming proprietary tools the those EDA get tools, proprietary to and EDA how who tools know those commercial EDA they for opensource with ground both tested common have to a have the want provides I under and available as compatibility maintains already far EPEL-5 are As collection FEL repository. the EPEL-5 of packages following The ieio crsVrlgi eio oplradsimulator and compiler verilog a is Verilog Icarus VLSI - for even iverilog used 24. simulator Switch-level - irsim 23. Viewer Waveform simulator - HDL gtkwave Verilog interpreted 22. An Package - Analysis gplcver Circuit toolkit Gnu 21. gEDA The the - from gnucap viewer file 20. Gerber project gEDA - gerbv the for 19. utilities Several - gEDA geda-utils for symbols 18. Electronic editor - schematics geda-symbols electronics for checker 17. Symbol - geda-gsymcheck editor schematics 16. Electronics project - gEDA geda-gschem the for 15. Netlister - gEDA geda-gnetlist for editor 14. Attribute - geda-gattrib gEDA for examples 13. Circuit - geda-examples gEDA for 12. Documentation - geda-docs VHDL free 11. GPLed - freehdl 10. epes-b-Aboenmnmzto tool minimization boolean equations A Boolean - from espresso-ab tables Emacs truth 9. under Generates -vregs - for eqntott files source 8. Elisp - emacs-vregs-mode Emacs Emacs for GNU 7. mode under Verilog dinotrace - for emacs-verilog-mode files source 6. System Elisp CAD - VLSI emacs-dinotrace based Java 5. Sophisticated - electric for4. programmer USB based Update Firmware Device A - dfu-programmer electronics for 3. viewer Waveform - dinotrace System EDA 2. VLSI - alliance 1. te chips Atmel 22 10 PL5REPOSITORY EPEL-5

2009 - Fedora Electronic Lab 12 ’Constantine’ xici lcrnccrutshmtcdaigprogram drawing schematic circuit Electronic - mind your 48. View - translator vym Verilog to 47. simulator VHDL circuit - digital vhd2vl driven event 46. Trac An within - review tkgate code realtime 45. for Framework - Editor trac-peerreview-plugin Layout IC 44. VLSI - toped TkDiff and 43. TkCVS - tkcvs simulator 42. Circuit emulator - processor FAST! qucs a is 41. QEMU files - $readmemb qemu or $readmemh 40. Verilog Parse - perl-Verilog-Readmem generator 39. code Verilog - perl-Verilog-CodeGen routines 38. parsing Verilog vregs - by perl-Verilog used Perl routines in 37. Utility handling - core perl-SystemC-Vregs IP simulator and ModelSim 36. environment the Verilog of - output perl-Perlilog ’list’ the perl 35. Analyse using - code VHDL perl-ModelSim-List parsing for 34. Grammar - perl-Hardware-Vhdl-Parser using 33. code Verilog parsing for Grammar editor board - circuit perl-Hardware-Verilog-Parser printed interactive 32. An - octave for 31. functions Contributed simulator - octave-forge circuit level/signal mixed 30. A VLSI - for ngspice tool comparison 29. netlist LVS microcontrollers - based netgen MCS-51 for 28. tool IDE layout - VLSI mcu8051ide capable very 27. A - program magic charting Smith 26. A - linsmith 25. perl 23 10 PL5REPOSITORY EPEL-5

2009 - Fedora Electronic Lab 12 ’Constantine’ 1Sbeuspoto electronics-menu on support Submenu 11 hr r urnl v tnaddsg osfrteaeaeuser: average the for flows design F-12. standard on five default currently be will are which There submenus these describes screenshot above The • • • • • 01ad88 irpoesrsmltr n I iuaosadpro- and simulators PIC and simulators grammers. microprocessor 8085 and 8051 viewers. waveform Design and Embedded tools design digital Backend and Frontend Design IC Digital viewers. waveform and simulations Spice Simulation Circuit PCB, geda-gaf, e.g layouts, . PCB . kicad,. and schematics create to software EDA xcircuit, Design magic, PCB e.g and design, Circuit IC analog . . to electric,. toped, respect with software EDA Any Design Signal Analog/Mixed 11 UMN UPR NELECTRONICS-MENU ON SUPPORT SUBMENU 24

2009 - Fedora Electronic Lab 12 ’Constantine’ 2Bgil eot n lsdTickets Closed and Reports Bugzilla 12 4pcaeepes tssi htee aec ae their based cadence even that said it’s - espresso package simulator 8085 nice qtdmm a Package is which GNUSim8085 of package eclipse design for embedded veditor included) package (spec ide 8051 a package 24 gplcver packages package 23 build + source with FEL 22 libraries revisited Component be 21 should GUI based Tk perl-Verilog-CodeGen’s 19 openocd package 18 Review Design/Code 10 15 Fedora and SystemC 14 13 12 8 6 Package no. Tickets onwards and 2.6 python with fails packaging and symlinks perl- gnuradio pharosc Title 511400 488046 Package 389281 no. RHBZ 8(rce)vrlgmd paeo eoarawhide Fedora on update verilog-mode (tracker) open to failed png gerbv-2.3.0-1 Framework Tool Verilog : package Software" to "Add/Remove in listed not Groupinstall stability 64 alliance 48 Kicad for help need 47 included) draft (spec builder wishbone 42 perl(IEEE.std_logic_1164.all) package 36 35 33 27 26 path multilib Incorrect release all" new "clear the on to crashes Kicad update Please using: crashes kicad and Message Warning data Long A display octave to fails gwave kicad 518916 F9+: ngspice compat-wxGTK26-devel 517482 not wxGTK-devel with Build 515407 ngspice-18-2.fc11 FTBFS gwave 514484 iverilog-0.9.20090423-4..fc11 FTBFS gspiceui ngspice 513903 iverilog 512076 511695 511682 12 UZLARPRSADCOE TICKETS CLOSED AND REPORTS BUGZILLA ol rmit from tools SystemPerl al :Coe ikt nFdr Hosted Fedora on Tickets Closed 5: Table al :Coe uzlaReports Bugzilla Closed 4: Table ie>edFile... File->Read SystemPerl in Bug Include Header New: 25

2009 - Fedora Electronic Lab 12 ’Constantine’ References Lab Electronic Fedora About [5] [4] [3] [2] [1] in researchers and engineers keep race. to technological order current re- in with pace with tools setup design source laboratory open This electronic liable complete community. a EDA provides opensource sub-project of to development ambitious dedicated and Project[4] innovation Fedora the the of support sub-project a is Lab[1] Electronic Fedora’s testsuite/toped https://fedorahosted.org/fedora-electronic-lab/browser/ http://www.fedoraproject.org https://bugzilla.redhat.com https://fedoraproject.org/wiki/EPEL http://chitlesh.fedorapeople.org/FEL 26 REFERENCES

2009 - Fedora Electronic Lab 12 ’Constantine’