An Open Source Approach for ASIC Design Flow Kesari Ananda Samhitha Y

Total Page:16

File Type:pdf, Size:1020Kb

An Open Source Approach for ASIC Design Flow Kesari Ananda Samhitha Y Journal of Interdisciplinary Cycle Research ISSN NO: 0022-1945 An Open Source approach for ASIC Design Flow Kesari Ananda Samhitha Y. David Solomon Raju M.Tech Associate Professor Holy Mary Institute of Technology Holy Mary Institute of Technology and ScienceAffiliated to JNTU, and Science Affiliated to JNTU, Hyderabad. Hyderabad. Email: [email protected] Email: davidsolomonraju.y@ gmail.com Abstract: In this paper, we are going to study In this paper, number of open-source and the process of implementation of ASIC freeware CAD tools are presented and design flow with the help of open source evaluated. Based on the objectives of the EDA tools. In order to design our own user, this paper furnishes guidelines that circuitry without any other expensive help in selecting the most appropriate licenced tools, we apt the open source open-source and freeware VLSI CAD tool tools. The design of electronic circuits can for teaching a VLSI design course. be achieved at many different refinement Keywords: VLSI, CAD Tools, Electric, levels from the most detailed layout to the Magic, Alliance, Comparative Study most abstract architectures. Introduction: Given the complexity of Very Large Scaled Integrated Circuits (VLSI) ASIC design flow is a very mature which is far beyond human ability, process in silicon turnkey design. The computers are increasingly used to aid in ASIC design flow and its various steps in the design and optimization processes. It is VLSI engineering that we describe below no longer efficient to use manual design are based on best practices and proven techniques, in which each layer is hand methodologies in ASIC chip designs. This etched or composed by laying tape on film paper attempts to explain different steps in due to the time-consuming process and the ASIC design flow, startingfrom ASIC lack of accuracy. design concept and moving from specifications to benefits. Therefore, Computer Aided Design (CAD) tools are heavily involved in the ASIC design flow: design process. In today’s market, there are plenty of VLSI CAD tools; however, ASIC design flow is a mature and most of them are expensive and require silicon-proven IC design process which high performance platforms. Selecting an includes various steps like design appropriate CAD tool for academic use is conceptualization, chip optimization, considered as one of the key challenges in logical/physical implementation, and teaching VLSI design courses. design validation and verification. Let’s have an overview of each of the steps involved in the process. Volume XII, Issue VIII, August/2020 Page No:1028 Journal of Interdisciplinary Cycle Research ISSN NO: 0022-1945 Two different teams are involved at this juncture: Design team: Generates RTL code. Verification team: Generates test bench. Step 2. Design Entry / Functional Verification: Functional verification confirms the functionality and logical behavior of the circuit by simulation on a design entry level. This is the stage where the design team and verification team come into the cycle where they generate RTL code using test-benches. This is known as behavioural Figure 1: ASIC design flow simulation. Steps involved in ASIC design flow: In this simulation, once the RTL code (RTL code is a set of code that checks whether the RTL implementation meets the design verification) is done in HDL, a lot of code coverage metrics proposed for HDL. Engineers aim to verify correctness of the code with the help of test vectors and trying to achieve it by 95% coverage test. This code coverage includes statement coverage, expression coverage, branch coverage, and toggle coverage. There are two types of simulation tools: Functional simulation tools: After the testbench and design code, functional simulation verifies Figure 2: Steps involved in ASIC design logical behavior and its flow implementation based on design entry. Timing simulation tools: Step 1. Chip Specification: Verifies that circuit design meets the timing requirements and This is the stage at which the confirms the design is free of engineer defines features, circuit signal delays. microarchitecture, functionalities (hardware/software interface), specifications (Time, Area, Power, Speed) with design guidelines of ASIC. Volume XII, Issue VIII, August/2020 Page No:1029 Journal of Interdisciplinary Cycle Research ISSN NO: 0022-1945 Step 3. RTL block synthesis / RTL different stages of the design cycle. Telling Function: the customers that the chips have fault when you are already at the production Once the RTL code and testbench stage is embarrassing and disruptive. are generated, the RTL team works on RTL description – they translate the RTL It’s a situation that no engineering code into a gate-level netlist using a team wants to be in. In order to overcome logical synthesis tool that meets required this situation, design for test is introduced timing constraints. Thereafter, a with a list of techniques: synthesized database of the ASIC design is created in the system. When timing Scan path insertion: A methodology of linking constraints are met with the logic all registers elements into one long synthesis, the design proceeds to the design for testability (DFT) techniques. shift register (scan path). This can help to check small parts of design Step 4. Chip Partitioning: instead of the whole design in one go. This is the stage wherein the engineer follows the ASIC design layout Memory BIST (built-in Self- Test): requirement and specification to create its In the lower technology structure using EDA tools and proven node, chip memory requires lower methodologies. This design structure is area and fast access time. MBIST going to be verified with the help of HLL is a device which is used to check programming languages like C++ or RAMs. It is a comprehensive System C. solution to memory testing errors After understanding the design and self-repair proficiencies. specifications, the engineers partition the ATPG (automatic test pattern entire ASIC into multiple functional generation): blocks (hierarchical modules), while ATPG is a method of keeping in mind ASIC’s best performance, creating test vectors / sequential technical feasibility, and resource input patterns to check the design allocation in terms of area, power, cost and for faults generated within various time. Once all the functional blocks are elements of a circuit. implemented in the architectural document, the engineers need to Step 6. Floor Planning (blueprint your brainstorm ASIC design partitioning by chip): reusing IPs from previous projects and After, DFT, the physical procuring them from other parties. implementation process is to be followed. Step 5. Design for Test (DFT) Insertion: In physical design, the first step in RTL- to-GDSII design is floorplanning. It is the With the ongoing trend of lower process of placing blocks in the chip. It technology nodes, there is an increase in includes: block placement, design system-on-chip variations like size, portioning, pin placement, and power threshold voltage and wire resistance. Due optimization. to these factors, new models and techniques are introduced to high-quality Floorplan determines the size of testing.ASIC design is complex enough at the chip, places the gates and connects them with wires. While connecting, Volume XII, Issue VIII, August/2020 Page No:1030 Journal of Interdisciplinary Cycle Research ISSN NO: 0022-1945 engineers take care of wire length, and clock tree with a minimum buffer insertion functionality which will ensure signals will and lower power consumption of chips. not interfere with nearby elements. In the end, simulate the final floor plan with post-layout verification process. Step 9. Routing: A good floorplanning exercise Global Routing: should come across and take care of the Calculates estimated values for below points; otherwise, the life of IC and each net by the delays of fan-out of its cost will blow out: wire. Global routing is mainly divided into line routingand maze Minimize the total chip area. routing. Make routing phase easy Detailed Routing: (routable). In detailed routing, the actual Improve signal delays delays of wire is calculated by Step 7. Placement: various optimization methods like timing optimization, clock tree Placement is the process of placing synthesis, etc. standard cells in row. A poor placement requires larger area and also degrades As we are moving towards a lower performance. Various factors, like the technology node, engineers face complex timing requirement, the net lengths and design challenges with the need for hence the connections of cells, power implanting millions of gates in a small dissipation should be taken care. It area. In order to make this ASIC design removes timing violation. routable, placement density range needs to be followed for better QoR. Placement Step 8. Clock tree synthesis: density analysis is an important parameter Clock tree synthesis is a process of to get better outcomes with a smaller building the clock tree and meeting the number of iterations. defined timing, area and power Step 10. Final Verification (Physical requirements. It helps in providing the Verification and Timing): clock connection to the clock pin of a sequential element in the required time and After routing, ASIC design layout area, with low power consumption. undergoes three steps of physical verification, known as signoff checks. This In order to avoid high power stage helps to check whether the layout consumption, increase in delays and a working the way it was designed to. The huge number of transitions, certain following checks are followed to avoid any structures can be used for optimizing CTS errors just before the tapeout: structure such as Mesh Structure, H-Tree Structure, X-Tree Structure, Fishbone Layout versus schematic (LVS) is a Structure and Hybrid structure.With the process of checking that the help of these structures, each flop in the geometry/layout matches the clock tree gets the clock connection. schematic/netlist. Design rule checks (DRC) is the During the optimization, tools process of checking that the insert the buffer to build the CTS structure.
Recommended publications
  • Pulsonix Design System V10.5 Update Notes
    Pulsonix Design System V10.5 Update Notes 2 Pulsonix Version 10.5 Update Notes Copyright Notice Copyright ã WestDev Ltd. 2000-2019 Pulsonix is a Trademark of WestDev Ltd. All rights reserved. E&OE Copyright in the whole and every part of this software and manual belongs to WestDev Ltd. and may not be used, sold, transferred, copied or reproduced in whole or in part in any manner or in any media to any person, without the prior written consent of WestDev Ltd. If you use this manual you do so at your own risk and on the understanding that neither WestDev Ltd. nor associated companies shall be liable for any loss or damage of any kind. WestDev Ltd. does not warrant that the software package will function properly in every hardware software environment. Although WestDev Ltd. has tested the software and reviewed the documentation, WestDev Ltd. makes no warranty or representation, either express or implied, with respect to this software or documentation, their quality, performance, merchantability, or fitness for a particular purpose. This software and documentation are licensed 'as is', and you the licensee, by making use thereof, are assuming the entire risk as to their quality and performance. In no event will WestDev Ltd. be liable for direct, indirect, special, incidental, or consequential damage arising out of the use or inability to use the software or documentation, even if advised of the possibility of such damages. WestDev Ltd. reserves the right to alter, modify, correct and upgrade our software programs and publications without notice and without incurring liability.
    [Show full text]
  • Amateur Radio Software Distributed with (X)Ubuntu LTS Serge Stroobandt, ON4AA
    Amateur Radio Software Distributed with (X)Ubuntu LTS Serge Stroobandt, ON4AA Copyright 2014–2018, licensed under Creative Commons BY-NC-SA Introduction Amateur radio (also called “ham radio”), is a technical hobby Many ham radio stations are highly integrated with computers. Radios are interfaced with com- puters to aid with contact logging, propagation prediction, station spotting, antenna steering, signal (de)modulation and filtering. For many years, amateur radio software has been a bastion of Windows™ ap- plications developed by However, with the advent of the Rasperry Pi, amateur radio hobbyists are slowly but surely discovering GNU/Linux. Most of the software for GNU/Linux is available through package repositories. Such package repositories come by default with the GNU/Linux distribution of your choice. Package management systems offer many benefits in the form of security (you know what you are getting from whom) and ease-of-use (packages are upgraded automatically). No longer does one need to wander the back corners of the internet to find wne or updated software, exposing oneself to the risk of catching a computer virus. A number of GNU/Linux distributions offer freely installable ham-related packages under the “Amateur Radio” section of their main repository. The largest collection of ham radio packages is offeredy b OpenSuse and De- bian-derived distributions like Xubuntu LTS and Linux Mint, to name but a few. Arch Linux may also have whole bunch of ham related software in the Arch User Repository (AUR). 1 Synaptic One way to find and tallins ham radio packages on Debian-derived distros is by using the Synaptic graphical package manager (see Figure 1).
    [Show full text]
  • Development of Systemc Modules from HDL for System-On-Chip Applications
    University of Tennessee, Knoxville TRACE: Tennessee Research and Creative Exchange Masters Theses Graduate School 8-2004 Development of SystemC Modules from HDL for System-on-Chip Applications Siddhartha Devalapalli University of Tennessee - Knoxville Follow this and additional works at: https://trace.tennessee.edu/utk_gradthes Part of the Electrical and Computer Engineering Commons Recommended Citation Devalapalli, Siddhartha, "Development of SystemC Modules from HDL for System-on-Chip Applications. " Master's Thesis, University of Tennessee, 2004. https://trace.tennessee.edu/utk_gradthes/2119 This Thesis is brought to you for free and open access by the Graduate School at TRACE: Tennessee Research and Creative Exchange. It has been accepted for inclusion in Masters Theses by an authorized administrator of TRACE: Tennessee Research and Creative Exchange. For more information, please contact [email protected]. To the Graduate Council: I am submitting herewith a thesis written by Siddhartha Devalapalli entitled "Development of SystemC Modules from HDL for System-on-Chip Applications." I have examined the final electronic copy of this thesis for form and content and recommend that it be accepted in partial fulfillment of the equirr ements for the degree of Master of Science, with a major in Electrical Engineering. Dr. Donald W. Bouldin, Major Professor We have read this thesis and recommend its acceptance: Dr. Gregory D. Peterson, Dr. Chandra Tan Accepted for the Council: Carolyn R. Hodges Vice Provost and Dean of the Graduate School (Original signatures are on file with official studentecor r ds.) To the Graduate Council: I am submitting herewith a thesis written by Siddhartha Devalapalli entitled "Development of SystemC Modules from HDL for System-on-Chip Applications".
    [Show full text]
  • A Fedora Electronic Lab Presentation
    Chitlesh GOORAH Design & Verification Club Bristol 2010 FUDConBrussels 2007 - [email protected] [ Free Electronic Lab ] (formerly Fedora Electronic Lab) An opensource Design and Simulation platform for Micro-Electronics A one-stop linux distribution for hardware design Marketing means for opensource EDA developers (Networking) From SPEC, Model, Frontend Design, Backend, Development boards to embedded software. FUDConBrussels 2007 - [email protected] Electronic Designers Problems Approx. 6 month design development cycle Tackling Design Complexity Lower Power, Lower Cost and Smaller Space Semiconductor Industry's neck squeezed in 2008 Management (digital/analog) IP Portfolio FUDConBrussels 2007 - [email protected] FUDConBrussels 2007 - [email protected] A basic Design Flow FUDConBrussels 2007 - [email protected] TIP: Use verilator to lint your verilog files. Most of the Veripool tools are available under FEL. They are in sync with Wilson Snyder's releases. FUDConBrussels 2007 - [email protected] FUDConBrussels 2007 - [email protected] GTKWaveGTKWave Don'tDon't forgetforget itsits TCLTCL backendbackend WidelyWidely usedused togethertogether withwith SystemCSystemC FUDConBrussels 2007 - [email protected] Tools Standard Cell libraries FUDConBrussels 2007 - [email protected] BackendBackend designdesign Open Circuit Design, Electric FUDConBrussels 2007 - [email protected], Toped gEDA/gafgEDA/gaf Well known and famous. A very good example of opensource
    [Show full text]
  • Work Package 2 Collection of Requirements for OS
    Consortium for studying, evaluating, and supporting the introduction of Open Source software and Open Data Standards in the Public Administration Project acronym: COSPA Wor k Package 2 Collection of requirements for OS applications and ODS in the PA and creation of a catalogue of appropriate OS/ODS Solutions D eliverable 2. 1 Catalogue of available Open Source tools for the PA Contract no.: IST-2002-2164 Project funded by the European Community under the “SIXTH FRAMEWORK PROGRAMME” Work Package 2, Deliverable 2.1 - Catalogue of available Open Source tools for the PA Project Acronym COSPA Project full title A Consortium for studying, evaluating, and supporting the introduction of Open Source software and Open Data Standards in the Public Administration Contract number IST-2002-2164 Deliverable 2.1 Due date 28/02/2004 Release date 15/10/2005 Short description WP2 focuses on understanding the OS tools currently used in PAs, and the ODS compatible with these tools. Deliverable D2.1 contains a Catalogue of available open source tools for the PA, including information about the OS currently in use inside PAs, the administrative and training requirements of the tools. Author(s) Free University of Bozen/Bolzano Contributor(s) Conecta, IBM, University of Sheffield Project Officer Tiziana Arcarese Trond Arne Undheim European Commission Directorate-General Information Society Directorate C - Unit C6- eGovernment, BU 31 7/87 rue de la Loi 200 - B-1049 Brussels - Belgium 26/10/04 Version 1.3a page 2/353 Work Package 2, Deliverable 2.1 - Catalogue of available Open Source tools for the PA Disclaimer The views expressed in this document are purely those of the writers and may not, in any circumstances, be interpreted as stating an official position of the European Commission.
    [Show full text]
  • Simulator for the RV32-Versat Architecture
    Simulator for the RV32-Versat Architecture João César Martins Moutoso Ratinho Thesis to obtain the Master of Science Degree in Electrical and Computer Engineering Supervisor(s): Prof. José João Henriques Teixeira de Sousa Examination Committee Chairperson: Prof. Francisco André Corrêa Alegria Supervisor: Prof. José João Henriques Teixeira de Sousa Member of the Committee: Prof. Marcelino Bicho dos Santos November 2019 ii Declaration I declare that this document is an original work of my own authorship and that it fulfills all the require- ments of the Code of Conduct and Good Practices of the Universidade de Lisboa. iii iv Acknowledgments I want to thank my supervisor, Professor Jose´ Teixeira de Sousa, for the opportunity to develop this work and for his guidance and support during that process. His help was fundamental to overcome the multiple obstacles that I faced during this work. I also want to acknowledge Professor Horacio´ Neto for providing a simple Convolutional Neural Net- work application, used as a basis for the application developed for the RV32-Versat architecture. A special acknowledgement goes to my friends, for their continuous support, and Valter,´ that is developing a multi-layer architecture for RV32-Versat. When everything seemed to be doomed he always had a miraculous solution. Finally, I want to express my sincere gratitude to my family for giving me all the support and encour- agement that I needed throughout my years of study and through the process of researching and writing this thesis. They are also part of this work. Thank you. v vi Resumo Esta tese apresenta um novo ambiente de simulac¸ao˜ para a arquitectura RV32-Versat baseado na ferramenta de simulac¸ao˜ Verilator.
    [Show full text]
  • Visualization of Complex Function Graphs in Augmented Reality
    M A G I S T E R A R B E I T Visualization of Complex Function Graphs in Augmented Reality ausgeführt am Institut für Softwaretechnik und Interaktive Systeme der Technischen Universität Wien unter der Anleitung von Univ.Ass. Mag. Dr. Hannes Kaufmann durch Robert Liebo Brahmsplatz 7/11 1040 Wien _________ ____________________________ Datum Unterschrift Abstract Understanding the properties of a function over complex numbers can be much more difficult than with a function over real numbers. This work provides one approach in the area of visualization and augmented reality to gain insight into these properties. The applied visualization techniques use the full palette of a 3D scene graph's basic elements, the complex function can be seen and understood through the location, the shape, the color and even the animation of a resulting visual object. The proper usage of these visual mappings provides an intuitive graphical representation of the function graph and reveals the important features of a specific function. Augmented reality (AR) combines the real world with virtual objects generated by a computer. Using multi user AR for mathematical visualization enables sophisticated educational solutions for studies dealing with complex functions. A software framework that has been implemented will be explained in detail, it is tailored to provide an optimal solution for complex function graph visualization, but shows as well an approach to visualize general data sets with more than 3 dimensions. The framework can be used in a variety of environments, a desktop setup and an immersive setup will be shown as examples. Finally some common tasks involving complex functions will be shown in connection with this framework as example usage possibilities.
    [Show full text]
  • Metadefender Core V4.12.2
    MetaDefender Core v4.12.2 © 2018 OPSWAT, Inc. All rights reserved. OPSWAT®, MetadefenderTM and the OPSWAT logo are trademarks of OPSWAT, Inc. All other trademarks, trade names, service marks, service names, and images mentioned and/or used herein belong to their respective owners. Table of Contents About This Guide 13 Key Features of Metadefender Core 14 1. Quick Start with Metadefender Core 15 1.1. Installation 15 Operating system invariant initial steps 15 Basic setup 16 1.1.1. Configuration wizard 16 1.2. License Activation 21 1.3. Scan Files with Metadefender Core 21 2. Installing or Upgrading Metadefender Core 22 2.1. Recommended System Requirements 22 System Requirements For Server 22 Browser Requirements for the Metadefender Core Management Console 24 2.2. Installing Metadefender 25 Installation 25 Installation notes 25 2.2.1. Installing Metadefender Core using command line 26 2.2.2. Installing Metadefender Core using the Install Wizard 27 2.3. Upgrading MetaDefender Core 27 Upgrading from MetaDefender Core 3.x 27 Upgrading from MetaDefender Core 4.x 28 2.4. Metadefender Core Licensing 28 2.4.1. Activating Metadefender Licenses 28 2.4.2. Checking Your Metadefender Core License 35 2.5. Performance and Load Estimation 36 What to know before reading the results: Some factors that affect performance 36 How test results are calculated 37 Test Reports 37 Performance Report - Multi-Scanning On Linux 37 Performance Report - Multi-Scanning On Windows 41 2.6. Special installation options 46 Use RAMDISK for the tempdirectory 46 3. Configuring Metadefender Core 50 3.1. Management Console 50 3.2.
    [Show full text]
  • Circuitmaker 2000 (The Symbol Will Be Replaced by a Rectangle)
    CircuitMaker® 2000 the virtual electronics lab™ CircuitMaker User Manual advanced schematic capture mixed analog/digital simulation Revision A Software, documentation and related materials: Copyright © 1988-2000 Protel International Limited. All Rights Reserved. Unauthorized duplication of the software, manual or related materials by any means, mechanical or electronic, including translation into another language, except for brief excerpts in published reviews, is prohibited without the express written permission of Protel International Limited. Unauthorized duplication of this work may also be prohibited by local statute. Violators may be subject to both criminal and civil penalties, including fines and/or imprisonment. CircuitMaker, TraxMaker, Protel and Tango are registered trademarks of Protel International Limited. SimCode, SmartWires and The Virtual Electronics Lab are trademarks of Protel International Limited. Microsoft and Microsoft Windows are registered trademarks of Microsoft Corporation. Orcad is a registered trademark of Cadence Design Systems. PADS is a registered trademark of PADS Software. All other trademarks are the property of their respective owners. Printed by Star Printery Pty Ltd ii Table of Contents Chapter 1: Welcome to CircuitMaker Introduction............................................................................................1-1 Required User Background..............................................................1-1 Required Hardware/Software...........................................................1-1
    [Show full text]
  • Work Package (Wp) 2 “Web Development”
    WORK PACKAGE (WP) 2 “WEB DEVELOPMENT” Project “Youth networking for economic exchange in the cross-border region” Project No. CB007.2.22.078 The project is co-funded by EU through the Interreg-IPA CBC Bulgaria–Serbia Programme This publication has been produced with the assistance of the European Union through the Interreg-IPA CBC Bulgaria-Serbia Programme, CCI No 2014TC16I5CB007. The contents of this publication are the sole responsibility of National Association Legal Initiative for Open Government and can in no way be taken to reflect the views of the European Union or the Managing Authority of the Programme. CONTENTS: L. WEB DEVELOPMENT BASICS, PRINCIPLES, APPROACHES .............................................................................. 3 2. FRONT-END WEB DEVELOPMENT: HTML, CSS, JAVASCRIPT, JQUERY, BOOTSTRAP ....................................... 9 WHAT YOU ALREADY NEED TO KNOW ......................................................................................................................... 18 3. BACK-END WEB DEVELOPMENT: JAVA, MYSQL .......................................................................................... 21 4. AJAX ANGULAR.JS PROGRAMMING ........................................................................................................... 25 5. WEBSITE DEVELOPMENT AND MODIFICATION VIA CMS............................................................................. 31 6. DEVELOPMENT ENVIRONMENTS: INTEGRATED DEBUGGING ENVIRONMENT (IDE), DEVELOPMENT TOOLS, TOOLS TO SUPPORT METHODOLOGICAL CONTROL
    [Show full text]
  • Elementary Filter Circuits
    Modular Electronics Learning (ModEL) project * SPICE ckt v1 1 0 dc 12 v2 2 1 dc 15 r1 2 3 4700 r2 3 0 7100 .dc v1 12 12 1 .print dc v(2,3) .print dc i(v2) .end V = I R Elementary Filter Circuits c 2018-2021 by Tony R. Kuphaldt – under the terms and conditions of the Creative Commons Attribution 4.0 International Public License Last update = 13 September 2021 This is a copyrighted work, but licensed under the Creative Commons Attribution 4.0 International Public License. A copy of this license is found in the last Appendix of this document. Alternatively, you may visit http://creativecommons.org/licenses/by/4.0/ or send a letter to Creative Commons: 171 Second Street, Suite 300, San Francisco, California, 94105, USA. The terms and conditions of this license allow for free copying, distribution, and/or modification of all licensed works by the general public. ii Contents 1 Introduction 3 2 Case Tutorial 5 2.1 Example: RC filter design ................................. 6 3 Tutorial 9 3.1 Signal separation ...................................... 9 3.2 Reactive filtering ...................................... 10 3.3 Bode plots .......................................... 14 3.4 LC resonant filters ..................................... 15 3.5 Roll-off ........................................... 17 3.6 Mechanical-electrical filters ................................ 18 3.7 Summary .......................................... 20 4 Historical References 25 4.1 Wave screens ........................................ 26 5 Derivations and Technical References 29 5.1 Decibels ........................................... 30 6 Programming References 41 6.1 Programming in C++ ................................... 42 6.2 Programming in Python .................................. 46 6.3 Modeling low-pass filters using C++ ........................... 51 7 Questions 63 7.1 Conceptual reasoning ...................................
    [Show full text]
  • Getting Started in High Performance Electronic Design
    Getting started in high performance electronic design Wojtek Skulski Department of Physics and Astronomy University of Rochester Rochester, NY 14627-0171 skulski _at_ pas.rochester.edu First presented May/23/2002 Updated for the web July/03/2004 Wojtek Skulski May/2002 Department of Physics and Astronomy, University of Rochester Getting started with High performance electronic design • 3-hour class • Designing high performance surface mount and multilayer boards. • What tools and resources are available? • How to get my design manufactured and assembled? • Board design with OrCAD Capture and Layout. • When and where: • Thursday, May/23/2002, 9-12am, Bausch&Lomb room 106 (1st floor). • Slides updated for the web July/03/2004. • Reserve your handout. • Send e-mail to [email protected] if you plan to attend. • Walk-ins are invited, but there may be no handouts if you do not register. • See you there! Wojtek Skulski May/2002 Department of Physics and Astronomy, University of Rochester The goal and outline of this class • Goal: • Describe the tools available to us for designing high performance electronic instruments. • Outline • Why do we need surface mount and multilayer boards? • What tools and resources are available? • How to get my PCB manufactured? • How to get my board assembled? • Designing with OrCAD Capture and OrCAD Layout. • The audience • You know the basics of electronics. • … and you need to get going quickly with your design. Wojtek Skulski May/2002 Department of Physics and Astronomy, University of Rochester Disclaimer • I am describing tools and methods which work for me. • I do not claim that this information is complete.
    [Show full text]