Fab-Finder W. Size Build Code Module Manufacturer Model (mm) Serial No. Year Status Description / Comments

LE240 DCVD Advanced Energy RS94A 200 TiCl4 supply unit LE241 DCVD Advanced Energy RS94A 200 TiCl4 supply unit LV110 Test Corporation M6751AD 200 EDS - 3 units available LV114 Test Advantest Corporation T5382A1 200 EDS LW115 Test Advantest Corporation T5371 2001 Memory type prober - 2 station LV115 Test Advantest Corporation T5585 - 2002 High-Speed Memory Test System LV113 Test Agilent 16702A 200 EDS LF104 Reclaim Akrion 200 Reclaim (Used Tool) LU160 PVD ANELVA ILC-1060 200 2008 Sputtering System LU161 PVD ANELVA ILC-1080 200 2003 Sputtering System LU162 Test ANELVA M-222LD-D 200 2011 Leak Detectors LH105 Cleaning AOC Techno Co AOC 200/300 FK11102 2013 In Crates 4 quartz tanks for chemicals - 2 rinse tanks LF108 Thin Film Applied Materials CENTURA 200 Sub-atmosphereTool never ran. Brand TREOS new. BPSG CVD LJ107 Thin Film Applied Materials P5000 200 4666 1996 Warehouse 2 BPSG Chambers LJ108 Thin Film Applied Materials P5000 200 50014 1996 Warehouse 3 USG + 1 Sputter chambers LJ109 Thin Film Applied Materials P5000 200 A0035 1996 Cleanroom 3 USG Chambers LJ110 Thin Film Applied Materials P5000 200 7347 1997 Warehouse 1 ch. MXP+ / 1 MkII LJ111 Thin Film Applied Materials P5000 200 7348 1997 Warehouse 1 ch. MkII LJ112 Thin Film Applied Materials CENTURA 5200 IPS 200 EA58 1999 Warehouse IPS (oxide etch) - Silicon dome missing LV104 Thin Film Applied Materials ULTIMA 5200 200 2006 CVD LV105 Thin Film Applied Materials CENTURA DxZ 200 1997 SMIF CVD LV106 Thin Film Applied Materials ENDURA 5500 200 1995 PVD LV107 Thin Film Applied Materials ENDURA 200 1993 AlCu/ TiN/Ti LU164 CVD Applied Materials Centura HDP 200 1995 HDP-CVD LT100 CVD Applied Materials P5000 200 1105150 2002 PEOX , 3chamber , DPS+ POLY, CENTURA LV108 Etch Applied Materials I-PH.2 200 1999 POLY ETCH 1997 Centura 1 Body, Flat type, Ph 1, Narrow L/L, HP LT102 Etch Applied Materials SUPER-E (Centura 1) 200 9085 Robot, 3Chamber, ENI OEM-12B 1999 Centura 1 Body, Flat type, Ph 2, Narrow L/L, HP LT103 Etch Applied Materials SUPER-E (Centura 1) 200 EB76 Robot, 3Chamber, ENI OEM-12B LT104 Etch Applied Materials SUPER-E (Centura 1) 200 9957 1998 Centura 1Body. LU163 Etch Applied Materials Centura DPS 200 1998 Poly Etcher LU165 Etch Applied Materials Centura MXP 200 1997 Oxide Etcher LU166 CMP Applied Materials Mirra 200 1998 CMP Oxide - 2 units available LU168 CMP Applied Materials Mirra 200 1999 CMP W - 2 units available LJ106 Implant Applied Materials PI 9500 200 M123 1993 Cleanroom Implanter Particule Wafer Inspection - PDI / CCD installed LW113 Metrology Applied Materials WF-736XS 200 2001 50 / 60Hz common defaults inspection laser Particule Wafer Inspection - PDI / CCD installed LW114 Metrology Applied Materials WF-736XS 200 2001 50 / 60Hz common defaults inspection laser LU166 CMP Applied Materials Mirra 200 1998 CMP-OXIDE LU167 CMP Applied Materials Mirra 200 1998 CMP-OXIDE LU168 CMP Applied Materials Mirra 200 1999 CMP-W LU169 CMP Applied Materials Mirra 200 2000 CMP-W EU157 Wet/CMP Appricia Cenote 200 Aluminum Etch LE261 DIFF ASM UHV-A600 200 HSG Growth (3 units available) LE274 DCVD ASM Eagle10 200 Plasma SiO2 CVD (3 units available) LU170 CVD ASM Eagle10 200 1998 Plasma CVD System LM150 Litho ASML ASML100 200 STEPPER ASM 5500/100 LT112 Litho ASML ASML100 200 3420 1996 LM151 Litho ASML ASML200 200 ASML/200 LT113 Litho ASML ASML250 200 4161 2002 LV101 Litho ASML PAS5500/300C 200 1997 PHOTO LV100 Litho ASML PAS5500/300C 200 1997 Stepper LF111 Bonding ASM- Pacific Tech AB559 200 Wire & Die Bonder LE 200 DCVD Aviza Technology WJ1500TFv1.0 200 Atmosphere TEOS BPSG CVD LM110 Diffusion AVIZA-SPTS AVP8000 200 AVP8000 Oxide Furnace (2 units available) PL110 Thin Film AVP AVP 8000 200 SELOX LJ113 Strip AXCELIS Fusion MCU 200 200 MU5J331C 1996 Cleanroom Stripper/Asher - HV module not functional (2 units LJ114 Strip AXCELIS Fusion MCU 200 MU5J3228B 1996 Warehouse each available) LE265 PVD Beckman Coulter DU-650 200 Surface reflection measurement LG110 Metrology BRUCKER Nano defectivity 200/300 120 2003 Discon'ed Not operational, need to change parts LM115 Metrology CAMITEK FALCON 200 200 Thelma inspection camitek LU171 RTP Canon HP-8800 200 2000 TIN Anneal - 2 units available LS101 Litho Canon FPA-2500 i2 200 309144 1993 Lithography - stepper (4 units available) LS105 Litho Canon MPA600 super 200 9105131 Lithography - Aligner LT114 Litho Canon ES3 200 1046247 2001 Cymer Laser (good Condition) LE246 Etch Canon-ANELVA ILD-4100SR & SD II 200 W Dry Etcher (14 units available) LE207 PVD Canon-ANELVA I-1060SV2+1 200 PVD (5 units available LE257 DIFF Canon Marketing HeatPulse8108 200 Std RTA (3 units available) LE258 DIFF Canon Marketing Japan HeatPulse8800 200 Std RTA LW111 Thermal CELTU (Youtec) HPK03Z 2003 4'' PMC3 groups - 600°C Hi Temp substrate LE110 TF Dainippon Screen SP-W813-AS 200 Scrubber for CMP (4 units available) LJ120 Cleaning Dainippon Screen AS 2000 200 57530-5044 1998 Cleanroom Cleaning Equipment LJ121 Cleaning Dainippon Screen AS 2000 200 59530-5230 1998 Cleanroom Cleaning Equipment LJ122 CMP Clean Dainippon Screen SPW813-AS 200 53530-4003 1993 Warehouse Single Wafer Processing (3 units available) LE115 Litho Dainippon Screen SD-W80A-AVQ 200 Polyimide Coater LE116 Litho Dainippon Screen SD-W80A-AVQ 200 Polyimide Developper LE205 Litho Dainippon Screen SC-W80A-AG 200 SOG Coater (2 units available) LU177 PHOTOLITHO Dainippon Screen SK-80BW 200 1998 Coater

- Fab-Finder Confidential - May 2016, Page 1 /4 DNS-11: SKW-80B coat/develop; 2xCoat/2xDevelop PL111 Litho Dainippon Screen SKW-80B 200 LE225 Etch Dainippon Screen DP-W80 A-AV (UV) 200 UV/O3 treatment LE239 WET Dainippon Screen IPA Recycle unit 200 IPA Recycle unit LU173 WET Dainippon Screen AS2000 200 1998 Cleaning System - 2 units available LU176 WET Dainippon Screen MP-2000 200 Cleaning System LU179 WET Dainippon Screen SS-W80A-AR 200 2000 Wafer Scrubber - 2 units available LU181 WET Dainippon Screen SS-W80A-AVR 200 2002 Wafer Scrubber - 2 units available LE269 CMP Dainippon Screen VM-8200 200 Thickness Measurement (2 units available) LE271 CMP Dainippon Screen VM-2010 200 Thickness Measurement LU175 RTP Dainippon Screen LA820 200 1996 RTA JLU177 Metrology Dainippon Screen VM1210 100〜 200 2013 Film Thickness Measurement EU157 BEOL Disco DFG840 200 Backgrinder LV117 Back End ESI M9275 200 1998 Laser Memory Fuser - 4 units available LU190 TEST ESI ESI 9350 200 2004 Laser Repair System - 2 units available LG111 Grinding EMTEC WBM210 100~200 800 2003 Running 2 load & 2 unload ports. Use Tokyo diamond wheels. LJ126 Bake FUSION M200PC 200 2063.96 1995 Cleanroom UV HARD M200PC LJ127 Fuser GSI M40 200 1139 2003 Cleanroom Fuser LJ128 Bake HERAEUS TUF-50/60-230 200 4970-00 1992 Warehouse Oven LF122 Metrology Hilevel Dragon 200/300 For low-end logic device probing (6 units avail.) LS126 Metrology S-4500 - CD-SEM LR121 Metrology Hitachi S-4800+EDX 200 9043-06 2008 FE SEM LR122 Metrology Hitachi S-5000 200 - - FE SEM LR126 Metrology Hitachi S-5200 200 0632-04 2005 FE SEM LS131 Metrology Hitachi S5200 with EDAX - CD-SEM LS110 Metrology Hitachi S-6000 200 5333884 1993 Metrology - CD-SEM LS106 Metrology Hitachi S-8820S 200 8323-07 1995 Metrology - CD-SEM LS107 Metrology Hitachi S-8840 200 8352-09 1997 Metrology - CD-SEM LT107 Metrology Hitachi S9200SA 200 9718-04 2000 Working condition LR125 Metrology Hitachi S-9220 200 9722-05 2000 CD SEM Measure LS108 Metrology Hitachi S-9220 200 9724-04 2000 Metrology - CD-SEM - 2 units available LT108 Metrology Hitachi S9220 200 9737-04 1999 Working condition LT109 Metrology Hitachi S9360 200 0107-03 2003 LU192 Metrology Hitachi FB 2100 200 2002 FIB LU193 Metrology Hitachi FSM-128 200 Flatness Measuring System - 2 units available LU195 Metrology Hitachi LS 6700 200/300 2008 Wafer Particle Counter LU199 Metrology Hitachi TS-3700 200 1990 Laser Dust Monitor LU200 Metrology Hitachi TS-6500 200 2000 Laser Dust Monitor LE250 Etch Hitachi M-501A 200 AL Dry Etcher (2 units available) LU196 Etch Hitachi M-501AW 200 1995 Metal Etcher - 2 units available LU198 Etch Hitachi M-511A 200 1996 Poly Etcher LE252 Etch Hitachi M-602A 200 AL Dry Etcher LR123 Implant Hitachi E-1030 200 0429-001 1996 Ion Sputter LR124 Implant Hitachi E-1045 200 0614-07 2008 Ion Sputter EU157 Metrology Irvine Optical Ultrastation 200 Wafer Sorter LE229 PVD JAPAN PIONICS URT-3-S ( SPU-A-3B) 200 Ar Purifier LE230 DIFF JAPAN PIONICS WGC-200B-1-S 200 CLF3 absorb unit (5 units available) LE235 DIFF JAPAN PIONICS JIP-100E-S 200 N2 Purifier (2 units available) LE236 DIFF JAPAN PIONICS MANN-07N-S 200 NH3 Purifier (8 units available) LE237 DIFF JAPAN PIONICS JOP-50E-S 200 O2 Purifier (2 units available) LR118 Litho KE DD-853V H2 Anneal 200 DD3-00028-1 1997 H2 Anneal . Ball bonder - Sheartester LW120 Metrology Keller Technology (KTC) BT-30 - · Bausch & Lomb Lens (Zoom: 0,8x ~ 4,0x) LE233 TF KEYENC GR-3500 200 Data-Logger (3 units available) LV121 Metrology KLA-Tencor 2131 200 1995 Wafer Defect Inspection System LJ137 Metrology KLA Tencor CRS 1010 1010A 092 1996 Cleanroom Wafer Confocal Review Station LJ138 Metrology KLA Tencor CRS 1010 1010A 094 1997 Warehouse Wafer Confocal Review Station LS124 Metrology KLA Tencor P-20H 200 1996 Profiler LJ136 Metrology KLA Tencor eS20 XP 200 ES2XX159 2001 Cleanroom Scanning Electron Beam LE264 PVD KLA-Tencor M-gage300 200 Al Thickness measurement LE266 ETCH KLA-Tencor P-20H 200 Step hight measurement LG115 Metrology KLA Tencor ALERIS HX 200 & 300 200612120018 2005 idle, conn'ted 2 load ports (1 x 300mm & 1x 200mm) LJ130 Metrology KLA Tencor AIT Model 200 0996 8036 1996 Cleanroom PatternModes: WaferBBSE InspectionUV, UVR, Patternsystem Recognition(no UV cap.) LL155 Metrology KLA-Tencor KLA5200 200 In Fab MEASUREMENT LL156 Metrology KLA-Tencor KLA5200 200 In Fab MEASUREMENT Overlay Measurement System - Has KLAOUT option. LJ131 Metrology KLA Tencor KLA 5200 XP 200 2022 1997 Cleanroom Maintained by KLA - 2 units available

LJ132 Metrology KLA Tencor KLA 5200 XP 200 2258 Warehouse Overlay Measmt with KLAOUT option. Maint'ed & 2000 disassembled by KLA - 2 units available LV122 Metrology KLA-Tencor UV-1280SE 200 2001 Thin Film Measurement - 3 units available LV125 Metrology KLA-Tencor KLA-2139 200 2000 In-Line Wafer Optical Inspection System LV126 Metrology KLA-Tencor UV-1280SE 200 2001 Thin Film Measurement System LU201 Metrology KLA-Tencor OP 3260 200 1996 Film Thickness Measurement - 2 units LU203 Metrology KLA-Tencor OP 3290 200 2001 Film Thickness Measurement LU204 Metrology KOBELCO LTA-550 200 1991 Lifetime Measuring LR128 Diffusion Kokusai SEC DD-823V 200 T1DD1-50903-6 1996 H2 Anneal LR129 Diffusion Kokusai SEC DJ-823V-8BL 200 DC1-01024 1996 HTO - 5 identical units available LR131 Diffusion Kokusai SEC DJ-823V-8BL 200 DC1-01012 1996 NITRIDE LR148 Thin Film Kokusai SEC DD-823V-8BL 200 DD1-15109-4 1995. 05 N2 Anneal LR149 Diffusion Kokusai SEC DD-823V-8BL 200 DD1-50757-2 1995. 06 PYRO LW107 LP-CVD Kokusai SEC DJ-833V 200 1997 LP-CVD - D-Poly LW108 LP-CVD Kokusai SEC DJ-833V 200 1997 LP-CVD - D-Poly LR135 Diffusion Kokusai SEC DJ-853V-8BL 200 DC3-00269-1 1998. 08 Dopped-Poly LR136 Diffusion Kokusai SEC DD-853V-8BL 200 DD3-15267-2 1996 PYRO (TLC). Totaly refurbished LR137 Diffusion Kokusai SEC DJ-853V-8BL 200 1C853G0089 1997. 09 Dopped-Poly LR138 Thin Film Kokusai SEC DJ-853V-8BL 200 DD1-15248-2 1996 Nitride - 3 units available - Fab-Finder Confidential - May 2016, Page 2 /4 LR141 Diffusion Kokusai SEC DJ-853V-8BL 200 DC1-10635-3 1996 SOG Curing LR142 Thin Film Kokusai SEC DJ-853V-8BL 200 DC1-10634-6 1996 TEOS CVD - 6 units available HMU144 Metrology Kokusai SEC VR-120S 76.2~300 2012 Warehouse Resistivity Test System LE232 Diffusion Kokusai Electric-Hitachi CX-9620 200 Block Controller - 3 units available LE120 Diffusion Koyo Thermo Systems VF-5300B V35X 200 Polyimide Bake Furnace LE121 Diffusion Koyo Thermo Systems VF-5300B V35X 200 Polyimide Bake Furnace LJ140 Strip Lam Research 2300 200 23TM0041 2001 Warehouse Mainframe + Strip chamber EU157 Etch Lam Research 4420 Rainbow 200 Poly Etcher LM116 Etch Lam Research LAM4520 200 Warehouse 200mm Oxide Aniso Clamp - 3 units available LW112 Etch Lam Research R-4400 200 Poly Etcher LL160 Etch Lam Research LAM4520 XL 200 Warehouse Rainbow 4520xl oxide LL161 Etch Lam Research LAM4520 XL 200 Warehouse Rainbow 4520xl oxide LR127 Etch Lam Research Alliance 9400 ICP 200 M8188 1996 POLY/NITRIDE Etch LV127 Metrology Leica INS3300 200 2004 REVIEW STATION LF145 Cleaning Lumax VTC201P-T2 200 Vertical Tube Clean LL162 DIFF Mattson Technology RTP/AST2800 200 Warehouse SHS2800 LW100 Machinery Makino V-99 2007 Treatment size 1800 × 1000 LV116 Back End Micromanipulator Probe station 1 200 EDS LU210 Metrology NANOMETRICS NanoSpec 6100 200 1998 Thickness Measuring LU211 Metrology NANOMETRICS Nanospec 9200 200 2001 Thickness Measuring LU212 Metrology NANOMETRICS Nanospec 9310 200 2008 Thickness Measuring LE238 Litho NEC Machinery DF-484 200 Reticle Casette Washer LS127 Metrology / Nidix OPTIPHOT-88-AC IN with IM-14200 Microscope LS128 Metrology Nikon OPTIPHOT-200BD 200 Microscope LS132 Metrology Nikon Optiphot 300 - Microscope LJ141 Litho Nikon S306C 200 5252024 2003 Cleanroom 193nm Scanner LJ142 Litho Nikon S203B 200 7776066 1999 Cleanroom Scanner DUV LR119 Litho Nikon model i8 200 NIKON-Stepper - NIKON-Stepper LE202 Litho Nikon NSR-S204B 200 Scan Stepper - 4 units available LU213 Litho Nikon NSR-2205EX12B 200 1998 KrF Stepper - 3 units available LU215 Litho Nikon NSR-2205EX14C 200 1998 Stepper - 3 units available LJ143 Laminator NITTO DR8500-11 200 N022G96 1996 Warehouse Laminator LR120 Thin Film Novellus Concept C1 200 580 1992 SiO2/TEOS LE201 Thin Film Novellus Concept C1 200 Plasma CVD(SiON) PKL100 Thin Film Novellus Concept C1 150/200 Dielectric CVD, 6/8" Capable - 2 units available PKL102 Thin Film Novellus Concept C1 150/200 Dielectric W-CVD, 6/8" Capable - 2 units available LU218 Thin Film Novellus C2 Sequel & Speed 200 2001 CVD LU219 Thin Film Novellus Concept 2 Speed 200 2000 CVD LJ144 Thin Film Novellus Speed 200 2000 Warehouse 2 Speed chambers non shrink, no frame LJ145 Thin Film Novellus SABRE CLASSIC 200 00-03CU0026 1998 Cleanroom Electro Chemical Deposition LJ146 Thin Film Novellus Altus 200 1998 Warehouse 2 W deposition, non shrink · PVD Barrier / Damascene Cu seeds LW110 Thin Film Novellus Concept III Inova xT 200 2005 · 4 chambers: Cu, Ta, TaN & PC LW101 Machinery NTC (Komatsu ?) TLV-40B 2007 Laser treatment - size 1,220 × 2,440 LW102 Machinery Okamoto PSG125 Polishing -Table size 20 "× 48" - 7,5kw motor LG116 Grinding Okamoto VG202MKII-8 200/300 10717 2007 running 2 spindles - 1 chuck - centering station and spinner - 4 Substancestations Microscope( Package Appearance LE122 Metrology Olympus SZH-ILLC-2 200 Observation) KLU145 Metrology Olympus MX50 AL110 150/200 Warehouse Microscope - Deinst'ed & wrapped LU221 Metrology OSI Metra-2100M 200 1995 Inspection LJ150 Litho PRI 7000 200 1993-1996 Cleanroom Several wafer Stocker from 58 to 112 positions LJ151 Litho PRI GUARDIAN 200 3260 2001 Cleanroom Reticle Stocker LT111 Metrology RIGAKU XRF3630 200 RR42026 1995 LU222 INSPECTION RIGAKU FXA3640 200 1997 Wafer Inspection EU157 Metrology Rudolph FE-III 200 Focused Ellipsometer - Thickness monitor LE270 Metrology Rudolph FE-IV 200 Thickness Measurement LU223 Metrology RUDOLPH FE-7 200 1997 Ellipsometry LU224 Metrology RUDOLPH FE-7D 200 1995 Ellipsometry LU225 Metrology RUDOLPH S200ETCH 200 2000 Ellipsometry LK164 Metrology SEMILAB MCV 200 In Fab MCV LJ155 Litho SemiTool MAGNUM HF/EG 200 M96264 1997 Warehouse Batch Wafer Processing LJ156 Litho SemiTool MAGNUM HF/EG 200 M95688 1997 Warehouse Batch Wafer Processing LU226 Implant SEN NV-GSDIII-90 200 Implanter LU228 Wet SEZ RST201 200 1997 Spin Etcher - 2 units available LW103 Deposition Showa CGT-10SA - Vacuum evaporation - 10'' diffusion pump EU157 Metrology Sokudo RF3 200 RF3 Developer LU229 METROLOGY SOPRA GESP5 200 2010 Ellipsometry LK167 Metrology SUSS DSM 200 OVERLAY DOUBLE SIDE MEAS EU157 Diffusion SVG VTR 7000 200 VTR 7000-Field/VTR Oxide EU157 Diffusion SVG VTR 7000 200 VTR 7000-Oxide/Densification LK168 Wet SVG SVG90 200 TRACK LJ158 Diffusion SVG VTR 7000 200 V7-237 1994 Warehouse Vertical Hot Pr. Furnace (3 units available) LJ162 Diffusion SVG VTR 7000+ 200 V7B-602 Warehouse Vertical LPCVD Furnace - TEOS capability (6 units 1996 available) LE125 TF Strausbaugh MODEL-6DS-SP 200 SiO2 CMP (4 units available) LG120 Polishing Strausbaugh 6DSSP 200 29 00 200 2003 running 2 load , 2 load/unload stations, 2 polish tables, 2 LJ167 TAKATORI ATRM-2100 200 1048 1996 Warehouse Tapepolish remover heads, 2 slurry lines & tanks LL169 MEMS TECHNOVEN TECHNOVEN 200TC 200 Warehouse 3 units available · 1st analog test LW116 Metrology Teradyne A563-HS 1997 . Camera module measurement LE263 Metrology Therma-Wave ThermaProbe-420 200 Lattice Defects measurement EU157 Metrology Thermawave Optiprobe 2600 DUV 200 Optiprobe 2600 DUV LE253 Litho TKK 5033 200 Microscope LE254 Litho TKK MAC-92MV1 200 Overlay Measurement (4 units available) LE255 Litho TKK MAC-110MV1 200 Overlay Measurement (2 units available) LE256 Litho TKK MAC-110MV1 200 Overlay Measurement - Fab-Finder Confidential - May 2016, Page 3 /4 LU261 Etch TOK TCA-3822 200 1995 Asher - 5 units available LU230 Litho Ltd. ACT 8 (2C2D) 200 2001 Coater & Developer - 6 units available LU236 Litho Tokyo Electron Ltd. ACT 8 (4C3D) 200 2006 Coater & Developer LU238 Litho Tokyo Electron Ltd. MARK-7 200 1993 Coater & Developer LJ168 Litho Tokyo Electron Ltd. MARK7 200 7161028 1996 Cleanroom Resist track system LJ169 Litho Tokyo Electron Ltd. MARK7 200 7161049 Warehouse Polyimide - 2 units (one with Hi viscosity pump & 1996 polyimide cureheated cover) LU239 Litho Tokyo Electron Ltd. MARK-8 200 1997 Coater & Developer - 2 units available PL123 Litho Tokyo Electron Ltd. Mark 8 2C/2D 200 Inline coat/dev for i12 - 3 units available LU241 Litho Tokyo Electron Ltd. MARK-V8 200 1992 Coater & Developer LE216 Litho Tokyo Electron Ltd. Clean Track MARK-8 200 Inline Developper (15 units available) LE292 Litho Tokyo Electron Ltd. Clean Track MARK-8 200 Resist Coater LR102 Litho Tokyo Electron Ltd. Clean Track MARK-8 200 8171224 1997 Litho-Coater-Developer, SMIF type - 3 units available LS116 Litho Tokyo Electron Ltd. Mark8 SMIF 1C2D 200 8181580 2000 Lithography - Coater/Developer LV102 Litho Tokyo Electron Ltd. Mark8 1C1ARC C 200 TRACK 2005 200mm, 5Road port, 5Coater, 5 Develop, EFEM, LT115 Litho Tokyo Electron Ltd. LITHIUS 200 G250234 IRAS Robot LR100 Diffusion Tokyo Electron Ltd. Alpha 8 SE 200 1999 NO-GATE LR101 Diffusion Tokyo Electron Ltd. Alpha 8 SE 200 M00000175458 2001 High Temp Pyro LR109 Diffusion Tokyo Electron Ltd. Alpha 8 SE 200 M00000645044 2006 FURNACE-LP CVD LJ171 Diffusion Tokyo Electron Ltd. ALPHA 8S CVF 200 A00009835229 1998 Warehouse Vertical LPCVD Furnace, Nitride LW104 Diffusion Tokyo Electron Ltd. Alpha 801D 200 - High temperature - VDF diffusion LW105 Diffusion Tokyo Electron Ltd. Alpha 801D 200 - High temperature - VDF diffusion LR113 Diffusion Tokyo Electron Ltd. Alpha 805 SCN 200 1994 NITRIDE LR114 Diffusion Tokyo Electron Ltd. Alpha 808 SC 200 1995 NITRIDE LR115 Diffusion Tokyo Electron Ltd. Alpha 808 SC 200 A00009550081 1994 TEOS LR116 Diffusion Tokyo Electron Ltd. Alpha 808 SC 200 A00009610053 1995 TEOS LE262 DIFF Tokyo Electron Ltd. Alpha 808SC 200 LP-DOPOS (7 units available) LR117 Diffusion Tokyo Electron Ltd. Alpha 808 SCN 200 1996 D-POLY PL127 Diffusion Tokyo Electron Ltd. Alpha 808SD 200 Wet Ox PL129 Diffusion Tokyo Electron Ltd. Alpha 808SD 200 High Temp Anneal PL128 Diffusion Tokyo Electron Ltd. Alpha 808SDN 200 High Temp Anneal LW106 Diffusion Tokyo Electron Ltd. MB2-730 200 1996 Metal CVD LE231 Diffusion Tokyo Electron Ltd. (Ask for details) 200 Bank Controller (4 units available) LE243 PVD Tokyo Electron Ltd. UNITY-EP 200 TiN/Ti-CVD (3 units available) LU251 CVD Tokyo Electron Ltd. Unity-CVD 200 2003 Plasma CVD System LU249 Etch Tokyo Electron Ltd. TE 8500(S)ATC 200 1995 Oxide-Etcher LU250 Etch Tokyo Electron Ltd. TE 8500ATC 200 1995 Oxide-Etcher LU252 Etch Tokyo Electron Ltd. Unity II-855II 200 1996 Oxide Etcher - 2 units available LU254 Etch Tokyo Electron Ltd. Unity IIe-655II 200 2002 Oxide-Etcher - 5 units available LU259 Etch Tokyo Electron Ltd. UnityIIe-855PP 200 2001 Oxide-Etcher LU260 Etch Tokyo Electron Ltd. UnityIIe-855SS 200 2000 Oxide-Etcher LE247 Etch Tokyo Electron Ltd. UNITY-IEM 200 OX Dry Etcher (5 units available) LE248 Etch Tokyo Electron Ltd. TE-8500P ESC 200 OX Dry Etcher (6 units available) LE249 Etch Tokyo Electron Ltd. TE-8401 200 Si Dry Etcher (4 units available) PL115 WET/CMP Tokyo Electron Ltd. UW-8000 200 Acid Strip F2WR01 - WPA3R3 PL116 WET/CMP Tokyo Electron Ltd. UW-8000 200 Oxide Etch F2WO01 - WAO3E3 PL117 WET/CMP Tokyo Electron Ltd. UW-8000 200 Nitride Etch - H2WN01 - WNI3N2 LU242 TEST Tokyo Electron Ltd. P-8XL 200 2000 Full Auto Prober - 7 units available LE267 CMP TOKYO SEIMITSU Surfcom 590A-64 200 Wafer Surface measurement LE268 CMP TOKYO SEIMITSU Surfcom 578A 200 Wafer Surface measurement LE273 CMP TOKYO SEIMITSU E-MF1000-100 200 Wafer Thickness measurement LE234 Implant Ulvac EVTT-500D 200 I/S degas unit LF175 Metrology Veeco VX 200 Depth Measurement LT105 Implant Varian E500HP 200 VKL6005 1995 Medium Current Implanter - 3 units LU268 Implant Varian EHP-500 200 1998 Medium Current Ion Implanter LT106 Implant Varian Varian Vista80 200 137052 2001 Medium Current Implanter LL172 Metrology Viscom IR Ctrl System 200 Warehouse IR Ctrl System LK110 Diffusion Wafermasters SAO200-LP 200 Copper Annealing Oven - Used for R&D LE260 Diffusion Wafermasters SRTF200-LP 200 Single wafer low temp. RTA (2 units available) LE226 Diffusion Wafermasters SBAO-200 200 5 wafer RTA LT101 CVD Watkins-Johnson WJ-TEOS (999-3.2) 200 4953 1993 3-Chamber/ENI Generator/Wide body LJ180 CMP Westech 372M 200 57 1993 Warehouse Polishing - 3 units available EU157 Wet/CMP Westech 472 CMP 200 472 CMP; Tungsten: 200mm LV103 Thin Film Wonik IPS Helios 8500 200 Thin film, PV applications LE242 Laboratory Yamato DP32 200 Vacuum Oven - Calibration & tester diagnostic box (TS1000) LW118 Metrology Yokogawa TS1000 1995 - Add'al boards & cables avail. (ref.LW117) LE222 Litho YUASA SR-8075 200 inline Developper LS125 Metrology Zeiss Axiotron 200 Microscope LM126 Metrology Zeiss AXIOSPRINT 200 Warehouse Inspection System - 3 units available LM163 R&D OEM Thermal Evaporation 200 Warehouse THERMAL EVAPORATION

Metrology, Others HMU130 Metrology Kokusai Electrics VR-120S 76.2~300 2012 Warehouse Resistivity Test System ~500 meters of tracks, turntables, curves,loading LJ152 Fab. PRI Brooks Aerotrack, 1/4'', model N/A AT200 stations…

- Fab-Finder Confidential - May 2016, Page 4 /4