<<

University of South Florida Scholar Commons

Graduate Theses and Dissertations Graduate School

November 2020

Controlling Properties of Light: Metamaterials Design and Methodology

Darrick Hay University of South Florida

Follow this and additional works at: https://scholarcommons.usf.edu/etd

Part of the Other Education Commons

Scholar Commons Citation Hay, Darrick, "Controlling Properties of Light: Metamaterials Design and Methodology" (2020). Graduate Theses and Dissertations. https://scholarcommons.usf.edu/etd/8546

This Dissertation is brought to you for free and open access by the Graduate School at Scholar Commons. It has been accepted for inclusion in Graduate Theses and Dissertations by an authorized administrator of Scholar Commons. For more information, please contact [email protected]. Controlling Properties of Light: Metamaterials Design and Methodology

by

Darrick Hay

A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor of Philosphy in Applied Physics Department of Physics College of Arts and Sciences University of South Florida

Co-Major Professor: Zhimin Shi, Ph.D. Co-Major Professor: Jiangfeng Zhou, Ph.D. Libin Ye, Ph.D. Andreas Muller, Ph.D. Jing Wang, Ph.D.

Date of Approval: October 23, 2020

Keywords: Metamaterials, Chirality, CPA, Polarization, Gratings

Copyright c 2020, Darrick Hay Dedication

To my wife Leann. You have always been there to give me motivation, console me when something didn’t work, and celebrate when it did. Without you, none of this would have been possible. To my family, for always being there when I needed you. Acknowledgments

An undertaking like this is never truly the work of a single person. The list of people who have con- tributed to my work as a graduate student could more than fill a page, and I apologize if I don’t mention you by name. Know that I am forever grateful to all those that have helped, from just friendly conversation all the way to technical trainings, it has all helped shape my work. I’d first like to acknowledge the help and support given by my advisor, Dr. Zhimin Shi. Thank you for all of the interesting conversations, difficult questions, criticisms, and yes even your ruthless attention to detail. Your dedication to teaching and mentoring have shaped me into the scientist I am today. Thank you for inspiring a curiosity for optics that will last a lifetime. I’d like to thank Dr. Jiangfeng Zhou for accepting the role of co-major professor and for the discussions about metamaterials. I have a deeper understanding of device performance from our conversations. I’d like to thank the committee, Dr. Jing Wang and Dr. Andreas Muller for serving in these roles to make my dissertation of exceptional quality. Thank you to all my fellow graduate students. Corisa, Jeremy, Sookie, Ziyi, and Han, I cherish all of our conversations. You have kept me sane over the last several years. I’d like to acknowledge the support of Dr. Gerald Woods. Thank you for the opportunity to teach stu- dents who share the same love of physics that I do. Teaching these students allowed me to grow profession- ally and has enabled me to mentor future engineers and physicists that will make a meaningful contribution to society. I’d like to acknowledge all of the helpful staff at the NREC center: Robert Tufts, Richard Everly, Dr. Jay Bieber, Dr. Yusef Emirov and Sclafani Louis-Jeune, for their training, suggestions and help while I was developing the fabrication process for these metamaterials and other projects. I’d like to acknowledge the faculty within the Physics Department at USF for enabling me to accomplish this great task. The courses were stimulating and prepared me for work in industry. Last, but certainly not least, I would like to thank the Physics Department staff. Daisy, Jimmy, James, and Bobby, without you guys we would all be lost and overwhelmed. Table of Contents

List of Tables ...... iii

List of Figures ...... iv

Abstract ...... vi

Chapter 1 An Introduction to Metamaterials ...... 1 1.1 Fundamental Electromagnetic Response of Materials ...... 2 1.2 Negative Index Media: The Start of Modern Metamaterials ...... 5 1.3 Current State of Metamaterials ...... 8 1.4 Structure of this Thesis ...... 9

Chapter 2 EUV Absorber ...... 10 2.1 Current and Next Generation Lithography ...... 10 2.2 Extreme Lithography and its Current Challenges ...... 12 2.3 EUV Materials, Multilayer Reflectors, and Absorbers ...... 14 2.4 Numerical Investigation of Nanoparticle Composite Absorber ...... 17 2.5 Application of Effective Medium Theory and Transfer Matrix Method ...... 22 2.6 Method for Optimizing Nanocomposite Absorbers ...... 23 2.7 Effects of Thinner Absorbers on the Lithography Process ...... 23 2.8 Conclusion ...... 26

Chapter 3 Chiral Metamaterials ...... 28 3.1 Introduction to Chiral Materials and Metamaterials ...... 28 3.2 90◦ Polarization Rotator in THz Regime ...... 31 3.2.1 Physical Mechanism Causing Chirality ...... 34 3.2.2 Feasibility of Fabrication ...... 35 3.3 Fabrication ...... 45 3.3.1 Preparation ...... 47 3.3.2 Spin Coating Polyimide ...... 47 3.3.3 Lithographic Patterning Process ...... 50 3.3.4 Electron Beam Evaporation ...... 51 3.3.5 Lift-Off of Photoresist ...... 52 3.4 Fabricated Samples ...... 52 3.5 Summary and Future Studies ...... 53

Chapter 4 Coherent Perfect Absorption in Transversely Isotropic Chiral Metamaterials ...... 56 4.1 Active Metamaterial Control: Coherent Perfect Absorption ...... 56 4.2 2-Port Scattering Matrix Theory ...... 58

i 4.2.1 Application of Geometric Symmetry for Transversely Isotropic Chiral Metamaterials ...... 62 4.2.2 Coherent Perfect Absorption in 4-fold Symmetric Chiral Materials ...... 66 4.3 CPA in 4-Fold Symmetric Chiral Metamaterial in the THz ...... 70 4.3.1 Simulation of Chiral Metamaterial in Circular Polarization Basis ...... 70 4.3.2 Coherent Perfect Absorption and Interferometric Polarization Control . . . . 71 4.4 Summary and Future Work ...... 74

Chapter 5 Coherent Polarization Control Without Loss ...... 75 5.1 Background on Coherent Polarization Control Methods In Optical Regime ...... 75 5.2 2-Port Scattering Matrix Theory For Mirror Symmetric Structures ...... 76 5.3 Coherent Polarization Control in Loss-free Media ...... 78 5.3.1 Reconfigurable Coherent Polarization Beam Splitting ...... 79 5.3.2 Coherent Linear Polarization Rotation ...... 81 5.4 Simulating Subwavelength HICM Gratings Using RCWA ...... 83 5.4.1 Simulation Settings and Material Properties ...... 86 5.4.2 Parametric Study Results ...... 87 5.4.3 Broadband Coherent Polarization Control ...... 90 5.5 Field Profile Analysis ...... 98 5.6 Effective of HICM Gratings ...... 100 5.6.1 Using Bloch Theorem to Calculate Effective Material Properties ...... 102 5.6.2 Refractive Index Retrieval ...... 103 5.7 Summary ...... 107 References ...... 109

Appendix A Transfer Matrix Method ...... 127 A.1 Background on Transfer Matrix Theory and Electromagnetics Primer ...... 127 A.2 Case 1: Transverse Electric Field ...... 129 A.3 Case 2: Transverse Magnetic Field ...... 135

Appendix B Rigorous Coupled Wave Analysis ...... 140 B.1 Background on Rigorous Coupled Wave Analysis ...... 140 B.2 Case 1 Transverse Electric Polarized Fields ...... 142 B.2.1 Region I ...... 142 B.2.2 Region II ...... 144 B.2.3 Grating Region ...... 145 B.2.4 Relating the Fields using Boundary Conditions ...... 148 B.3 Case 2 Transverse Magnetic Polarized Fields ...... 150 B.3.1 Region I ...... 151 B.3.2 Region II ...... 151 B.3.3 Grating Region ...... 152 B.3.4 Relating the Fields using Boundary Conditions ...... 153

Appendix C Copyright Permissions ...... 155

ii List of Tables

Table 1 Spin Speeds of Polyimide (PI-2525) layers...... 49 Table 2 Spin Speeds of photoresist materials LOR 10B and AZ5214...... 50 Table 3 The simulation ranges and sampling intervals used in RCWA simulations...... 86

iii List of Figures

Figure 1 Diagram showing ε vs. µ and what typical materials fall within each region...... 5 Figure 2 Diagram showing all reflective optics of EUV system and proposed nanocomposite absorber...... 13 Figure 3 Schematic diagram describing the shadowing effect...... 14 Figure 4 Reflectivity spectra comparing ML reflector, and pure Ni and TaN absorbers...... 16 Figure 5 Plot of the complex index of refraction of materials at 13.5 nm ...... 16 Figure 6 Reflectivity spectra for all Ni-NP sizes simulated as well as the standard deviation. . . . . 19 Figure 7 Average reflectivity spectra of each Ni-NP compared with EMT and TMM method. . . . . 20 Figure 8 Farfield scatter pattern for an absorber with 2 nm, 7 nm, and no NP inclusions...... 21 Figure 9 TMM calculated reflectivity as a function of absorber thicknes and fill fraction of Ni. . . . 24 Figure 10 Calculated imaging performance of the Ni-TaN nanocomposite absorber...... 26 Figure 11 Schematic diagram demonstrating the definition of chirality...... 28 Figure 12 Schematic diagram of the chiral metamaterial unitcell and sandwich structure...... 32 Figure 13 Reflection and transmission for the chiral metamaterial for linearly polarized inputs. . . . 33 Figure 14 Spatial distribution of magnetic field within the CMM for fixed x and y coordinates. . . . 36 Figure 15 Spatial distribution of magnetic field within the CMM for fixed z coordinate...... 37 Figure 16 CMM reflection and transmission coefficients vs. size of wire width, gap, and cap thickness...... 39 Figure 17 CMM reflection and transmission coefficients vs. wire length and spacer thickness. . . . 40 Figure 18 Cross-polarization purity as a function of CMM geometric feature sizes...... 41 Figure 19 Schematic diagram depicting layer misalignment and random line edge roughness. . . . . 43 Figure 20 Reflected and transmitted intensity as a function of layer misalignment...... 44 Figure 21 CMM reflection and transmission calculated for 5 simulations of line edge roughness. . . 46 Figure 22 Schematic diagram representing the fabrication process for the chiral metamaterial. . . . 48 Figure 23 Microscope image of fabricated CMM...... 53 Figure 24 Schematic diagram presenting the process steps substrate removal using DRIE...... 54 Figure 25 Schematic diagram representing THz Time-Domain Spectroscopy experiment...... 55 Figure 26 Schematic diagram representing the process of Coherent Perfect Absorption...... 57

iv Figure 27 Schematic showing the 2-port scattering process in circular polarization basis...... 58 Figure 28 Theoretical output intensity for CPA for various material phase response conditions. . . . 69 Figure 29 Schematic diagram of chiral metamaterial capable of achieving CPA...... 71 Figure 30 Reflection and transmission calculated for CMM in the circular polarization basis. . . . . 72 Figure 31 Output intensity and polarization of the CMM for a horizontally polarized input beam. . . 73 Figure 32 Schematic diagram of 2-port scattering in a linear polarization basis...... 77 Figure 33 Theoretical response of an anisotropic material under the coherent control scheme. . . . . 80 Figure 34 Schematic representation of subwavelength HICM grating...... 84 Figure 35 Parameter scan results for gratings with CPBS response without any bandwith constraint...... 89 Figure 36 Geometric Parameter scan of grating response using CPBS conditions...... 91 Figure 37 Reflection and transmission for a grating demonstrating broadband CPBS response. . . . 93 Figure 38 Geometric Parameter scan of grating response using CPR conditions...... 95 Figure 39 Calculated performance for a grating demonstrating broadband CPR response...... 97 Figure 40 Magnetic field profile within select gratings demonstrating broadband coherent control...... 99 Figure 41 Refractive indices needed for coherent control, and index calculated from Bloch theorem...... 102 Figure 42 Retrieved refractive index using reflection and transmission coefficients...... 106 Figure 43 Reflection and transmission for gratings where refractive index retrieval fails...... 108 Figure A.1 Schematic diagram showing reflection and transmission from and interface for TE waves...... 129 Figure A.2 Schematic diagram describing phase considerations within a medium...... 131 Figure A.3 Schematic diagram showing reflection and transmission from and interface for TM waves...... 136 Figure B.1 Schematic representation of diffraction from a binary grating...... 141

v Abstract

Electromagnetic metamaterials are materials that have designed electromagnetic properties. In general meta- materials are subwavelength and can be treated as homogeneous media with an effective permittivity and permeability. Early metamaterial designs were developed to exhibit electromagnetic responses not typi- cally found in naturally occuring materials, but this is not a strict requirement. Metamaterials have shown promise for applications throughout the . The goal of this work is to present a coherent methodology for metamaterials design, fabrication and application while also describing all of the relevant physics of the designed metamaterials.

Chapter 1 is comprised of an introduction into the field of metamaterials. A description of the devel- opment of metamaterials from the intial works investigating media with a negative index of refraction to current investigations into actively controlled metamaterials is presented. Further, a general description of the physics of early metamaterials and the concepts used in their design is discussed.

In Chapter 2, a metamaterial composed of a nanocomposite slab designed for application as a photomask absorber in Extreme-Ultraviolet (EUV) Lithography is presented. Rigorous computational simulations are performed to calculate the electromagnetic response of the nanocomposite and the results for various sized nanoparticle inclusions are compared. It is further demonstrated that the material’s enhanced response com- pared with a single-element-based absorber is due to increased absorption caused by the nanoparticle inclu- sions. An effective medium theory is then combined with a transfer matrix method to rapidly calculate the electromagnetic response of the nanocomposite metamaterial as a function of absorber thickness and volume of nanoparticle inclusions. Last, the lithography process is simulated for the metamaterial nanocomposite absorber simulations and it’s performance in reducing the bias required for horizontal and vertical printed features is discussed.

Chapter 3 introduces a planar chiral metamaterial that is proposed for use in the THz regime as a polar- ization rotator. It is demonstrated through simulations that the proposed metamaterial is capable of rotating a linearly polarized input beam by 90◦. Further, inductive coupling between cut-wire pairs in adjacent layers is

vi shown to be responsible for the giant optical activity demonstrated by the metamaterial. A study is presented on the metamaterial’s electromagnetic response as a function of geometric feature size of the design com- ponents and the effects of common fabrication errors. The electromagnetic response of the metamaterial is shown to be robust against fabrication imperfections. Last, a fabrication process using common microlithog- raphy techniques is presented and demonstrated. Fabricated metamaterial samples are then presented and future studies into the metamaterial are discussed.

Chapter 4 describes the application of the interferometric control method, Coherent Perfect Absorption

(CPA), to 4-fold rotationally symmetric chiral media. A thorough derivation is provided to describe the controllable output from a 2-port scattering process involving such a chiral material. It is shown that the chiral metamaterial described in Chapter 3 is a suitable 4-fold rotationally symmetric chiral media that can be used in the CPA control scheme. The performance of the metamaterial under the CPA scheme is calculated and it is shown that selective absorption of left and right-handed circularly polarized light can be achieved.

As a result, the chiral metamaterial is shown to demonstrate active control of the output polarization state when illuminated under the CPA control scheme.

In Chapter 5, the coherent control interferometric method is applied to loss-free dielectric anisotropic media. It is shown that a material with suitable anisotropy has two potential applications: coherent po- larization beam splitting and active control of the output polarization state. A subwavelength High-Index-

Contrast (HICM) Metastructure grating is then presented as a candidate with suitable geometric symmetries to achieve these responses. A robust scan of the grating geometric features using Rigorous Coupled Wave

Analysis is performed to find structures that can achieve the anisotropy required to demonstrate coherent control functionalities. A study of the field response within the grating structures under a single input beam is analyzed to understand physical mechanism responsible for the HICM grating response. Last, a rapid design process using an effective index of refraction calculated by a Bloch theorem is presented and a re- fractive index retrieval method is then applied to calculate the effective index of refraction of the anisotropic media for comparison.

Appendix A and B are comprised of mathematical formulations for some of the simulations used in each of the chapters of this dissertation. Appendix A describes how to formulate the transfer matrix method using

Maxwell’s Equations and describes all of the relevant assumptions and physics for both the Transverse-

Electric (TE) and Transverse-Magnetic (TM) cases. Appendix B provides a mathematical derivation of

vii Rigorous Coupled Wave Analysis for both the TE and TM cases and describes all of the relevant assumptions and physics used in it’s development and computational programming.

viii Chapter 1 An Introduction to Metamaterials

The development and study of optical components has a long history that dates at least as far back as Ancient Greece. Early philosophers, such as Euclid, postulated the very fundamental observations of geometric optics, such as the law of reflection, of which we are all now familiar [1]. The purpose of an optical component is to modulate the properties of light, e.g. an electromagnetic wave’s amplitude, phase and polarization, that it interacts with. The manipulation of the amplitude, phase or polarization of light typically requires propagation through a relatively thick medium where the light will undergo refraction, attenuation or amplification. For example, a lens serves to bend light towards or away from a focal point due to refraction at the curved interfaces of the lens. However, lenses can be particularly bulky and for large applications, such as the lighting used in lighthouses, the size can be prohibitive. Fresnel first proposed and demonstrated that the same focusing effect of a lens can be achieved by a glass ground in concentric circular grooves which replicates the phase response of a lens [2]. As applications become more demanding, requiring ever smaller optical elements to be integrated into optical systems, the search for new devices that can continue to achieve these standard optical responses or even more exotic responses are required. It is this search for miniaturized devices as well as the search for new responses that have given rise to a new sub-field of study: metamaterials. The study of metamaterials has matured over the past two decades, finding an audience in many different fields of physics. In fact, the field has grown so diverse that the definition of what constitutes a metamaterial has varied over time and by group. A metamaterial is a man-made material that has a designed electromag- netic response that is typically not observed or that is not easily achievable in naturally occurring materials. This may be considered an oversimplification, but given the large array of applications and devices cre- ated, I feel it is warranted. Further, this dissertation will focus solely on metamaterials designed for their electromagnetic response, but as previously stated metamaterials have infiltrated nearly every field. The electromagnetic response of a metamaterial is determined by both the designed subwavelength structures within unit cells, also known as meta-atoms, and the innate electromagnetic responses of the constituent materials with which the meta-atoms are composed [3, 4]. The subwavelength unit cells are typically ar-

1 ranged in a periodic fashion, but non-periodic structures (e.g. randomly distributed) are possible [3]. Futher, metamaterials can be 3-dimensional, but they may also be 2-dimensional, where they are typically referred to as metasurfaces. The goal of this chapter is to give a brief background on metamaterials and the previous works that have been done to date. Further, a brief explanation is given to explain fundamental electroma- gentic responses of materials and how early works in metamaterials described the extraordinary response their materials were able to achieve.

1.1 Fundamental Electromagnetic Response of Materials

In general, a material’s electromagnetic response is governed by the arrangement of its atomic lattice and the distribution of electrons within the medium. In dielectrics for example, the presence of an external electric field drives electrons away from their constituent nucleus and results in the formation of dipoles of atoms or molecules [5]. The microscopic field surrounding atoms is generally very complex, therefore the optical properties of a material is generally described according to its macroscopic response, where the atomic or molecular polarizability is averaged over large portions of the material [5]. This concept naturally extends to the material’s response to an electromagnetic wave. A material’s response to an electromagnetic field can be described by Maxwell’s equations for a source free medium:

∇~ · D~ = 0 (1.1a), ∇~ · B~ = 0 (1.1b), ∂B~ ∂D~ ∇~ × E~ = − (1.1c), ∇~ × H~ = (1.1d), ∂t ∂t

~ ∂ ∂ ∂ ~ ~ where ∇ = xˆ ∂x + yˆ ∂y + zˆ ∂z is the vector spatial differential operator, D is the electric displacement vector, E is the electric field vector, H~ is the magnetic field vector, B~ is the magnetic flux density vector. In general for linear media, the following constitutive relations hold:

D~ = ε0E~ + P~, (1.2a)   B~ = µ0 H~ + M~ , (1.2b)

where ε0 is the permittivity of free space, µ0 is the permeability of free space, P~ is the polarization, and M~ is the magnetization. For linear isotropic media and for electric fields that are considered small, the

2 polarization is proportional to the applied electric field:

P~(ω) = ε0χe(ω)E~(ω), (1.3)

M~ (ω) = χm(ω)H~(ω), (1.4)

where χe is the electric susceptibility, χm is the magnetic susceptibility of a material, and ω is the angular of an electromagnetic wave interacting with the medium. The susceptibility is in general a tensor that describes a materials microscopic response to the electric field in each direction. However, for linear isotropic homogeneous media the χe tensor is reduced to a single quantity, and this is assumed in Eq.(1.3) and in the remainder of the text unless otherwise noted. A similar argument can be expressed for the Magnetization, M~ , and the magnetic field, H~. Therefore, the constitutive relations defined in Eqs. 1.2 can be reduced into the following forms:

D~(ω) = ε˜(ω)E~(ω), (1.5a)

B~(ω) = µ˜(ω)H~(ω), (1.5b)

whereε ˜(ω) = ε0 (1 + χe(ω)) = ε0ε˜r(ω) is the permittivity of the medium andµ ˜(ω) = (1 + χm(ω)) = µ0µr(˜ω) is the permeability of the medium. For convenience the explicit frequency dependence will be dropped in future equations, but it should be assumed. It is important to note here thatε ˜r andµ ˜r are the relative permittivity, also known as the dielectric constant, and relative permeability of the medium, respectively. Further, the tilde aboveε ˜ andµ ˜ indicate that it is a complex number. Materials typically do not respond fast enough to display magnetic effects when the exciting electromagnetic wave has a frequency near the optical regime, and therefore in this regime µr = 1. A material’s electromagnetic response is innately frequency dependent and is generally referred to as dispersion. Dispersion is ultimately an effect of causality [6]. A material’s electromagnetic response is dependent on its current state as well as its previous state in time, therefore a phase delay inherently exists between an exciting field and the re-radiated one. There are many models that exist to describe a materials electromagnetic properties, i.e. ε and µ. Arguably one of the most fundamental dispersion formulas was developed by H.A. Lorentz [7], who proposed a basic damped oscillator model to describe a material’s response. The model is simple in its concept and construction, but the results are surprisingly good at describing many fundamental non-metallic materials. The model considers an electron as bound to an atom in the presence of an electromagnetic wave similar to a small mass connected to a large mass by a spring in a damped driven system. By considering

3 this system, the permittivity can be described using [8]:

2 ωp ε˜ (ω) = 1 − , (1.6) r 2 − 2 ω ω0 + iγω

2 2 where ω is the angular frequency of the applied electromagnetic wave, ωp = Ne /ε0m is the fre- quency, N is the number density of electrons, e is the electronic charge, m is the electronic mass, ω0 is a resonance frequency, and γ is the damping coefficient. This equation fundamentally represents a single reso- nance material that is defined by a single electronic resonance. A similar expression exists that is capable of including an arbitrary number of electronic resonances, which allows the description of more complicated materials. The Lorentz model, or some variation of it, is used to describe semiconductor or dielectric mate- rials since it considers electrons that are bound to a heavy nucleus. An alternative model must be considered in order to describe materials such as metals, whose electrons are more loosely bound. The Drude dispersion model is used to describe the electromagnetic response of metals. In this model, electrons are considered to exist in an ’electron gas’ that is not bound to any particular charged nucleus. It turns out that this assumption is fairly reasonable as the model can be applied to many metals. Similar to the Lorentz model, the Drude model considers the displacement of an electron within and electric field and ignores any magnetic effects. The major change in this model is that there is no ’restoring force’ from the charged nucleus and therefore that term is dropped from the derivation. The Drude dispersion model is given by [8]: 2 ωp ε˜ (ω) = 1 − , (1.7) r ω2 − iωΓ

2 2 where once again ωp = Ne /ε0m is the plasma frequency, and Γ is the damping coefficient. Both the Lorentz and Drude models are helpful in understanding the fundamental responses of the materials used in the construction of metamaterials, and can be a useful guide to understanding their response. As one can see from the discussion so far, a material’s response to an electromagnetic field can be de- scribed by their effective permeability and permittivity, particularly for linear homogeneous media. Since many metamaterials are sub-, they are typically considered homogeneous media that can be de- scribed as possessing effective macroscopic material properties that are determined by the response of their sub-wavelength geometries rather than the fundamental response of atoms. A major goal of the metamate- rials community is to design the effective permeability and permittivity of a material to achieve any desired electromagnetic response. In general, the material response of all materials can be described using the plot

4 Re (μ r) Quadrant 2 Quadrant 1

Electric Plasmas Dielectrics (Metals)

ε < 0 μ > 0 ε > 0 μ > 0 r r r r Re (ε r) εr < 0 μr < 0 εr > 0 μr < 0

Left-Handed Magnetic Plasmas Media

Quadrant 3 Quadrant 4

Figure 1: Diagram showing ε vs. µ and what typical materials fall within each region. shown in Fig. 1. As one can see, any material will fall within 1 of the 4 quadrants of the Re(ε) vs. Re(µ) graph [3, 9]. Quadrant 1 and 2 are generally the most populated quadrants as they are filled with commonly encoun- tered materials. Quadrant 1 is composed of dielectric materials. Materials generally fall below the light line because for most materials Re(εr) > 1 and Re(µr) = 1, particularly for higher like those in the op- tical regime. Quadrant 2 is the electric plasma quadrant that is composed of metals, generally in the optical frequency regime. In the regime, metals have a negative real part of the permittivity below their plasma wavelength. Quadrant 4 is composed of magnetic plasmas. Magnetic materials at frequencies below the GHz range may fall into this category, but in general natural materials do not typically fall into this region for higher frequencies [10]. Quadrant 3 is arguably the most interesting case. In Quadrant 3 the real part of both the permittivity and permeability are negative, i.e. Re(ε) < 0 and Re(µ) < 0. From this point on in the thesis the tilde will be dropped, but it should be always assumed that the permittivity and perme- ability are complex-valued. Quadrant 3, is the so-called "left-handed" material regime, where materials will effectively have a negative index of refraction [3, 9]. Before the metamaterials boom, it was considered that materials could not exist within this Quadrant as they had not been observed to naturally occur.

1.2 Negative Index Media: The Start of Modern Metamaterials

It is important to note that materials with exotic electromagnetic properties are not new. What could arguably be called the first metamaterial is the Lycurgus cup [11], a Roman cup that was found to be composed of ruby embedded with metal nanoparticles. The effect of these nanoparticles causes the cup to appear

5 when light reflects off of the surface, but when light is transmitted through the cup. Although random in its design, it functions as an effective medium whose electromagnetic properties can be easily described using an effective medium theory. Other materials that could also be described as metamaterials or similar in principle have also been developed before the boom of the metamaterials field. These materials include photonic crystals [12], artificial dielectrics [13, 14], and frequency selective surfaces [15]. Further, research in the field of and millimeter-waves, e.g. the development of patch antennas, has inspired work in metamaterials [4, 16]. One of the most cited works in the field of metamaterials is the study presented by Veselago in which he proposed that ’left-handed’ materials would allow the phase front of an electromagnetic wave to propagate in a direction opposite of the flow of the wave. In order to achieve the ’left-handed’ effect, a material would have to possess both a negative Re(ε) and Re(µ) [17]. Fundamentally, Veselago proposed that a medium with simultaneously negative ε and µ would exhibit a negative index of refraction, although he specified that no material at the time had exhibited this behavior. It is important to point out that there exists research that have taken sharp criticism of the early works of metamaterials and in particular the idea that any material could exhibit negative refraction [18, 19]. Despite these criticisms, the early work in metamaterials was undoubtedly inspired by Sir John Pendry in the late 1990’s. Pendry’s first foray into what would be considered a metamaterial showed that a periodic array of metallic wires could support an electric resonance that resulted in a negative permeability in the GHz frequency range [20, 21]. He further argued that this effective material resulted in a shift in the plasma frequency, i.e. the natural resonance frequency of the metal wires, due to the confinement of the electrons to wires and the arrangement of the wires. Later, Pendry proposed a subwavelength periodic array of Split-Ring Resonators (SRRs) that demonstrated a magnetic resonance, also in the GHz range [10], and that a slab medium that possesses a negative index of refraction could function as a perfect lens [22]. The resonance frequency of each of these structures could easily be designed by choosing carefully the geometric parameters of the structures. Pendry proposed that the permittivity of the wire grid array, arranged such that the wires are parallel to the electric field oscillation for an incident plane wave, could be described using a reformulated Drude model [21]:

2 ωp,eff ε˜ (ω) = 1 − , (1.8) r 2 ω − iΓeffω

6 where ωp,eff is the effective plasma wavelength of the medium and Γeff is an effective damping coefficient. Further the effective plasma wavelength is given by:

2 2 neffe ωp,eff = , (1.9) ε0meff

2 2 2 πr µ0r e n where neff = n a2 is the effective electron density, meff = 2 ln(a/r) is the effective electron mass, n is the electron density of the metal, r is the radius of the wires, a is the transverse size of the periodic unitcell. As one can see from Eqs. 1.8 and 1.9, the effective permittivity is highly dependent on the subwavelength geometric structures as well as the materials used. Similarly, Pendry showed that the effective permeability of Split-Ring Resonators could be described with a Lorentzian dispersion formula which was also dependent on the subwavelength geometry of the unit cell and the innate material properties [10]. It is important to note that these two works demonstrate two very key aspects of metamaterials: subwavelength unit cells and the capability of being described as a homogeneous medium with effective macroscopic electromagnetic properties. With this first foray into materials that could be designed to have both negative ε and µ, Pendry proposed that a combination of these materials could result in a Veselago medium that possessed simultaneously neg- ative ε and µ. Shortly thereafter D.R. Smith, among others, successfully demonstrated that the combination of the SRR structure with the wire grid array resulted in a material that possessed both a negative ε and µ in the GHz [23, 24]. Further, it was experimentally demonstrated that these materials exhibited a negative in- dex of refraction in the GHz range at their resonance frequency through simple Snell’s Law based refraction measurements [25–27]. These initial works were followed up with more studies in the field of negative index media [28, 29]. Although metamaterials were initially demonstrated in the regime, in general metamaterials can scale to other frequencies with a suitable scaling of the unit cell geometry. Eventually negative index of refraction was demonstrated in the optical regime using wire pair structures and fishnet geometries [30,31]. Subsequently, an alternative route to negative index of refraction through chirality was theoretically investi- gated by Pendry [32]. It was eventually demonstrated both in rigorous electromagnetic simulations as well as in experiment [33,34]. The discovery of negative index media and the flood of research into metamaterials that exhibited this interesting phenomena led to a burst of research in the field of metamaterials. The concept of invisibility cloaks emerged [35–37] wherein structures could be hidden by designing a suitable material with a spatially variant permittivity and permeability. It was readily realized that materials that were theorized as invisibility cloaks could not be found in nature and therefore must be designed.

7 Inspiration from the works in negative index materials and the discovered ability to design effective ε and µ led many to seek a solution with metamaterials [38, 39]. Although many early works focused mainly on theoretical designs, the ability to cloak an object, at least on a small scale, has been experimentally demonstrated with the use of metamaterials [40, 41]. The topic of invisibility cloaking took the world by storm, and led to a slew of other investigations into metamaterials.

1.3 Current State of Metamaterials

Following on the heels of electromagnetic cloaking research, much of field searched for other exotic be- haviors. Interestingly, research into "Perfect Absorbers" was prevalent as the field searched for potential applications [42–45]. Around this same time THz technology was also in its infancy and faced many tech- nological problems. In this region, many materials are opaque and lossy which makes them relatively useless for applications [46]. In particular, the use of non-reflective optics requires the use of thick components that can lead to high beam loss. The development of THz devices became a major focus for many groups, and it resulted in the development devices such as perfect absorbers [45], amplitude and phase modulators [47], thermal detectors [48], filters [47], and wave plates [49], among others [50,51]. Research into THz metama- terials is still very prevalent in the current metamaterials community as THz technology is actively studied for applications in sensing and communication. Early work in metamaterials was limited to 3-dimensional structures, particularly the investigations into invisibility cloaking, and therefore the exotic phenomena of interest required bulky and difficult-to- fabricate 3-dimensional structures, cf. [25, 37]. Eventually, planar metamaterial structures were realized, cf. [31, 42, 45, 52] that dramatically simplified fabrication and design but still required delicate alignment of layers. However, with the advent of metasurfaces [53, 54], the 2D equivalent of traditional 3D meta- materials, it was demonstrated that large changes in amplitude, phase and polarization could be realized with subwavelength-thick 2D layered structures. Many of the early 2D metasurfaces leveraged geometrical Pancharatnam-Berry phase [55,56] in order to achieve large phase response with subwavelength thicknesses. Metasurface research has led to the development of novel waveplates [57, 58], flat lenses [59, 60], holo- grams [61, 62], and beam shaping devices [53, 63]. Further efforts into metamaterials include all-dielectric metasurfaces [64] in order to avoid the high loss associated with the metallic metatmaterials that operate on resonance as well as the development of actively controlled metamaterials [65–74].

8 As one can see, the field of metamaterials is diverse, and it has been steadily advancing over the last twenty years. One final major leap forward for the field is the development of practical devices that can find real world application.

1.4 Structure of this Thesis

The remainder of the thesis is comprised of my work as a graduate student at the University of South Florida and this section will summarize the contents of each chapter. Chapter 2 details a description and thorough simulation of an absorber designed for use as a photomask material in Extreme Ultraviolet (EUV) Lithography systems. Further, the metamaterial absorber is analyzed using effective medium theory to show that robust absorbers can be rapidly designed without the use of complex Finite Element Method solvers. Chapter 3 is dedicated to the presentation of a static chiral metamaterial that displays large optical activity. In this chapter, the metamaterials design process is elaborated on and a parametric study of the metamaterial geometry is presented to show it’s tolerance to fabrication imperfections. Further, a method to fabricate multilayer chiral metamaterials is described and demonstrated. Chapter 4 describes a new method of actively controlling the output of the optical field using a linear interferometric method. Chapter 4 is an application of the metamaterial described in Chapter 3. Chapter 5 describes the application of the coherent control method on dielectric media in order to achieve active polarization control with a metamaterial. Appendix A and B describe the theoretical methods that are used in each of the chapters in order to rapidly simulate metamaterial structures. It has been my goal over the course of my research and in this thesis to convey fully the method of metamaterials design, in particular to describe fully relevant practical theories that enable rapid prototyping of metamaterials. The field of metamaterials has been advancing over the last two decades and stands on the cusp of practical application in real world scenarios. The final barrier is just that, practical applications of metamaterials that give thought to its scalability into an industrial environment. In this work, I present metamaterials whose concept and design, although not technologically sophisticated, have been developed with the thought of industrial limitations including time, cost, robust use, fabrication ease and complexity. In this work, I will present metamaterials and their underlying physics that have been designed using these engineering constraints.

9 Chapter 2 EUV Absorber

Note to Reader Portions of this chapter have been previously published in D. Hay, P. Bagge, I. Khaw, L. , O. Wood, Y. Chen, R. Kim, Z.J. Qui, and Z. Shi, "Thin Absorber Extreme Ultraviolet Photomask Based on Ni-TaN nanocomposite material", Opt. Lett. 41, 3791-3794 (2016) and has been adapted with permission from the Optical Society.

2.1 Current and Next Generation Lithography

Most people will interact with an object that has been fabricated using standard optical lithography tech- niques on a daily basis. These products include the phones in our pocket, computers, TV’s, and tablets, but the list certainly does not end there. All of these technologies have been enabled by the application of optical lithography to the creation of smaller and smaller transistors on a chip. Over the last several decades, semiconductor manufacturers including Intel, AMD, ASML, and GlobalFoundaries have consis- tently worked towards minimizing the size of transistors, fitting billions of transistors on a chip for use as memory and microprocessing. Each of these competing companies constantly seeks to improve the per- formance of their chips by various methods, including optimizing architecture (layout of transistors), using more efficient materials, and by shrinking the size of the transistor. Each of these methods of enhancement have contributed to the steady advancement of the performance of microprocessors/computers as described by Moore decades ago [75]. According to Moore, and the so called "Moore’s Law", the performance of mi- croprocessors/memory should double every 1 to 2 years. In the past, scaling the size of transistors through the advancement of photolithography processes was relatively a relatively simple concept: scale the tran- sistor, increase the total number of transistors, or improve the design/performance of the chip. However, in more recent years as the feature sizes have reached the nano-scale, the capabilities of photolithography as well as semiconductor/CMOS device physics has been stretched to their limits [75]. For most processes, semiconductor manufacturers use Deep Ultraviolet Lithography (DUV) to fabricate the smallest device structures and, at it’s lowest end, it is typically operated at 193 nm [76–78]. The lifetime

10 of DUV lithography has been extended by the advent of new lithography techniques such as immersion lithography, where the numerical aperture of the system is increased by immersing the lens/sample in a high-index fluid, and through multiple patterning techniques [79, 80]. Unfortunately, immersion lithography can only be extended if suitable high-index materials exist and due to this limitation can only increase the minimum feature resolution to a certain extent. Instead, novel transistor design has become a significant factor in improving the performance of transistor chips. Recently, the use of FinFET transistors, field-effect transistors who shape resembles that of a shark fin, and multiple patterning techniques have been used to realize transistors that extend beyond the 14 nm and subsequently 7 nm technology node [81,82]. Fundamentally Deep Ultraviolet Lithography is reaching its physical limitation for fabricating smaller features and the projection optics of the standard lithography tool suffers from the same limitation in resolution as every physical optics system. The resolution limit of an optics system can generally be estimated using Rayleigh criterion [76, 80]:

λ R = κ , (2.1) NA where R is resolution, i.e. the minimum distance between two points to be resolvable, κ is a proportionality constant determined by photolithography process, λ is the wavelength of the light in the optical systems, and NA is the numerical aperture of the system. As one can see from Eq. 2.1, the resolution of an optical system is fundamentally limited by the wavelength of the light. To overcome the looming limitations inherent in DUV lithography, there are several competing research fields looking to replace the current industry standard tool. These research fields including Extreme Ultra- (EUV) lithograhpy, X-Ray Lithography, Electron Beam Lithography, Nano-imprint lithography, and Focused Ion Beam patterning [83]. Each of these methodologies have both advantages and disadvantages. For example, Electron Beam lithography and Focused Ion Beam patterning are very enticing alternatives to light-based lithography processes since they do not suffer from the same physical limitations, i.e. the Rayleigh criterion. One major drawback to these technologies that has not yet been overcome is that they are typically very slow processes. Although slow processing is not an issue for creating nano-scale de- vices in academia, industrial applications require extremely high throughput in order to maintain current production levels. On the other hand, Extreme Ultraviolet lithography is a highly attractive technology to replace Deep Ultraviolet lithography. EUV lithography has a wavelength centered around 13.5 nm [78], which according to Eq. 2.1, can achieve an order of magnitude improvement in resolution. Probably the largest advantage of

11 EUV lithography is its ability to continue using the advances already discovered for DUV lithography since it is just another extrapolation of optical lithography. Unfortunately, there are several technical challenges that remain before EUV lithography becomes a truly viable replacement for the staple of industry, DUV lithography.

2.2 Extreme Ultraviolet Lithography and its Current Challenges

In order to describe the issues in Extreme Ultraviolet Lithography, it is first necessary to describe in general the necessary steps used in a lithographic process. A suitable description is given in [80]. In a standard lithography process, extended light source illuminates a photomask that contains the pattern that the user wants to print onto a wafer. The photomask is composed of a material that is designed to completely absorb, or in the case of DUV lithography absorb and reflect, unwanted light from reaching the wafer. The wafer itself is covered in a photosensitive film, known as a photo-resist. This photoresist, when exposed to particular of light will either become soluble or insoluble in a designed chemical developing solution. If the photo-resist is known as a positive resist, regions exposed to light will be dissolved in the developer solution. If the resist is a negative-type resist, the regions exposed to light will become insoluble in the chemical developer. Exposure of the photo-resist is a very delicate process, and it involves exposing the material to the appropriate amount of energy, known a "dosage", to achieve a complete removal of the photo-resist in the unwanted areas, while also maintaining a very sharp contrast between exposed and unexposed regions. If the correct dosage is not used, what will typically be observed is either the resist will not be completely removed in the developer solution, or the edges of the printed patterns will become larger, smaller, blurred, or rough (i.e. the edges will not be straight but will show many jagged edges that can ruin a pattern). The steps described so far, are only the first general steps in any micro/nano-fabrication process which will typically include further patterning, deposition and etching steps. As one can see, there are many nuances in the lithography processes, and the development of EUV lithography must tackle new challenges for each of them. In the extreme ultraviolet regime of the electromagnetic spectrum, materials begin to behave as absorbing dielectrics, e.g. materials begin to be more opaque and absorptive in this region. In general, metallic mirror like materials do not exist nor do low-loss dielectric media. Since materials possess a relatively large absorption coefficient in this region, the use of transmissive optics, such as lenses, which require propagation through relatively thick components would require a highly intense input beam. Due to these less than desirable material properties, all reflective optics are used in order to maximize the amount of

12 a) patterned b) incident light collimated absorber mask 6° EUV light TaN host Ni NPs Ru

Si-Mo ML reflector ... }

... Si substrate

patterned EUV mirror wafer zˆ yˆ xˆ

Figure 2: a)Rudimentary diagram of the all reflective optics used in EUV lithography. b)Illustration of the proposed EUV photomask absorber which is composed of a TaN host layer, embedded Ni nanoparticles, a Ru capping layer, a 40.5 pair Si-Mo multilayer reflector, and a Si substrate. Adapted with permission from Ref. [84], c The Optical Society. light that will propagate through the system and reach the photomask [80]. Shown schematically in Fig. 2 (a), all reflective optics is generally composed of multilayer mirror reflective components that reduce the image generated by reflection off of a photomask absorber and pattern small segments of an exposed wafer. The image is then "stepped" across the wafer surface creating many identical patterned areas to increase component production. EUV lithography systems are projected to use 6◦ incident light. Unfortunately, the use of all reflective optics introduces a myriad of complications into the EUV system that must be overcome. The shadowing effect is one of the largest and most detrimental effects on the printed feature quality, which is created by the used of obliquely incident light and the finite thickness of the photomask absorber [80, 85–88]. The shadowing effect is responsible for a causing Horizontal-Vertical (HV) print difference, which requires and HV print bias to correct for the difference in feature size. Horizontal lines are those that are parallel with the plane of incidence, while vertical lines are those that are perpendicular to the plane of incidence. Essentially, light that transmits through along Horizontal lined features, will interact solely with either the absorber layer or empty space, as shown in Fig. 3 (a), and no print difference will be observed. As one can see in Fig. 3 (b), there are three separate cases for light interacting with Vertical lines. The rays in Fig. 3 (b) indicate that some rays will not experience any absorption; which is the desired response. Last, the green rays in Fig. 3 (b) show that some rays will experience absorption in forward and backward propagation, similar to the red and green rays of Fig. 3 (a). As shown by the red ray in Fig. 3 (b), light may partially interact with the absorber as it propagates towards the reflector but will not necessarily interact

13 a) patterned horizontal lines b) patterned vertical lines

Photomask Absorber

ML Mirror

Figure 3: a)Schematic diagram showing the reflection of light from vertically printed lines where the plane of incidence is perpendicular to the lines. b)Schematic diagram of horizontally printed lines in a photomask absorber with the plane of incidence of light parallel to the feature lines. Three parallel light rays are drawn in each diagram to represent separate cases of light interaction with the absorber and multilayer reflector. with the absorber after being reflected. Since the red rays to do not undergo absorption in both the forward and backward propagating direction, these undesired rays will reach the wafer. The partial absorption of rays, represent the undesired effect of shadowing effect. As one can expect, the edges of the vertical features will print with a different width and be blurred due to the absorption of light in unwanted regions of the photomask [89]. Further, as the thickness of the absorbing layer increases, the shadowing effects increase and produce patterns whose printed width varies with the absorber thickness [88,89]. Various methods have been researched to reduce the shadowing effects including studies on the use of angled sidewalls for the photomask absorber [90], etching of the photomask pattern directly into the multilayer reflector stack [91], using phase shift absorber masks [92], and reduction of absorber layer thickness [93]. Reduction of the overall thickness, seems to be the most simple and cost-effective route to reduce the HV print bias created by the shadowing effect. In order to maintain the desired absorption levels while decreasing the thickness of the absorber layers, the use of alternative materials as well as composite media composed of a host material and embedded inclusions, i.e. nanoparticles, to increase both scattering and enhance the absorption of the layer is studied [94,95]. Nanocomposite metamaterials have been been recently investigated, particularly in the search of plasmonic absorbers [96]. A schematic representation of this concept is shown in Fig. 2.

2.3 EUV Materials, Multilayer Reflectors, and Absorbers

To understand how the absorber layer of an EUV photomask can be reduced, the design and response of the standard EUV photomask must be understood. All photomasks must be deposited onto a Multi-layer (ML) reflector that is designed to reflect as much light as possible at 13.5 nm. In industry, the projected

14 standard in use in industry for the ML reflector is an 40.5 pair, Molybdenum (Mo) and Silicon (Si) photonic crystal stack [80]. It is composed of 80 alternating layers of Mo and Si, respectively, and capped below by a Si layer, as shown in the bottom of Fig. 2, and it is capped at the top by a Ruthenium (Ru) layer.

The thicknesses of these materials are approximately: tS i = 4.2 nm, tMo = 2.8 nm, and tRu = 2.5 nm. The reflectivity spectra of the multilayer is calculated for a plane wave incident as 6◦ and shown in Fig. 4. The ML reflector exhibits a high reflectivity, approximately 70% around 13.5 nm. Due to the very small angle of incidence there is relatively small difference between the TE and TM components, as shown in Fig. 4 a) and b), respectively. These results are calculated using the thin-film Transfer Matrix Method (TMM) developed in Appendix A. The current design of the photomask absorber uses a Tantalum-Nitride (TaN) absorber combined with a Ruthenium (Ru) capping layer which achieves a good etch selectivity between the absorber and ML reflector, while also achieving a high critical dimension control [80,97]. Any proposed absorber layer must demonstrate a reflectivity of 2% or less in order to be considered for use as in industry as an absorber. Using the TMM, the reflectivity spectra resulting from a 6◦ plane wave incident on a 60 nm thick TaN layer is calculated and plotted in Fig. 4 c) and d) for the TE and TM cases, respectively. As one can see, for this industry standard absorber layer, the reflectivity consistently falls below the 2% required for EUV lithography. There are many possible materials that are potentially suitable to use for an absorber layer, or to serve as nanoparticle inclusions into a TaN host layer. It is possible to create these nanoparticle inclusion through a process similar to Ref. [98]. To compare these suitable materials, the real and imaginary parts of the index of refraction for various materials at the wavelength 13.5 nm are plotted in Fig. 5 using the data published in [99]. As one can see, Nickel (Ni) is a highly desirable material as it has an absorption coefficient greater than that of TaN while also having a slightly larger index of refraction. Conversely, Tin (Sn) is also an potential candidate due to its large absorption coefficient, however the larger index of refraction of Ni, and suitability and use of Ni [100], make it a more attractive candidate since it can lead to a further decrease in the required absorber thickness potentially with a cavity enhanced absorption effect. Shown as the blue line in Fig. 4 c) and d) for the TE and TM cases, respectively, in order to achieve a reflectivity at the required 2%, an absorber layer composed entirely of Ni and a Ru capping layer needs to be 30 nm thick to achieve the targeted 2% reflectivity. A thin Ni absorber layer can effectively reduce the overall shadowing effect, but the use of a pure Nickel absorber layer is less than ideal because the etch selectivity between Ni and the multi-layer reflector beneath it is low and would result in damage to the reflector [101]. However, a nanocomposite mixture with TaN as the host matrix should posses similar etch qualities to as the TaN host. It is for these reasons, Ni is chosen as the most suitable material to use in a nanocomposite absorber.

15 100 a) Reflectivity (TE) No Absorber 100 b) Reflectivity (TM) No Absorber 80 80 60 60 40 40 Reflectivity (%) Reflectivity Reflectivity (%) Reflectivity 20 20 0 0 12 12.5 13 13.5 14 14.5 15 12 12.5 13 13.5 14 14.5 15 Wavelength (nm) Wavelength (nm) 3 c) Reflectivity (TE) 3 d) Reflectivity (TM) 2.5 2.5 TaN TaN 2 Ni 2 Ni 1.5 1.5 1 1

Reflectivity (%) Reflectivity 0.5 (%) Reflectivity 0.5 0 0 12 12.5 13 13.5 14 14.5 15 12 12.5 13 13.5 14 14.5 15 Wavelength (nm) Wavelength (nm)

Figure 4: The reflectivity spectra for the a)TE and b) TM case for a ML reflector without an absorber layer; c)TE and d)TM case for the full ML stack with a 60 nm pure TaN absorber (red line) and 30 nm pure Ni absorber (blue line).

Common Materials Index of Refraction at 13.5 nm 0.08 Ag In Sn 0.07 Ni Co Sb 0.06 Pt Cu Au Zn 0.05 Fe Ir Pb Pd Os TaN 0.04 Cr Ga Im(n) 0.03 W Hf Ge 0.02 0.01 Mo Nb Ti Si 0 0.86 0.88 0.9 0.92 0.94 0.96 0.98 1 Re(n)

Figure 5: The imaginary part of the index of refraction is plotted against the real part of the index of refraction for various materials considered for use in EUV regime. The data presented is interpolated at the desired wavelengths using standard "pchip" interpolation in MATLAB from the published data [99].

16 2.4 Numerical Investigation of Nanoparticle Composite Absorber

In order to simulate the random nanocomposite absorber layer, a 3D model is build using a commercial Finite Integral Technique (FIT) solver known as CST Microwave Studio [102]. An object modeled in CST is broken up into small pieces, called cells, using a tetrahedral meshing technique. CST then uses a finite integral technique to solve Maxwell’s equations on the boundaries of the mesh cells using a given input electromagnetic field source. The Frequency Domain Solver of CST solves the Maxwell equation boundary value problem one frequency at a time, i.e. it assumes a coherent source of light. Since the structure we are considering, composed of the nanocomposite absorber, Ru layer and 40.5 pair ML stack of Si-Mo layers, is electrically large, i.e. much larger than the wavelength of λ = 13.5 nm, at least in the propagation direction, a supercell of the absorber is considered. The full absorber considered in the simulations is pseudo-random as it is composed of an infinitely periodic arrays of the supercell. Further, in our simulations we also include a 50 nm thick Si substrate beneath the multilayer Si-Mo stack to account for the phase effects experienced by the wave at the substrate/ML interface. Unfortunately, the larger and more complex a model/structure designed in Finite Integral Technique software, the longer the simulation run times. Therefore, a supercell with a size of 27×27nm2 area in direction transverse to the wave propagation is used, and periodic (Floquet) boundaries are applied in the x and y directions, c.f. Fig. 2 (b). The wave is incident at 6◦ from the normal of the absorber surface, as shown in Fig. 2. The TaN host layer is chosen to have a thickness of 40 nm, which is 20 nm less than the industry standard. Spherical Ni nanoparticles of various size, only one size NP is used for each simulations, are randomly distributed into the TaN host layer. The nanoparticle placement is constrained such that two nanoparticles cannot overlap/intersect in space. The index of refraction for all materials are taken once again from Ref. [99]. In each simulation, nanoparticles of only one radius are considered. The number of nanoparticles is chosen such that the volume fill fraction of Ni within the TaN host, f = VNi , is as close as possible Ni VTaN to a specified value. Simulations are carried out for the following nanoparticle radii: 2.2 nm, 3 nm, 4 nm, and 7 nm. At least 3 randomly-distributed NP models are built and simulated for each NP radius. Each simulation uses a new random placement of the NPs in order to mimic the fabrication process and to discover if the reflectivity response changes with respect to the NP arrangement in the TaN host matrix. The results calculated by CST are the scattering parameters (S-parameters), which in this case are identical to the complex reflection and transmission coefficients from which the reflectivity can be directly calculated. Figure 6 shows the CST calculated reflectivity spectra as a function of wavelength for all of the simulations (i.e. all NP radius values) where the Ni volume fill fraction is held at approximately 15%, for both the

17 TE (left column) and TM (right column) cases. As one can see, for each of the NP sizes, the maximum reflectivity in the spectral region of interest is approximately the desired 2%, and all the results are all in good agreement. The ripple like resonant features are indicative of cavity-like resonance features that occur due to multiple reflections of light at the interfaces. Once again the small angle of incidence results in a relatively small difference in the TE and TM components. The average for each NP radius is also plotted in each figure for comparison. In Fig.6 (i) and (j) the standard deviation, σRe f l. of the reflectivity as a function of the wavelength is plotted for the TE and TM case, respectively. The standard deviation also demonstrates a resonance-like form, while the deviation for each NP reamins relatively low and is at a maximum on the order of 0.1%. As an example, for the TM case the σ with the largest value appears for the 7nm radius particle. The reflectivity for the 7 nm particle is given as R ± σ = 1.462 ± 0.056% at λ = 13.56 nm. Note that sigma is calculated using the reflectivity values normalized from 0 to 1. As one can see, the relative deviation of the reflectivity due to the arrangement of nanoparticles can be assumed to be negligible to the overall response. In order to compare the effects of NP size on the scattering performance of the absorber, the average reflectivity for each nanoparticle radius is plotted together in Fig. 7 for the TE and TM cases. As one can see, the reflectivity consistently falls at approximately the 2% requirement. For comparison, the reflectivity spectra for a 40 nm pure TaN absorber is calculated using the thin-film transfer matrix and it is plotted as the dashed line in Fig. 7. The use of a nanocomposite absorber is observed to decrease the reflectivity when compared to the 40 nm pure TaN layer by approximately 0.75%. It is observed that for all NPs with radius smaller than the wavelength of light, the effects of scattering, i.e. the change in reflectivity, is minimal. The maximum reflectivity change between all NP sizes is approximately 0.1%. The observed reflectivity difference is rouhgly the same in magnitude as the deviation between the reflectivity spectra for simulations of identical NP sizes, i.e. data runs with different NP positions. The relatively small fluctuation of the response with both the nanoparticle position and size indicates that the change in the reflectivity spectra is due primarily to the increase absorption introduced by the Ni and not from enhanced scattering. It is important to note that this will only remain true for NPs smaller than the wavelength of light. To further investigate the role of scattering in the electromagnetic response, the farfield scattered power is calculated for an array of 1000 × 1000 supercells of the absorber, at the wavelength λ = 13.5 nm. The farfield scattered power is calculated at a distance of 1 m from the top surface of the absorber in a region where the angular distribution of the electromagnetic field response is independent of the distance from the absorber [103]. The scattered power is calculated by using the field distribution on the bounding box of the metamaterial absorber in CST, and the results are shown in Fig. 8 for nanocomposite absorbers with radius

18 )f m n )h m h lc ahdln steaeaerflciiyfrec P )j h standard The i)-j) NP. each for reflectivity [84], Ref. average from the permission is with line Adapted dashed radius. black NP absorber each The for nanocomposite reflectivity nm. a of 7 for deviation g)-h) cases and TM and nm, TE 4 the e)-f) for radii Ni-NP all for with reflectivity Calculated 6: Figure f Ni =

0 standard deviation r = 7 nm r = 4 nm r = 3.3 nm r = 2.2 nm . 5 safnto fwvlnt.Terdu fteN aoatce r )b . m )d nm, 3 c)-d) nm, 2.2 a)-b) are nanoparticles Ni the of radius The wavelength. of function a as 15,

(x 10 -2 ) reflectivity (%) reflectivity (%) reflectivity (%) reflectivity (%) σRefl. 0.5 1.5 2.5 0.5 1.5 2.5 0.5 1.5 2.5 0.5 1.5 2.5 1 2 3 0 1 2 3 4 5 6 7 0 0 1 2 3 0 1 2 3 0 1 2 3 21. 3514.5 13.5 12.5 12 i) g) e) c) a) aeegh(m wavelength (nm) wavelength (nm) 31 51 251. 14.5 13.5 12.5 12 15 14 13 ETM TE avg. run 3 run 2 run 1 avg. run 5 run 4 run 3 run 2 run 1 avg. run 3 run 2 run 1 avg. run 3 run 2 run 1 19 7 nm 4 nm 3.3 nm 2 nm j) h) f) d) b) 31 15 14 13

c h pia Society. Optical The avg. run 3 run 2 run 1 avg. run 5 run 4 run 3 run 2 run 1 avg. run 3 run 2 run 1 avg. run 3 run 2 run 1 7 nm 4 nm 3.3 nm 2 nm Myne hneti osyta ecntda ntigsgicn rmtedt ic h cteigb a by scattering the since data the from identical). significant is anything layer draw absorber can’t blank we that the say by e to scattering dominant this the change that and need indicates negligible (May sidelobes considered absorber be unwanted nanocomposite can the the exists, into it for scattering while similar absorber, low is nanocomposite relatively power The scattering NPs. The nm 3%. 7 than with less is sidelobe largest the into 186 at directed 116 at occurs largest The NPs nm directions. 2.2 other with into absorber scattered nanocomposite are the amounts for small sidelobe some but law, Snell’s by field, governed electric rections the of square the to proportional is where wave electromagnetic an of power the where by: given is power the that such scale logarithmic a on 174 6 plotted at at absorber scattered the as on shown incident is is wave light transmitted therefore the and substrate Si the of normal the to respect and nm 7 and nm 2.2 calculated result the is line solid black Ni-TaN The nm, 40-nm TaN. 2.0-7.0 to a [84], respect from for Ref. with sizes, wavelength Ni various of e of with 15%, an function fraction, (NPs) using a fill nanoparticles as volume Ni fixed TMM a embedded and for for CST Results with layer. calculated nanocomposite Reflectivity 7: Figure P

= reflectivity (%) 0.5 1.5 2.5 88 0 1 2 3 21. 3514.5 13.5 12.5 12 . c 3dBW stesedo ih 5.A n a e,ms ftesatrdfilsaedrce notedi- the into directed are fields scattered the of most see, can one As [5]. light of speed the is

ff c ciemdu oe EM o h aoopst bobr dpe ihpriso from permission with Adapted absorber. nanocomposite the for (EMM) model medium ective h pia Society. Optical The / ◦ m soecnse h eaiedi relative the see, can one As . 2 hl h anlb ie h eetdba,hsasatrn power scattering a has beam, reflected the ,i.e. lobe main the while , 31 15 14 13 wavelength (nm) f Ni = ETM TE 0 . 5adfra4 mpr a bobr nFg ,teagesoni with is shown angle the 8, Fig. In absorber. TaN pure nm 40 a for and 15 P(dBW composite 40 nm TaN 7 nm 4 nm 3.3 nm 2.2 nm ff ◦ / m rnebtenterflce oe n h oe scattered power the and power reflected the between erence hl h eetdwv ssatrda 186 at scattered is wave reflected the while 2 ) 20 = 10log 21. 3514.5 13.5 12.5 12

P P inc s ! , 31 15 14 13 wavelength (nm) . 5 ◦ n thsasatrn power scattering a has it and P ff = c sabsorption. is ect ◦ ◦ 103 h oe is power The . o example, For . P composite 40 nm TaN 7 nm 4 nm 3.3 nm 2.2 nm . 8dBW = c ε 0 (2.2) | / E m | 2 2 , Farfield Scattered Power (dB W/m2) 0o

30 o 330o

60 o 300o

2.2 nm 7 nm No NP

90 o 270o 30 50 10 log(P 70 90 s /P inc) 110

o 120o 240

o o 210 150

180o

Figure 8: The relative farfield scattered power in log scale is plotted as a function of the scattering angle. Results calculated for scattering by a nancomposite absorber with 2.2 nm (red solid line), 7 nm (blue dashed line) and no NP inclusion (green totted line) are shown.

21 2.5 Application of Effective Medium Theory and Transfer Matrix Method

The observations so far indicate that absorption, not scattering, is the primary effect responsible for the reduction in the reflectivity spectra. To further verify the observation that absorption is responsible for the change in reflectivity and in search of a faster simulation methodology, an effective medium theory (EMT) is incorporated into the developed thin-film transfer matrix method. The effective medium theory used is the well developed and extensively researched Maxwell-Garnett mixing model [104,105]. In Essence the EMT theory will be used to calculate the effective permittivity, εeff of the Ni-TaN nanocomposite absorber. The Maxwell-Garnett EMT treats randomly positioned spherical inclusion particles that are embedded in a host material matrix, from which the resulting effective permittivity is the macroscopic permittivity of an ideal isotropic homogeneous layer. In this method, the polarizability of the spherical particles are calculated under the static electric field limit, but it is important to note that effective medium theories, like the Maxwell- Garnett model, have shown good agreement with experiment [106]. The effective permittivity given by the Maxwell-Garnett model is calculated according to [104, 105]:

1+2 f εh + (εi − εh) ε = ε 3 , (2.3) eff h 1− f εh + 3 (εi − εh) where εe f f is the effective permittivity, εh is the permittivity of the host material, εi is the permittivity of the inclusion material, and f is the volume fill fraction of the inclusion material. It should be noted that for the spectral region of interest, the permittivity calculated is essentially identical to the volume average of the two material permittivities. Using this new effective permittivity for the absorber layer, which is now treated as a homogeneous isotropic layer, the reflectivity spectra is calculated using the TMM, developed in Appendix A, for a 40 nm TaN layer with a 15% fill volume of Ni, fNi = 0.15. The results from the transfer matrix method are plotted as the black solid line in Fig. 7. It is apparent that the EMT based absorber layer shows great agreement with the results from the more rigorous FIT based simulations. Similar to the reflectivity spectra calculated by CST, the transfer matrix method also shows approximately a 0.75% decrease in the maximum reflectivity. Overall, the results generated by the TMM show approximately a 0.1% deviation with respect to the CST calculated reflectivity spectra. This small difference can eaily be attributed to the scattering effect of the nanoparticles, and it is reasonable to suggest that this is also the cause of the 0.1% deviation in the individual CST simulations for each individual nanoparticle radius. The good agreement between the effective medium theory, which treats the absorber as an isotropic non-scattering layer, and the FIT

22 results, further indicate that scattering is negligible in the proposed EUV nanocomposite absorber and that absorption is the dominating effect.

2.6 Method for Optimizing Nanocomposite Absorbers

The TMM is a highly useful and simple technique that is used all over industry to simulate and optimize thin film stacks, as it enables rapid prototyping and optimization. Simulations using FIT for electrically large structures take an exhorbitant amount of time to perfom and therefore optimization is problematic. As an example, the simulations carried out in CST and presented in this chapter ran between 4 − 7 days for a single simulation, depending on the meshing. Conversely, the transfer matrix method reduces the complexity of the model by reducing the model from a 3-dimensional representation to a 1-dimensional representation, and only requires the solving of Maxwell’s equations on N + 1 boundaries, where N is the number of layers. Using the transfer matrix method, large parametric scans can be performed to find optimal materials, absorber thicknesses and volume fill ratios for EUV nanocomposite absorbers. To demonstrate, a parametric study is performed over the EUV spectrum to calculate the maximum reflec- tivity within the bandwidth for the nanocomposite absorber as a function of the absorber thickness and the volume fill fraction of Ni-NPs. The results for both the TE and TM case are shown in Fig. 9. As one would expect, the maximum reflectivity of the absorber tends to decrease with an increasing volume of Ni, due to the enhanced absorption of Ni. However, it is observed that although in general the reflectivity decreases with increasing absorber thickness, there exist resonance features that disrupt this trend. These resonance features exist due to cavity interference effects caused by the real part of the refractive index. A white con- tour line is plotted in Fig. 9 to indicate where the reflectivity is equal to the required 2%. As one can see, these resonance features result in local minima for the required Ni volume at specific absorber thicknesses. For example, the previous chosen values of a 40 nm thick absorber with approximately a 15% volume fill fraction of Ni falls very close to one of the resonance features. However, these results also reveal that thinner absorbers, approximately 30 nm thick and with a 55% fill volume of Ni, can also meet the performance re- quirements. This method can be used to rapidly simulate and optimize future EUV nanocomposite absorbers composed of arbitrary materials.

2.7 Effects of Thinner Absorbers on the Lithography Process

The proposed nanocomposite absorber has been shown to be an effective method to reduce the overall thick- ness of the absorber. Overall, since the absorber thickness has been reduced, the issues introduced by the

23 ouefilrtoo i h ht otu iednts2 eetvt o ie bobrdsg.Adapted design. absorber given a for reflectivity and 2% thickness denotes [84], absorber line Ref. the 12-15 contour of from bandwidth, white function permission The a working with as Ni. EUV nanocomposite of Ni-TaN considered ratio a the fill of volume across comprised layer reflectivity absorber maximum an for calculated nm, TMM 9: Figure

Ni fill fraction Ni fill fraction 0.2 0.4 0.6 0.8 0.2 0.4 0.6 0.8 0 1 0 1 04 08 100 80 60 40 20

c h pia Society. Optical The absorber thickness(nm) 24 (TM) (TE) 0 5 10 15 20 25 30 0 5 10 15 20 25 30

max. reflectivity (%) max. reflectivity (%) shadowing effect should also be reduced. In order to study the performance of the nanocomposite absorber in an actual lithography process, the absorber layer, and multilayer stack, are simulated in a commercial lithography software Sentaurus Lithography (S-Litho). S-Litho is also a rigorous electromagnetics solver that is capable of determining the resulting image from a optical lithgraphy system, while also incorporat- ing the effects of the photoresist exposure and development. For a comparison, three different absorbers are simulated including, a 60 nm pure TaN absorber, a 30 nm pure Ni absorber, and the 40 nm Ni-TaN nanocomposite absorber with a 15% volume fill fraction of Ni. The simulation settings for the S-Litho sim- ulations are as follows: the absorber is assumed to have a binary grating pattern (i.e. 50% duty cycle), a pupil shape of Quasar 45, an incidence angle of 6◦, and a numerical aperture (NA) of 0.52. The simulations are performed for grating periods (pitch) varying from 20 nm to 60 nm. It is important to note that EUV research is ongoing and the NA used in the simulations is projected to be the final NA of next-generation EUV lithography systems. The image contrast and normalized image log-slope (NILS) of vertically oriented lines, and the HV bias are presented in Fig. 10 a), b) and c), respectively. Contrast is an important indicator of image quality in photolithography and it corresponds to the normalized difference between the maximum and minimum intensity across the image of the patterned absorber [80]. NILS is also an important metric to determine the quality of an image in a lithography process as it represents the change in the light exposure/intensity as a function of position across the image [80]. As a consequence, larger values of both the contrast, and NILS indicate a better lithographic process, i.e. better image quality. A larger contrast indicates that the feature sizes are easily resolved, while a larger NILS indicates a steeper etch profile since the exposure dose changes more rapidly near the edge of a feature. As shown in Fig. 10 (a) and (b) for both contrast and NILS, there is a steep drop below a period of 25 nm. This dramatic image quality reduction indicates that the resolution of the simulated lithography process is approximately 25 nm for all cases. This includes all of the choices for the simulated optical arrangement including, the choice of resist, development process, and exposure dose. It is observed that the original pure 60 nm TaN absrober results in the best image quality, while the pure 30 nm Ni absorber results in the worst image quality for vertical lines. The Ni absorber is considered to have a poorer image quality because the contrast between bright and dark lines is not as sharp, meaning that there is not as sharp of a step edge between regions where the pattern should and should not appear. A lower NILS similarly indicates that the relative change in field/intensity across the pattern is not a large and can lead to blurring. Correspondingly, the Ni-TaN nanocomposite results in image quality that lies between that of pure TaN and pure Ni, and overall its performance is found to be acceptable for the lithographic process defined. The difference in image quality can be attributed to the change in magnitude and phase of the wave

25 (a) 1 contrast of vertical line and space 0.8

contrast 0.6

0.4 NILS of vertical line and space (b) 8 6

NILS 4 2

(c) 10 60 nm pure TaN 8 40 nm Ni/TaN nanocomposite 6 30 nm pure Ni 4

HV bias (nm) bias HV 2 20 30 40 50 60 pitch (nm)

Figure 10: Calculated (a) contrast and (b) normalized image log-slope (NILS) for vertical line pairs (i.e. line and space) and (c) HV bias between vertical and horizontal lines pairs as a function of the spatial pitch for EUVL photomasks consisting of 60 nm pure TaN (red line), 40 nm Ni-TaN nanocomposite (black line), and 30 nm pure Ni (blue line). Adapted with permission from Ref. [84], c The Optical Society. reflected by the ML stack and absorber. Further, since the actual source used in an EUV tool will have a small bandwidth, the choice of pupil shape will change the plane wave components that reach the absorber, and will also have a large effect on the image quality. Last, the HV bias required to correct for the print difference in Horizontal and Vertical lines is presented in Fig. 10 c). Above the resolution limit of 25 nm, the nanocomposite absorber is observed to require only a 2 nm correction. Conversely, the pure 60 nm TaN requires a 3 nm correction due ot the increased effects of shadowing, while the 30 nm Ni layer requires only 1 nm of corrections. At the 25 nm resolution limit, the nanocomposite absorber requires 2 nm of correction less than the pure TaN layer, which enables the possibility of using optical proximity correction to account for the HV print difference.

2.8 Conclusion

It has been shown that the use of nanocomposite media composed of a host matrix and nanoparticle inclu- sions can be used to effectively reduce the overall thickness of EUV absorbers. Scattering was demonstrated to play an insignificant role in the reduction of reflectivity when nanoparticles are embedded into the ab-

26 sorber for the given NP sizes considered, while absorption plays a dominating role in reducing the reflec- tivity. A rapid method of prototyping new absorber nanocomposites was demonstrated using an Effective Medium Theory and Transfer Matrix Method. Last, simulations demonstrated that the reduction in the EUV photomask absorber effectively reduces the HV print bias needed to correct the shadowing effect.

27 Chapter 3 Chiral Metamaterials

3.1 Introduction to Chiral Materials and Metamaterials

Chiral objects and materials occur often in nature, in fact many objects in our everyday life have some chiral aspect. For example, the common pain reliever Aleve (Naproxen) is an enantiomer, i.e. it is one of a pair of chiral objects [107]. In its most simplified explanation, a chiral object is an object whose mirror image is not identical to the original object. If both an object and its mirror image do not overlap they are called enantiomers. Consider the left hand shown schematically in Fig. 11. The right hand is the mirror image of the left hand when it is reflected across the plane indicated by the dashed line. As one can see, the left hand and right hand do not overlap, and therefore they are chiral and enantiomers. Chirality is particularly important in the development of new pharmaceutical drugs. The identification and removal of unwanted enantiomers is critical for the development of medications [107, 108]. What is most interesting about chiral objects, other than their differing appearance and geometry, is that they can have remarkably different properties. The most notorious example comes from the use of Thalidomide in the 1950’s to treat morning sickness during pregnancy [109]. The enantiomer of Thalidomide was shown to be the major contributor to causing limb associated birth defects after it was administered to pregnant women [109]. Interestingly, a chiral object will respond differently to right-handed and left-handed circularly polarized light. Chiral materials do not have the same constitutive relationship between the electric displacement field D~ and the electric field E~ or the magnetic flux density B~ and the magnetic field H~. Instead, there exists a

left hand right hand overlap

Figure 11: Schematic diagram showing two chiral objects: the left hand and the right hand as well as their overlap. The dashed line represents a mirror transformation of the left hand into the right hand.

28 cross coupling between the electric field and the magnetic field for chiral media. The constitutive relation for reciprocal biisotropic chiral media is given by [33, 110–112]:

~ ~ κ ~ D = ε0εE + i c H, (3.1) ~ ~ κ ~ B = µ0µH − i c E, (3.2)

where D~ is the electric displacement field vector, ε0 is the permittivity of free space, ε is the material permittivity, E~ is the electric field vector, κ is the chirality factor, B~ is the magnetic flux density vector, µ0 is the permeability of free space, µ is the permeability of the material, c is the speed of light, and H~ is the magnetic field vector. Note that although it is not indicated, Eqs. (3.1) and (3.2) are frequency dependent, but for convenience this notation will not be used and it may be assumed that a monochromatic wave is considered. Combining the constitutive relations given in Eqs. (3.1) and (3.2) with Maxwell’s Equations, Eqs. 1.1 (a)-(d) in Chapter 1, it can be shown that the eigenmodes of the chiral systems are the left-handed and right-handed circularly polarized waves [112]. Further, the left and right-handed circular polarizations will experience a different index of refraction given by:

√ nR = εµ + κ, (3.3) √ nL = εµ − κ, (3.4)

where nR and nL are the index of refraction for the right and left-handed circular polarizations, respectively. There are two fundamental effects that occur when circularly polarized waves interact with a chiral medium that can be described by Eqs. (3.3) and (3.4): optical activity (OA) and circular dichroism (CD). Optical activity is defined as the rotation of the incident plane of polarization upon propagation through a chiral medium. Further, the amount of OA is generally defined by the angle that the polarization is rotated, which is determined by [113, 114]: φ − φ γ = R L , (3.5) 2 where γ is the angle that the electric field vector, i.e. the polarization vector, is rotated, φR = k0nRd is the phase of right-handed circularly polarized light component that transmits through the chiral medium,

φL = k0nLd is the phase of left-handed circularly polarized light component that transmits through a medium, k0 is the free-space wavenubmer, and d is the thickness of the medium through which the light propagates. It should be noted that Eq. (3.5) is easily derived using the Stokes parameters [7], and γ corresponds directly to the azimuthal angle of the polarization. Conversely, Circular Dichroism (CD) is the selective absorption of

29 one circular polarization over another. Fundamentally OA is due to differences in the real part of the index of refraction that the left and right-handed circularly polarized waves experience upon interacting with a medium while CD is due to differences in the imaginary part of the index of refraction. Although very useful in application, the chiral response of natural materials is typically small due to the relatively small size of the active atoms/molecules compared with the wavelength of light [1, 115, 116]. Since the response of naturally occurring materials is small, it is generally impractical to develop devices using these materials, other than standard liquid crystal based devices that operate in the visible wavelength regime. Since the advent of metamaterials, the goal in their development is to achieve responses beyond what is typically observed in natural materials, and it has been demonstrated that large and interesting chiral responses can be achieved with subwavelength thick devices across the electromagnetic spectrum [32–34, 113, 117, 118]. Chiral metamaterials can be realized as 3-dimensional structures, but these can require more complicated fabrication techniques [119, 120]. A much more broadly researched topic are planar chiral metamaterial structures [33,113,114,117,121,122]. These structures are composed of at least 2 patterned metamaterial layers that are typically coupled, but can consist of more layers stacked together. These planar chiral structures have demonstrated properties such as negative index of refraction [33,122] and giant optical activity [117, 122]. Chiral metamaterials can be extended into any frequency regime, and they are uniquely suited to servicing frequency regimes where material properties and hence suitably developed devices are limited. The field of THz optics, composed of frequencies from approximately 0.1 THz to 10 THz, shown promise for potential applications including imaging [65,123], communications [124,125], and fundamental physical studies [126, 127]. Further, the use of higher frequency THz waves in aircraft to satellite or satellite to satellite communications offers the potential of higher data transfer rates as compared to traditional radio frequencies [124, 125]. Unfortunately, in the THz regime, materials are typically opaque and have higher loss than the GHz regime [46], which limits the materials that can be used in the fabrication of devices for use in the THz regime. What further complicates operation in the THz regime is the general low efficiency of the source generating THz radiation, and therefore increased losses within materials can be detrimental to the performance of the optical system. In response to the challenges in the THz regime, the metamaterials community found an opportunity to demonstrate the versatility and suitability of metamaterials. Metamaterial devices have been extensively demonstrated in this regime from static devices such as waveplates [49] and absorbers [45] to dynamically controlled devices such as amplitude and phase modulators [47] and tunable optical activity [73]. Ulti- mately, the goal of the metamaterial community is to develop devices that can actively control the properties

30 of light through the use of a metamaterial devices. In this Chapter, a metamaterial design for operation in the THz regime is presented as well as a study of the electromagnetic response with respect to fabrication imperfections. Further, a method of device fabrication is presented along with examples of fabricated sam- ples. The metamaterial presented in this chapter will be shown to demonstrate a large chiral response and in Chapter 4 the metamaterial will find application as device capable of actively controlling the properties of light.

3.2 90◦ Polarization Rotator in THz Regime

A chiral metamaterial proposed in [117] has exhibited giant optical activity in the GHz regime, but it can be scaled into the THz regime with a suitable selection of materials and geometry scaling. The proposed metamaterial achieved an optical activity γ = π, and correspondingly if a linear polarized input wave is inci- dent on the metamaterial the polarization will be rotated by 90◦. THz is an attractive regime for application and fabrication of metamaterials can be achieved using standard lithography practices. In Fig. 12 (a), the patterned metallic chiral metamaterial layers are individually described in unit cell form. As one can see, the two layers are enantiomers, i.e. they are non-overlapping mirror images of each other. Each unit cell is composed of 4 copper wires arranged into a square pattern that has 4-fold rotational symmetry. The two layers are stacked one above the other without any offset in thex ˆ andy ˆ directions, as shown in Fig. 12 (b). The patterned metamaterial layers are described using the following geometric parameters: unit cell length (a), wire length (l), wire width (w), wire gap (g), and wire thickness (tw). As stated, the wires are composed of copper whose conductivity is given as σ = 5.85×107 S/m. Fig. 12 (b) describes the full super- cell geometry of the proposed metamaterial in the sandwich geometry. The metamaterial is composed of 5 layers, which includes the two patterned copper layers, and 3 layers of isotropic dielectric polyimide. The two metamaterial layers are separated by 1 dielectric spacer layer with thickness ts, and each layer is capped by 1 dielectric layer of thickness (tc), each. The capping layers serve mainly to encapsulate and isolate the patterned metallic layers from the environment. The polyimide is assumed to have a permittivity described by ε = 3.2 + 0.2i across the frequency range considered [128]. The materials are chosen to discover if the metamaterial can be constructed from less costly materials. The general concept of metamaterial design is an iterative one since no unified theory exists for all designs. For a brand new design as well as for scaling certain metamaterials to a new frequency regime, a large scale scan of geometry is performed to discover the device’s functionality and limits. This "parametric scan" is essentially a brute force search through all geometric arrangements, where the electromagnetic

31 a) top layer (TL) bottom layer (BL) b)

d cap g TL

spacer a ts l tc BL

yˆ w d cap zˆ

xˆ xˆ

Figure 12: Schematic diagram of (a) the unit cell structure for the top and bottom patterned metamaterial layers and (b) the full sandwich geometry of the free-standing chiral metamaterial. response for each designed geometry is stored. The parametric scan data is then analyzed to understand the physical mechanisms responsible for the electromagnetic response and to find critical device effects and their limitations. The proposed metamaterial is a chiral structure, which means that the exotic behavior that the structure should exhibit is either optical activity or circular dichroism. Due to the inherent structure of the metamaterial, optical activity is the feature of interest, c.f. Chapter 4. In order to operate as a 90◦ polarization rotator, a phase difference between the transmitted RCP and LCP wave components of π is required, i.e. φR − φL = ±π, in order to fully convert a linearly polarized input into an orthogonal linearly polarized state. The electromagnetic response of the proposed metamaterial is simulated using CST Microwave Studio [102], a rigorous finite element solver that solves Maxwell’s equations on the boundaries. A unit cell of the metamaterial is designed in CST, and "unit cell" boundaries that apply Floquet’s theorem at the transverse, i.e. x and y, boundaries of the unit cell are applied. Floquet’s theorem is alternatively known as Bloch’s theorem which assumes that a transverse translation of position results only in an addition of phase to the electromagnetic field. Floquet ports are used and only the first two modes, which correspond directly to x- and y-polarized inputs, are considered. Only the free-standing metamaterial, shown in Fig. 12 (b), is simulated and therefore no substrate layer is included. The electromagnetic response for a cut-wire metamaterial with optimized geometric parameters is shown in Fig.13 for both an x- and y-polarized input wave. One set of optimized geometric parameters for a metamaterial that operates at 0.7 THz are as follows: a = 197µm, l = 121µm, w = 28µm, g = 23.5µm, tw = 200 nm, ts = 17µm, tc = 12µm. The magnitude of the reflection and transmission coefficients are denoted as rαβ and tαβ, respectively, where α represents the polarization direction in which the field is measured and β represents the input polarization state. Since linear polarization states are considered, α,β ≡ x or y. The metamaterial has been optimized to maximize the cross-polarized transmitted fields, i.e. txy and tyx. As one

32 1 a) y-polarized input ryy rxy tyy 0.75 txy

0.5

0.25

0 1 r b) x-polarized input xx ryx txx 0.75 tyx

0.5

0.25

0 7 c) φR φL 7/2 Δφ

0 phase (rad)phase (arb.) magnitude (arb.) magnitude -7/2

-7 0.3 0.4 0.5 0.6 0.7 0.8 0.91.0 1.1 1.2 frequency (THz)

Figure 13: The co-polarized and cross-polarized reflection and transmission coefficients for a (a) y-polarized input and (b) x-polarized input. (c)Calculated phase and phase difference between a right and left-handed circularly polarized wave transmitted through the metamaterial. φR and φL are the phase of the right and left-handed circularly polarized transmitted waves and ∆φ = φR − φL.

33 can see in Fig.13 (a) and (b), the cross-polarized transmitted field, shown as the black-dashed lines, reaches a maximum at 0.705 THz for both x- and y-polarized input fields, while the co-polarized transmission drops to zero. The conversion of light is not perfect due to innate absorption in the materials and reflection from the front surface of the metamaterial. The metamaterial has the same response for both x- and y-polarized light. Fundamentally, the symmetric behavior and the absence of cross-polarized reflected light are due to the 4-fold rotational symmetry of the structure. Symmetry considerations place a physical limitation on the response of any material, c.f. Chapter 4. To further show that the mechanism of operation is optical activity, the phase for right and left-handed circularly polarized light transmitted through the metamaterial are shown in Fig.13 (c). As one can see, the phase difference between the right-handed and left-handed circular polarizations reaches a maximum of approximately π at the operating frequency of 0.705 THz. Consequently, the optical activity of the metadevice at this frequency is π/2, which results in a full 90◦ polarization rotation for any linearly polarized input field.

3.2.1 Physical Mechanism Causing Chirality

In order to understand the chiral response of the metamaterial, it is necessary to observe the local field responses within a unit cell. Electromagnetic field monitors are inserted into the simulation for the opti- mized metamaterial geometry described in the previous section. The field monitors calculate the local field response within the model. Consider an electromagnetic wave incident on the chiral metamaterial with a magnetic field in thex ˆ direction and propagating in the −zˆ direction. The oscillating magnetic field will cause inductive coupling between the cut-wires aligned along they ˆ direction, and result in the formation of an effective current loop out of the parallel cut-wires [129]. As long as the feature sizes are smaller than the wavelength, inductance is the dominant mechanism for coupling the wires together. As the charges reach the edge of the cut-wire and begin to build up, the wires aligned in thex ˆ direction capacitively couple with the wires aligned in they ˆ direction that lie in the same layer. The capacitive coupling of the wires in the same layer result in the formation of an effective current loop in the +xˆ direction and the creation of an oscillating magnetic field in they ˆ direction. This generated magnetic field is parallel to the incident electric field polarization and the net result is optical activity, c.f. Eq. (3.1) and (3.2). To demonstrate, Fig. 14 shows the magnetic field distribution profile for two cut-planes within the meta- material structure for both x- and y-polarized input fields. Figs. 14 (a) and (c) describe the x-component of the magnetic field in the y-z plane at a fixed x-position, x = −72.25µm, for an x- and y-polarized input field, respectively. The presented plane is in the center of two parallel cut wires, and spans the defined space of the

34 metamaterial. Figs. 14 (b) and (d) describe the y-component of the magnetic field in the x-z plane at a fixed y-position, y = 72.25µm, for an x- and y-polarized input field, respectively. As one can see, for all cases, there exists a critical coupling between cut wire pairs from each level of the metamaterial stack. This critical coupling between the wires results in a large magnetic field between the wires. In comparing Figs. 14 (a) and (c), the relative magnitude of the x-component of the magnetic field is approximately 20% lower when the incident field is x-polarized. Conversely, in comparing Figs. 14 (b) and (d), the y-component of the mag- netic field is approximately 20% greater for an x-polarized input field. The magnetic fields in each direction are not equal since one is due to capacitive coupling with the adjacent wires. As Fig. 14 demonstrates, a strong magnetic field is generated in both the x- and y- directions between wires that are placed parallel on separate layers. To fully capture the response of the magnetic field, in Fig. 15 the magnitude of the magnetic field in the x- and y- directions for both an x-polarized, shown in Fig. 15 (a) and b), and a y-polarized, shown in Fig. 15 (c) and (d), input field at z = 8.5µm is depicted. The plane located at z = 8.5µm, is the center of the dielectric spacer that separates the top metamaterial layer from the bottom layer. Cut wire locations for wires above the z = 8.5µm plane are indicated by the dashed black lines and solid white lines represent cut wires in the layer below the plane. As one can see in Fig. 15 (b), an x-polarized wave naturally generates a strong magnetic field in they ˆ direction between the cut wire pairs located at the top and bottom of the unit cell. Similarly, a strong magnetic field aligned in the x-direction is created by a y-polarized input as shown in Fig. 15 c). Further, as shown in Fig. 15, the coupling into the adjacent wires results in a slightly weaker magnetic field in the x-direction that is generated between wires on the left and right of the unit cell. Figures 15 (b) and (d) further demonstrate that the less efficient coupling that occurs between the adjacent wires results in a weaker magnetic field. Due to the symmetry of the geometry in this direction, the magnetic fields generated in this plane are nearly symmetric, with the strongest fields located at the center of the overlapping wire area. Fundamentally, the inductive coupling between wires in adjacent layers is responsible for the creation of magnetic fields that oscillate in the same direction of the incident electric field polarization. As has been demonstrated, there is a strong coupling between wires, which can be thought of as current loops generating these magnetic fields, and results in large optical activity.

3.2.2 Feasibility of Fabrication

Once a metamaterial has been designed, the next step is to attempt to fabricate it. Rigorous simulation studies are capable of reducing prototyping and fabrication time as well as production costs. For metamaterials in the GHz frequencies, the fabrication tolerances of the lithography process are in general much smaller than

35 x-polarized Input y-polarized Input 98.5 1 98.5 1 a) x = -72.25 μm c) x = -72.25 μm 0.9 0.9 0.8 0.8 49.25 49.25 | | x 0.7 0.7 x 0.6 0.6 0 0.5 0 0.5 0.4 0.4 normalized |H normalized normalized |H normalized y-position (μm) y-position 0.3 (μm) y-position 0.3 -49.25 -49.25 0.2 0.2 0.1 0.1 -98.5 0 -98.5 0 -62 -12 0 17 29 79 -62 -12 0 17 29 79 z-position (μm) z-position (μm) 98.5 1 98.5 1 y = 72.25 μm y = 72.25 μm b) 0.9 d) 0.9 0.8 0.8 49.25 49.25 | | y 0.7 y 0.7 0.6 0.6 0 0.5 0 0.5 0.4 0.4 normalized |H normalized normalized |H normalized x-position (μm) x-position 0.3 (μm) x-position 0.3 -49.25 -49.25 0.2 0.2 0.1 0.1 -98.5 0 -98.5 0 -62 -12 0 17 29 79 -62 -12 0 17 29 79 z-position (μm) z-position (μm)

Figure 14: The spatial distribution of the magnitude of thex ˆ-component of the magnetic field, normalized with respect to the maximum magnetic field for any input polarization, in the y-z plane and in the center of a cut-wire pair for an (a)x-polarized and (c) y-polarized input wave. The spatial distribution of the magnitude of they ˆ-component of the magnetic field, normalized with respect to the maximum magnetic field for any input polarization, in the x-z plane and in the center of a cut-wire pair for an (b)x-polarized and (d) y- polarized input wave. The black lines indicate the positions of the cut wires within the plane.

36 x-polarized input y-polarized input 98.5 1 98.5 1 a) c) 0.9 0.9 0.8 0.8 49.25 49.25 | | x 0.7 x 0.7 0.6 0.6 0 0.5 0 0.5 0.4 0.4 normalized |H normalized normalized |H normalized y-position (μm) y-position 0.3 (μm) y-position 0.3 -49.25 -49.25 0.2 0.2 0.1 0.1 -98.5 0 -98.5 0 -98.5-49.250 49.25 98.5 -98.5-49.250 49.25 98.5 x-position (μm) x-position (μm) 1 1 98.5 b) 98.5 d) 0.9 0.9 0.8 0.8 49.25 49.25 | | y 0.7 0.7 y 0.6 0.6 0 0.5 0 0.5 0.4 0.4 normalized |H normalized normalized |H normalized y-position (μm) y-position 0.3 (μm) y-position 0.3 -49.25 -49.25 0.2 0.2 0.1 0.1 -98.5 0 -98.5 0 -98.5-49.250 49.25 98.5 -98.5-49.250 49.25 98.5 x-position (μm) x-position (μm)

Figure 15: The spatial distribution of the magnitude of the magnetic field across the unit cell on the x-y plane in the center of the metamaterial stack, normalized with respect to the maximum magnetic field for any input polarization, . Thex ˆ-component of the magnetic field for an (a) x-polarized and (c) y-polarized input wave. They ˆ-component of the magnetic field for a (b) x-polarized and (d) y-polarized input wave. The dashed black lines represent cut-wires above the plane and the white lines indicate cut-wires below the plane.

37 the feature sizes of the metamaterial. Unfortunately, in the THz region, the feature sizes decrease while the fabrication tolerances remain largely unchanged. This complication results in fabrication imperfections, such as larger or smaller features sizes, that could have large effects on the metamaterial response. In order to determine the ease and feasibility of fabrication, a robust parametric study is performed adjusting the feature sizes including the wire length, wire width, wire gap spacing, spacer thickness and cap layer thicknesses. Two further studies are presented: one demonstrating the effects of layer offsets that can occur due to misalignment and the second demonstrating the effects of random edge roughness of the wire patterns. This simple parametric study will reveal the stability of the metamaterial response with respect to fabrication imperfections.

First, a set of simulations are performed for each geometric parameter, including l, w, g, ts and tc, where an individual parameter is adjusted in 1 µm increments for each simulation while all other parameters are held constant. The results are shown in two figures, where parameters whose effects are considered smaller have been grouped together in Fig. 16 and parameters whose effects are considered larger are plotted in Fig. 17. The magnitude of the reflection and transmission coefficients are plotted as a function of frequency and geometric parameter size in Fig. 16. Only the results for one input polarization state are shown since the response for each linear polarization are nearly identical. Further, the results for |rxy| are approximately zero, and these trivial graphs are not shown. Almost no change is observed in the spectral range considered when the wire width is changed. Fundamentally as the wire width increases, there is a small increase in the reflectivity of the metamaterial since there is essentially more surface area covered with highly reflective metal. This is more noticeable at frequencies away from the resonance. It is apparent from Fig. 16 that the relatively large changes, i.e. up to approximately 5µm, in the wire width, gap width, and cap thickness do not greatly affect the cross-polarized transmission coefficient, |txy|. However, small changes 2-3 µm will begin to shift the spectra of the co-polarized reflection and transmission coefficients for changes in the gap width and the cap thickness. As the gap width between wires shrinks, the coupling strength between adjacent wires also increases. The increase in coupling strength as the gap width decreases begins to drive the two resonance features apart as shown in the |ryy| plot of Fig. 16. Overall, the cap thickness does not greatly effect the magnitude of the cross-polarized transmission. It is observed that frequency shifts occur in the reflection and transmission spectra due to the change in phase caused by the increased optical path length for thicker layers. As the cap thicknesses increase, the spectra shifts towards lower frequencies, i.e. longer wavelengths. Effectively, the increase in optical path length requires longer wavelengths to achieve the same phase at the interfaces. As one can see, the wire width, gap width, and cap thickness are highly fabrication tolerant and will not greatly affect the response of the metamaterial.

38 safnto ffeunyadgoercsz ftewr it,wr a,adcpthickness. cap and gap, wire width, coe wire transmission the and of size reflection geometric cross-polarized and and frequency co-polarized of the function of a as magnitude The 16: Figure

cap thickness (μm) gap width (μm) wire width (μm) 18.5 20.5 22.5 24.5 26.5 28.5 17 11 13 15 25 26 27 28 29 30 31 7 9 ...... 1.2 1.1 1 0.9 0.8 0.7 0.6 0.5 0.4 0.3 frequency (THz) |t yy |t | ...... 1.2 1.1 1 0.9 0.8 0.7 0.6 0.5 0.4 0.3 frequency (THz) xy |r | 39 ...... 1.2 1.1 1 0.9 0.8 0.7 0.6 0.5 0.4 0.3 frequency (THz) yy | 0 0.2 0.4 0.6 0.8 1 0 0.2 0.4 0.6 0.8 1 0 0.2 0.4 0.6 0.8 1 ffi

cients magnitude (arb.) magnitude (arb.) magnitude (arb.) |t yy | |t xy | |r yy | 1 126

124 0.8

122 0.6

120 0.4 magnitude (arb.) magnitude wire length (μm) (μm) length wire 118 0.2

116 0 1 22

20 0.8 (μm)

18 0.6

16 0.4

14 0.2 (arb.) magnitude spacer thickness thickness spacer 12 0 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 frequency (THz) frequency (THz) frequency (THz)

Figure 17: The magnitude of the co-polarized and cross-polarized reflection and transmission coefficients as a function of frequency and geometric size of the wire length and the spacer thickness.

The response for the remaining parameters, i.e. the wire length and the spacer thickness, are shown in Fig. 17 and it is observed that they have a larger effect on the reflection and transmission spectra. Physically, any metallic wire can be thought of as capable of behaving as an oscillating electric dipole, assuming that something can provide the necessary driving electric field. The oscillating dipole will display resonance features that are dependent, i.e. proportional, to the wavelength of the incident electromagnetic wave [103]. Fundamentally, a standing wave mode forms on the metal wire that is created by oscillating free charges in the metal. When the length of the wire is increased it requires a longer wavelength to resonate, similar to a standing wave in a tube. Shorter wires will require a shorter wavelength to excite a resonance mode. As one can see, in Fig. 17 both the co-polarized and cross-polarized reflection and transmission coefficients experience a red-shift as the length of the wire increases. The metamaterial is much more sensitive to this parameter, as a change in 5µm results in a red-shift of 0.05THz in the operating frequency of the meta- material. The most critical parameter in the proposed metamaterial stack is arguably the spacer thickness, ts. As shown in Fig. 17, for larger spacer thicknesses the resonance frequency of the metamaterial shifts to lower frequencies, and the magnitude and bandwidth of the cross-polarized transmission decreases. As discussed previously, wires in the top patterned layer couple inductively with wires in the bottom patterned layer. Therefore, when the distance between these two layers increases, the coupling strength between the

40 100 126 a)31 b)

124 30 80 29 122 60 28 120 40 27 wire width (μm) (μm) width wire wire length (μm) (μm) length wire 118 26 20 polarization purity (%) purity polarization 116 25 0 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 frequency (THz) frequency (THz) 100 22 c) 28.5 d)

20 26.5 80 (μm) 18 (μm) 24.5 60

16 22.5 40

14 width gap 20.5 20 polarization purity (%) purity polarization spacer thickness thickness spacer 12 18.5 0 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 frequency (THz) frequency (THz) 100 17 e)

15 80

13 60

11 40

9 20 cap thickness (μm) thickness cap polarization purity (%) purity polarization 7 0 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 1.1 1.2 frequency (THz)

Figure 18: The cross polarization purity as a function of frequency and geometric feature size for the wire length, wire width, spacer thickness, gap width, and cap thickness. parallel wires decreases. For thinner spacer layers the coupling between wires will increase, but as one can see the greatest effects occur for the co-polarized reflection and transmission coefficients. A similar logic exists to explain the red-shift behavior of the cross-polarized transmission spectra as compared with the the wire length. For larger spacer thicknesses, the physical separation increases, but the same optical path separation (d/λ), can be met by longer wavelengths. Changes of up to 5µm can once again red-shift the spectra 0.05 THz. Both wire length and the spacer thickness are considered critical geometric parameters as small changes in the length or thickness can result in a shift of the operating frequency and a reduction in performance.

41 In general Figs. 16 and 17 explain the generic trends and performance of the metamaterial as a function of the lithography printed feature size error and the spin coating thickness tolerance. In order to show how the performance of the metamaterial as a 90◦ polarization rotator is dependent on feature sizes, the cross-polarization purity is used as the figure of merit. The cross polarization purity is defined as follows:

2 |txy| η = , (3.6) 2 2 |txy| + |tyy|

2 2 where η is the cross polarization purity, |tyy| and |txy| are the co- and cross-polarized transmitted intensities, respectively. Eq. (3.6) is essentially a comparison of how much light is polarized in a direction orthogonal to the incident wave polarization. Fundamentally, the presented figure of merit represents the purity of the transmitted polarization state. For each of the geometric parameters, the results are shown in Fig. 18. As one can see, the transmitted cross-polarization purity reaches nearly 100% at some resonance fre- quency for every size of each geometric parameter, except for the spacer thickness, ts. It is obvious that the coupling that exists between the adjacent metamaterial layers is most responsible for the behavior of device. As shown in Fig. 18 (c), the bandwidth of operation increases for smaller layer thicknesses, but the cross-polarization purity decreases. Likely this is due to the stronger coupling between the wires which alters the phase relationship between the incident electric field and the induced fields generated by the cop- per wires. When the phase between these two field components changes, the forward propagating field no longer cancels completely which results in an increase in co-polarized transmission. However, since there is still a strong coupling between the wires, an electric field is still generated in the orthogonal direction, explaining the non-zero cross-polarized transmission. Overall, the performance of the metamaterial appears very robust against these unit cell geometry feature sizes. Although the unit cell geometry is very relevant to the performance of the metamaterial, layer misalign- ment between the patterned layers is more critical to achieving the desired response of the metamaterial. Figure 19 (a) shows a schematic diagram of the metamaterial where one layer as been shifted by an amount ∆x and ∆y with respect to the second layer. Layer misalignment in lithography is easily caused by the lim- ited resolution of photomask aligners and the size and placement of alignment marks on a photomask. In general to align two different patterned layers in a lithography process, two complimentary alignment marks are patterned near the metamaterial, one on each layer. The mask aligner operator then uses a microscope to align the two marks before patterning the second layer. Unfortunately, since one layer has already been fabricated, it may have printed features differing from the original design and since the operators judgment is reliant on the limited resolution of the microscope, it is very possible for layer offsets of a few microns in

42 a)layer misalignment b) random line edge roughness

Δx Δy

top layer (TL) bottom layer (BL)

Figure 19: Schematic diagram depicting (a) misalignment of the patterned layers by an amount ∆x and ∆y in thex ˆ andy ˆ-directions, respectively, and (b) random line edge roughness of the patterned metallic cut wires. both lateral directions. In order to understand the effects of layer offsets on the metamaterial performance, a set of simulations are performed in CST, where all of the cut wires in one layer are shifted in the x- and y-directions with respect to the adjacent metamaterial layer. The geometric parameters of the unit cell are chosen as those presented for the optimized model in Section 3.2. The co- and cross-polarized transmissiv- ity and reflectivity, at the resonance frequency of 0.705 THz, for a y-polarized input beam as a function of lateral shift, ∆x and ∆y, of up to 5µm is shown in Fig. 20. The cross-polarized transmissivity, Fig. 20 (a), reveals that overall as the layer shift increases, the conver- sion of y-polarized light into x-polarized light decreases. This can be contributed to the decreasing overlap between parallel wires in adjacent layers. As the layer overlap decreases, the inductive coupling between the layers decreases, which results in a smaller magnetic field formed between the wires. Further, in Fig. 20 (c) the co-polarized reflectivity shows a similarly symmetric trend, except that the reflectivity increases as the lateral shift increases. The co-polarized transmissivity and cross-polarized reflectivity, Figs. 20 (b) and (d), although negligible in intensity, show an increase as the lateral shift between layers increases. The order 2 of magnitude change in |rxy| is due to the symmetry breaking of the chiral structure. Overall, even for 2 dramatically exaggerated lateral shifts of 5µm in each direction, the cross-polarized transmissivity, |txy| , is only reduced by approximately 15%. These results indicate that reasonable layer misalignment, does not dramatically alter the performance of the metamaterial. The last fabrication imperfection to investigate is the effect of edge roughness of printed features on the metamaterial response. Figure 19 (b) shows an example of the generated random line-edge roughness for both patterned layers in the metamaterial. Edge roughness is generally created in any lithography process, both lift-off and etch based. The development process of a photoresist is a chemical process that, although

43 iue2:Teitniyo h a rs-oaie n b oplrzdtasitdwv n c co- (c) and wave transmitted co-polarized (b) shift, and layer the cross-polarized of wave. (a) function input a y-polarized the as a wave of reflected cross-polarized intensity (d) and The polarized 20: Figure Δy (μm) c) Δy (μm) a) -5 -5 5 0 5 0 -5 -5 Δx (μm) Δx (μm)Δx 5 0 5 0 |r |t xy yy | | 2 2 0.215 0.22 0.225 0.23 0.235 0.24 0.245 0.25 0.255 0.26 0.185 0.19 0.195 0.2 0.205 0.21

Intensity (arb.) Intensity (arb.) 44

Δy (μm) d) Δy (μm) b) -5 -5 5 0 5 0 -5 -5 Δx (μm) Δx (μm) 5 0 5 0 |r |t yy xy ∆ | | 2 2 x and ∆ y t075Tzfor THz 0.705 at , 0.02 0.04 0.06 0.08 0.1 0.12 0.14 0.1 0.2 0.3 0.4

Intensity (×10 -3 ) (arb.) Intensity (×10 -3 ) (arb.) isotropic in nature, will result in a pattern with jagged edges. In general these edges will be due to the chemical etch process and the limited resolution of the imaging system with respect to the printed features and wavelength of light used. A second possible cause of edge roughness occurring in a lift-off process, is due to the formation of sidewalls when metal or another material is deposited into holes in the patterned resist. When the resist is then chemically removed, this may pull or tear away the deposited material causing a jagged and rough appearance. In order to simulate this random feature, 5 simulations are performed in CST, where every wire in each simulation experiences a randomly generated lineshape. The geometric parameters of the unit cell are once again taken from the optimized model presented in Section 3.2. Each wire is generated by defining a set of polygon points in the x- and y-parameter space. The x- or y-coordinates then experience an addition or subtraction of a random number between 0 and 2 µm. The polygons are then extruded to a thickness of 200 nm, creating the cut-wire model. The described method results in cut wire shapes that are roughly rectangular in shape, but that have a random sawtooth-like pattern at the edges, similar to the diagram shown in Fig. 19. The magnitude of the co- and cross-polarized transmission and reflection coefficients for a y-polarized input beam are shown in Fig. 21. The 5 random simulations are consistent and show no large deviation. The most significant difference be- tween the results is found in the comparison of the cross-polarized reflection coefficients, Fig. 21 (d). Since each simulation results in a different structure due to the random nature of its shape, and since each structure is no longer symmetric, the change in the response is not predictable. For comparison, the cross-polarization purity is shown in Fig. 21 (e). As one can see, there is no significant change between each simulation and the response for each simulated geometry reaches approximately 100% transmitted polarization purity at the design frequency of 0.705 THz. Overall, the simulation of fabrication errors has revealed that the chiral metamaterial is robust against common fabrication errors including incorrect printed feature size, layer misalignment and edge roughness. This method of robust parametric study is suitable for considering the reliability of fabrication for meta- material devices. Application of this method to study the response and tolerance of devices to common fabrication errors can reduce prototyping costs and can inform analysis on fabricated devices to discover potential fabrication errors.

3.3 Fabrication

Many methods exist for fabricating metamaterials, and in general the method used can depend on sev- eral factors including the constituent materials of the metamaterial and importantly the feature size of the

45 iue2:Temgiueo a oplrzdad()cosplrzdtasiso coe transmission simulations. 5 cross-polarized the (b) and di co-polarized with coe ulations (a) reflection cross-polarized of (d) magnitude and The co-polarized 21: Figure

|r yy | (Arb.) |t yy | (Arb.) 0.2 0.4 0.6 0.8 0.4 0.6 0.8 0.2 0 1 1 0 ...... 1.2 1.1 1.0 0.9 0.8 0.7 0.6 0.5 0.4 0.3 0.3 ff . . . . 0.8 0.7 0.6 0.5 0.4 c) a) rn admln deruhes e acltdtasitdcosplrzto uiyfor purity cross-polarization transmitted Calculated (e) roughness. edge line random ering run 5 run 4 run 3 run 2 run 1 frequency (THz) rqec Tz frequency(THz) frequency (THz)

polarization purity (%) 100 20 40 60 80 0 ...... 1.2 1.1 1.0 0.9 0.8 0.7 0.6 0.5 0.4 0.3 . . 1.2 1.1 1.0 run 5 run 4 run 3 run 2 run 1 e) Frequency (THz) ffi 46 insa ucino rqec o niiulsim- individual 5 for frequency of function a as cients

|r xy | (Arb.) |t xy | (Arb.) 0.2 0.4 0.6 0.8 0.2 0.4 0.6 0.8 0 1 0 1 ...... 1.2 1.1 1.0 0.9 0.8 0.7 0.6 0.5 0.4 0.3 1.2 1.1 1.0 0.9 0.8 0.7 0.6 0.5 0.4 0.3 run 5 run 4 run 3 run 2 run 1 d) b) frequency (THz) run 5 run 4 run 3 run 2 run 1 run 5 run 4 run 3 run 2 run 1 ffi insad(c) and cients metamaterial structures. The proposed metamaterial, shown in Fig. 12, falls well within the capabilities of standard lithography techniques. In order to fabricate this material, several processing steps are required. A schematic diagram, shown in Fig. 22, depicts the general processing steps used to fabricate the multi-level cut-wire chiral metamaterial. The focus of this section is to provide a thorough description of these pro- cessing steps. Fabrication of metamaterial samples was performed at the USF Nanotechnology Research and Education Center (NREC), and many steps utilized a Class-1000 cleanroom. Only the metal deposition steps were performed outside of the cleanroom environment. Before any processing steps are performed the following activities are performed: the polyimide, HD Microsystems’ PI-2525 is removed from the refrig- erator storage (stored at or below −18◦) and allowed to come to room temperature before use. This process takes approximately 1 hour. Hot plates are then turned on and allowed to reach desired temperature range for the day’s process, which takes approximately 15 minutes. The substrates used in this fabrication are glass microscope slides, Premiere CA6101, that have been scribed/cut down to an approximate size of 1.5"x2".

3.3.1 Preparation

As shown in Fig. 22 the first step of the process requires cleaning the glass substrates. First, the substrate is soaked in a petri dish of Acetone for approximately 5-10 minutes to remove organic residue on the surface of the substrate. Next, the substrate is transfered into a petri dish of Isopropyl Alcohol (IPA) for approximately 5-10 minutes to remove the Acetone in a streak-free fashion and also further remove organic material. The substrate is then rinsed of IPA using De-Ionized (DI) water for approximately 30 seconds to 1 minute in order to remove the IPA and ensure no streaks appear. The water is then blown off of the substrate using a Nitrogen gas dispensing gun. The substrate is then placed on a hotplate at a temperature of 100◦C for approximately 2 minutes to completely remove water from the surface of the substrate and ensure that the surface of the substrate is hydrophilic. Last, the substrate is allowed to cool to room temperature for approximately 5-10 minutes. Samples are cleaned in this manner prior to the following processes.

3.3.2 Spin Coating Polyimide

The next step in the fabrication, as shown in Fig. 22, is spin coating of polyimide (PI-2525). This step, Step 2, is repeated again in Steps 7 and 12. Therefore, for brevity, the process will be described only once here, and the differences in the spin process will be described as needed. A Laurel WS-400/500 Series photoresist spinner is used to spin coat all of the polymer layers. In preparation for the polyimide layer, a 1% solution of VM651 composed of 99 mL’s of DI water and 1 mL of VM651, supplied by HD Microsystems, is first spin coated onto the glass substrates. VM651 is applied by hand using a disposable pipette to cover the entire

47 Step 1 Step 2 Step 3

Clean Substrate Spin Polyimide Spin PR

Step 6 Step 5 Step 4

Lift-off Deposit Cu Pattern PR

Step 7 Step 8 Step 9

Spin Polyimide Spin PR Pattern PR

Step 12 Step 11 Step 10

Spin Polyimide Lift-off Deposit Cu

Substrate Polyimide Photoresist Copper

Figure 22: A schematic diagram that depicts the general 12 step process used to fabricate the multi-level cut-wire chiral metamaterial. The arrows indicate the direction of the process flow. Each material is depicted using a different color, e.g. the substrate is dark blue, polyimide is light blue, photoresist is pink, and copper is . The displayed process does not include intermediate stages, such as cleaning, baking, application of adhesion promoters, or secondary photoresist layers.

48 Table 1: Spin Speeds of Polyimide (PI-2525) layers.

Spin Settings Step 1 Step 2 Step 3

Final Spin Speed (rpm) 500 8000 0

Spin Duration (s) 10 55 11

Cap Layers Acceleration (rpm/s) 100 2090 -770

Final Spin Speed (rpm) 500 6800 0

Spin Duration (s) 10 45 9

Spacer Layer Acceleration (rpm/s) 100 2090 -770

substrate. To achieve a thin layer, the spinner is set to spin at 3000 rpms for 30 seconds with an acceleration of 1600 rpms. VM651 is an adhesion promoter that increases the adhesion of PI-2525 with substrates and other material layers, and it is used prior to any spin coating of polyimide. VM651 does not require a curing (i.e. baking) step. Next, PI-2525 is then pipetted onto the VM651 directly. Note that due to the low viscosity of PI-2525, the dispensing end of a disposable pipette is cut using an Exacto knife so that the dispensing opening is approximately 3 mm. Approximately 2 mLs of PI-2525 is dispensed slowly onto the sample. The polyimide is allowed to relax onto the surface of the sample for approximately 1 − 2 minutes, which ensures that the polymer spreads evenly across the surface. Due to the viscosity of the polyimide, bubbles are very common. Any bubbles are removed using a second pipette that has not been altered by inserting the tip and extracting the trapped air. The layers in Step 2 and Step 12 (i.e. the first and last polyimide layers) require the same spin coating settings since they require the same thickness. In Step 7, the middle polymer layer, the spin settings are adjusted to achieve a thicker polyimide layer. The spin settings for these layers are described in Table 1. After the polyimide has been spin coated onto the sample, it is placed onto a hotplate to cure the polymide and remove the VM651 adhesion layer. To ensure the polyimide will not be etched with the photoresist, a long duration and high temperature cure process is carried out. For a full cure, the sample is first baked at 120◦C for 1 minute. The temperature of the hotplate is then increased by 10◦C / min up to 150◦C. The sample is allowed to rest at then let rest at 150◦C for 15 minutes. The temperature is then ramped again at 10◦C / min up to 250◦C. The sample is then allowed to cure at 250◦C for 45 minutes. After 45 minutes, the hotplate is turned off and the sample is allowed to return to room temperature on the hotplate. After the polymide layer has been applied, the next process is to apply photoresist.

49 Table 2: Spin Speeds of photoresist materials LOR 10B and AZ5214.

Spin Settings Step 1 Step 2 Step 3

Final Spin Speed (rpm) 500 3000 0

Spin Duration (s) 10 45 5 LOR 10 B Acceleration (rpm/s) 110 1650 -770

Final Spin Speed (rpm) 500 3000 0

Spin Duration (s) 10 40 5 AZ 5214 Acceleration (rpm/s) 110 1650 -770

3.3.3 Lithographic Patterning Process

The lithography process combines several steps in the fabrication cycle including, spin coating photoresist layers, exposing the photoresist layers to UV light using a photomask aligner, and then developing the photoresist layers to remove the unwanted portions. This section will detail the general process steps taken to pattern with the metamaterial design. First, LOR 10B, a lift-off enabling resist supplied by Microchem Inc., is deposited by pipette to cover the entire sample. The LOR 10B is then spin coated using a 3-step process described in Table 2. The purpose of this layer, which is not photo-definable, is to allow for undercut beneath a standard photoresist, which occurs due to the isotropic etching of a developer solution. The undercut that forms slightly beneath the photoresist, helps to ensure that no sidewalls develop which limits pattern edge roughness a lift-off process is performed. The LOR 10B is then placed and cured on a hotplate at 150◦C for 2 minutes. The sample is allowed to cool before further process steps, which takes approximately 5 minutes. Next, a compatible positive photoresist AZ 5215, manufactured by Microchemical GmbH, is then deposited by pipette to cover the entire sample. The photoresist is then spin coated using the process described in Table 2. Finally, the AZ 5214 is cured on a hotplate at 100◦C for 1 minute. Once the photoresist has been placed onto the sample, it is imperative that the next steps in the process, exposure to UV light and development, take place immediately since over time it is probable that the resist may be exposed to other sources of UV light that will potentially ruin a pattern or the entire layer. The next step in the process is to expose the photoresist coated sample to UV light that is transmitted through a photomask pattern. For this process an acetate photomask was developed using Mentor Graphics

50 L-Edit software. Since the resist is positive, the photomask appears as an image negative, compared with the schematic model of Fig. 12, i.e. light will transmit through the sections of the model where copper should be. The acetate mask is secured to a 5” square glass plate so that it may be used in a Karl Suss MA 56 contact mask aligner. For a contact mask aligner, the sample is loaded onto a wafer holder, hereafter referred to as a chuck. The glass mask plate is then lowered into contact with the sample, after which it is raised slightly to hover 50µm above the sample. The wafer is then aligned using positioning marks on the mask to ensure that subsequent layers are then placed directly above the previous pattern. The mask returns to contact with the sample and the wafer is then exposed to broadband UV light. An acceptable exposure dose is determined experimentally by measuring the intensity of the UV source using a UV power meter. After exposure, the samples are then immediately soaked in a petri dish bath of AZ 400K 1:4, manu- factured by Microchemical GmbH, for 90 seconds. The sample solution is manually agitated during the development to ensure that it does not redeposit elsewhere on the sample. The samples are then rinsed using a DI water gun for approximately 1 minute, after which they are blown dry with Nitrogen and subsequently baked at 100◦C for 2 minutes to remove water from the sample.

3.3.4 Electron Beam Evaporation

After the photoresist has been developed, i.e. after a pattern has been placed onto the sample, copper (Cu) is deposited using a Varian Electron Beam (e-beam) Evaporator. The evaporated material will fill in partially the areas of the sample that have been exposed. The samples are inserted face-down into a stationary wafer holder placed approximately 0.5 m above the graphite crucible containing Cu to be evaporated. The chamber is then placed under high vacuum to perform the deposition process. The Varian evaporator utilizes a turbo- molecular vacuum pump as well as a Liquid Nitrogen cold trap to reduce the pressure of the vacuum system to pressures of approximately 1 × 10−5 Torr. The e-beam is manually centered onto the Cu target, and a suitable beam sweep is applied to ensure that as much of the target is being illuminated by the beam as possible. The beam current is raised until the Cu target begins to melt. It is important to note that at these higher beam currents, any adjustment of the beam will result in contamination since other materials inside the chamber may evaporate if struck by the beam. Once noticeable evaporation begins, a mechanical shutter that blocks the evaporate from reaching the samples is opened. The deposition rate and total deposited thickness are monitored using a Quarts Crystal Microbalance (QCM), and the beam current is adjusted to increase or decrease the deposition rate. Once the desired thickness is achieved, the mechanical shutter is closed and the beam current is gradually reduced to 0 mA to ensure that the Cu target will not form air

51 pockets in the material. The liquid nitrogen storage trap is then warmed until it reaches room temperature before the vacuum pumps are disengaged and the chamber returns to atmospheric pressure and the samples removed. As stated before this is the only process not carried out in a cleanroom environment.

3.3.5 Lift-Off of Photoresist

As shown in Fig. 22, after the Cu layer has been evaporated onto the sample a lift-off process is carried out to remove the unexposed photoresist and the Cu layer now resting on it. Once this layer is removed, all that will remain is the metal pattern of the metamaterial layer. First, a solution of Microposit Remover 1165, supplied by Shipley, is placed in a petri dish and heated to 60◦C. Microposit Remover is a chemical solution that removes photoresist from a sample surface, and can be used for lift-off processes. Once the temperature of the solution has reached 60◦C the samples are placed in the photoresist remover, with the patterned side facing up, so that the sample is completely immersed in solution. The sample must be agitated to help ensure that the Cu does not redeposit elsewhere on the surface of the sample. Each sample was manually agitated for approximately 15-30 seconds every 2-5 minutes. The samples are left in the solution until all of the excess Cu has been removed from the surface. This process took approximately 1.5-2 hours, and varied depending on the sample and layer being processed. Once lift-off of the photoresist layer has been completed, the samples are removed from the solution and rinsed for 1 minute in DI water. To remove the water layer, the samples are then baked at 100◦C for 2 minutes.

3.4 Fabricated Samples

An example of a fabricated chiral metamaterial is shown in Fig. 23 (a) as imaged using a Mitutoyo mi- croscope at 5x magnification. As one can see, the unit cell is approximately 200 µm. The individual unit cell of the metamaterial is depicted in Fig. 23 (b) at 20x magnification. As one can see from Fig. 23 (b), the alignment of the two patterned copper layers is well within the simulated fabrication tolerance of 5µm. Further, the line edge roughness of the cut wires falls within the simulated fabrication error. The low relative error in the printed features indicate that the fabricated samples should exhibit relatively good performance in experiment. An experiment to measure the performance of the fabricated metamaterial samples using THz time-domain spectroscopy is left for future studies.

52 a) b)

200 μm

Figure 23: (a) Full metamaterial stack as viewed from above with Mitutoyo microscope at 5x magnification. (b) Unit cell of the fabricated chiral metamaterial with measurements at 20x magnification.

3.5 Summary and Future Studies

In this chapter, a chiral metamaterial design was presented that is capable of achieving 90◦ polarization rotation in the THz regime. It was demonstrated using rigorous computational simulations that the large optical activity associated with this metamaterial is due to inductive coupling between the top and bottom cut wire pairs in the metamaterial. The coupling between the metmaterials leads to the formation of a magnetic moment that is aligned parallel to the incident electric field vector and results in the rotation of the plane of polarization. Further, a study was presented showing the effects of the metamaterial geometric feature sizes on the electromagnetic response of the chiral material. It was shown that the large optical activity associated with the metamaterial is highly tolerant to fabrication imperfections. Last, a fabrication methodology was presented and demonstrated using traditional lithography techniques. There are two proposed future studies associated with the chiral metamaterial presented in this chapter. One future study involves creating the full free-standing chiral metamaterial presented in Fig. 12. Although the design presented in this chapter did not include the substrate effects, it will change the overall response of the metamaterial. However, it should be noted that even with a substrate present, a chiral response will be observed. Unfortunately, due to reflection off of the substrate surface, and the innate coupling that the metamaterial exhibits, the metamaterial will show a diminished response in experiment. In order to fabricate a truly free-standing chiral metamaterial, the substrate must be removed. Although there are several methods that could accomplish this feat, Fig. 24 demonstrates the fabrication steps required to remove the substrate using a Deep Reactive Ion Etch (DRIE) method [130]. DRIE is an anisotropic etch process that allows for

53 Step 1 Step 2 Step 3

Fabricated CMM Spin PR Top Spin PR Bottom

Step 6 Step 5 Step 4

Remove PR DRIE Pattern PR

Silicon Polyimide Photoresist Copper

Figure 24: A schematic diagram depicting the fabrication steps necessary to perform backside substrate removal using Deep Reactive Ion Etching (DRIE). The first photoresist layer is placed for protection of the surface of the fabricated chiral metamaterial. very steep sidewall formation. In the DRIE process, an etchant gas and passivating layer are alternatively assisted towards the sample by ion bombardment. Fundamentally, this process is a combination of many isotropic etch processes and between each etch step the sidewalls are coated with a thin polymer layer, resulting in an anisotropic etch. Since the metamaterial polyimide layers are relatively thin, it is not feasible to fully remove the glass or silicon substrate. As shown in Steps 2 and 3 of Fig. 24, in order to selectively remove only the substrate, layers of photoresist must first be spin coated onto the top of the metamaterial stack and the bottom of the substrate. The photoresist layer on top of the metamaterial stack will searve to protect the metamaterial layer from any etchant materials when performing DRIE. As shown in Step 4 of Fig. 24, the photoresist covering the substrate is selectively patterned to remove a large enough area for experiment. Once DRIE has been performed, the photoresist can be removed from the sample. It should also be noted that the fabrication of this same sample without a substrate is required for applications in Coherent Perfect Absorption, c.f. Chapter 4. The last proposed study is the experimental verification of the 90◦ polarization rotation ability of the chiral metamaterial presented in this chapter. This experiment can be carried out using a THz-Time Domain Spectroscopy technique [131] as shown schematically in Fig. 25. Using a suitable THz source, the beam is separated by a beam splitter into a reference and probe beam. The probe beam then interacts with a THz emitter generating a THz pulse, which then propagates through a wire-grid type linear polarizer (LP1) before

54 BS LP1 femtosecond laser THz Emitter Sample

LP2

THz Delay Detector Stage

Figure 25: A schematic diagram representing an experiment that could be performed on the chiral metama- terial to measure the co-polarized and cross-polarized transmission coefficients, where the initial femtosec- ond beam is separated by a beam-splitter (BS), and the THz beam transmits through a linear polarizer (LP) before and after passing through the sample. interacting with the chiral metamaterial. After transmission through the chiral metamaterial, the THz beam then interacts with a rotatable linear polarizer which is aligned either parallel or orthogonal to LP1 in order to measure the co-polarized and cross-polarized transmission coefficients, respectively. The THz beam and the femtosecond reference beam then interact with the THz detector. A temporal delay is varied between the reference beam and the THz beam in order to achieve a time resolved signal. The signal is measured in the time domain, and therefore a Fourier transform of the signal reveals the frequency response of beam transmitted through the chiral metamaterial. Using this method, the transmitted intensity can be compared with the results of Fig. 13 in order to verify it’s performance as a 90◦ polarization rotator.

55 Chapter 4 Coherent Perfect Absorption in Transversely Isotropic Chiral Metamaterials

Note to Reader Portions of this chapter have been previously published in Y. Ye, D. Hay, and Z. Shi, "Coherent Perfect Ab- sorption in chiral metamaterials", Opt. Lett. 41, 3359-3362 (2016), and has been adapted with permission from the Optical Society.

4.1 Active Metamaterial Control: Coherent Perfect Absorption

Metamaterials have been extensively studied for their ability to perfectly absorb light by design [42–45]. The ability to perfectly absorb light has many potential applications, e.g. solar energy conversion [132,133], sensing [134, 135], and detectors [136, 137]. Many of these previous studies involved static metamaterial designs, whose response is in general fixed once the material is fabricated. Although static devices are the most prevalent in optical systems, e.g. lenses, detectors, apertures, mirrors, etc., active devices such as optical modulators are highly sought after. The unique advantage of metamaterials is that by their very nature, they can be adapted for any frequency range. The ability to modulate dynamically the amplitude, phase and polarization of light enables the generation of exotic beam forms ,i.e. OAM and vector beams, and can enable imaging and communications applications in previously unused frequency regimes [65, 66]. Further, active control of the electromagnetic scattering from a metamaterial has been demonstrated using mechanical deformation [67,68], voltage biasing [69,70], photoexcitation [71–73], and thermal stimulation [66,74]. Active metamaterial control is a surging topic in the community and it has been targeted as an area for future investigation/development by prominent metamaterials researchers [138]. Coherent Perfect Absorption (CPA) has recently become an interesting topic in the optics community. It drew much intrigue as it was first described as the time reversed process of lasing, and the theory was developed using quantum mechanical formulation [139–142]. These initial works showed both theoretically and experimentally that symmetric homogeneous isotropic media, such as a silicon slab, could achieve controllable perfect absorption for coherent monochromatic illumination. Fundamentally, coherent control perfect absorption is an interferometric process in which two counter-propagating beams are coincident with

56 des tructive interf nterference e renc destructive i e

Figure 26: Schematic diagram representing the process of Coherent Perfect Absorption (CPA). The red line indicates a beam that is incident on the slab medium from the left that is reflected and transmitted towards the left and right and sides, respectively. The green line represents a suitably phase-delayed beam incident from the right-hand side of the slab medium. The overlap of the two beams upon exit show that destructive interference occurs and results in perfect absorption within the medium. a material. The resulting reflected and transmitted waves from each beam destructively interfere outside the medium, which results in perfect absorption of the waves within the medium, as shown schematically in Fig. 26. Under the coherent control scheme, the absorption could be tuned between 0 and 1, i.e. perfect absorption, by controlling the relative phase difference between the two coherent beams. Coherent perfect absorption has been demonstrated in composite films [143], epsilon-near-zero media [144], graphene based metamaterials [145], deeply subwavelength metasurfaces [146, 147], and others [148–151]. Much of the early work into CPA investigated the effect in isotropic media that were polarization insensitive. Eventually, applications of coherent perfect absorption began to emerge. Initial investigations into ap- plication of CPA focused on controlling the polarization state of coherent light using a designed metasur- face [152–160]. Under these coherent control schemes, the selective absorption of the polarization state could enable dynamic control of polarization state of the output beams. Coherent perfect absorption has found further applications in the field of communication, such as optical switches and modulators [151], creating optical logic devices [161], and all-optical image recognition [162]. Previous works focused mainly on presenting methods based on quantum theory or less rigorous clas- sical scattering theory. In this chapter, a classical formalism using 2-port scattering theory is developed to describe Coherent Perfect Absorption in 4-fold symmetric chiral metamaterials. First, the derivation of CPA in these materials will be presented with generic overview of scattering theory. Next, a mathematical description of symmetry in 4-fold symmetric chiral metamaterials and its effects on the scattering matrix are described. By applying symmetry conditions, as well as reciprocity, the scattering matrix coefficients

57 CCW CW →out → in E – E + → in →out E – E +

→ in → E – out y E + → out →in E – E + z x

Figure 27: Schematic diagram of the 2-port scattering process for coherent perfect absorption in the CMM slab. The green and blue arrows indicate the input and output beams, respectively, “+” and “−” represent the counter-clockwise (CCW) and clockwise (CW) rotating circular polarizations (CP) derived from the circular polarization basis, respectively. Adapted with permission from Ref. [163], c The Optical Society. will be reduced from 16 independent parameters to 3 independent parameters. A chiral metamaterial is presented that possessess each of the symmetry constraints, and the electromagnetic scattering response of the metamaterial is presented in the clockwise(counter-clockwise), CW/CCW, polarization basis. The the- oretical results for the metamaterial under the coherent control scheme are calculated, and the results for dynamically tuning the absorption are shown. Further, it will be shown that the metamaterial operated under the coherent control scheme can dynamically vary the output polarization state and achieve any polarization along the great circle of the Poincaré sphere.

4.2 2-Port Scattering Matrix Theory

In the following section, a 2-port scattering matrix theory based on the circular polarization basis, using the fundamental linear scattering matrix as a starting point, will be presented. A rigorous search of relevant research has not yielded any scattering matrix theories that present the following in a unified manner that is as intuitive as the presented methodology, in the authors opinion. The generic 2-port scattering process for a slab medium with arbitrary linear properties is depicted schematically in Fig. 27. As one can see, there are in general 4 input beams and 4 output beams. The 4 input beams are composed of 2 pairs of orthogonally polarized beams, one pair of beams are incident on each side of the medium. The 4 output beams are similarly composed of 2 pairs of orthogonal polarizations except the beams exit the slab medium. The scattering process depicted in Fig. 27, can be expressed mathematically in the linear polarization basis

58 using a 4 × 4 scattering matrix as follows [164]:

        E~out   ~t ~r ~t ~r  E~in   E~in   x   xx xx xy xy  x   x          Eout~   r ~ t ~ r ~ t ~  Ein~   Ein~   x   xx xx xy xy  x   x    =    = Sx,y   (4.1)  ~out   ~ ~  ~in   ~in   Ey   tyx ~ryx tyy ~ryy  Ey   Ey          out~    in~   in~  Ey ryx~ tyx~ ryy~ tyy~ Ey Ey where E is the complex amplitude of the electric field, the arrows indicate the propagation direction of the beam, the subscript indicates the polarization of the beam, and the superscripts ”in” and ”out” indicate the in~ whether the beam is propagating into or out of the medium, respectively. For example, Ex represents an x-polarized beam that is propagating towards the left (+zˆ-direction of Fig. 27, and it is entering, i.e. it is incident on, the slab medium. The linearly polarized scattering matrix, Sx,y, is composed of the complex valued reflection and transmission coefficients when there is only one corresponding input field, ~tαβ, tαβ~ , ~rαβ and rαβ~ , where α,β = x or y, and the arrow above each coefficient indicates the propagation direction of the output beam. As an example txy~ is the transmission coefficient of a y-polarized input beam that is converted into an x-polarized output beam that propagates in the +zˆ direction. In the rest of the text, reflection and transmission coefficients that represent the conversion of one polarization to another will be referred to as "cross-polarized" coefficients. For simplicity, the Eq. (4.1) can be represented as:

out in Ex,y = Sx,yEx,y. (4.2)

As expressed earlier, it is often convenient to represent scattering for chiral media in a circular polarization basis. Next, in order to convert the linear polarization scattering matrix, Sx,y, into the left- and right- circular polarization basis, the input and output fields are converted using the following relationship [1]:

E~RCP = E~x − iE~y,

E~LCP = E~x + iE~y, √ where i is the complex number (i.e. −1), and RCP and LCP represent right- and left-hand circular polarizations, respectively. It is important to note that this is for a fixed observer. When the propagation direction changes, and the observer stays fixed, the sign of the phase delay term will swap (i.e. i → −i) in these equations. Using these relationships, it is possible to express the complex electric field amplitudes in

59 the linear polarization basis in terms of the circular polarization components as follows:       E~in   1 0 1 0  E~in   x    RCP        Ein~   0 1 0 1  Ein~   x  1   RCP    =   , (4.3)  E~in  2  i 0 −i 0  E~in   y    LCP        in~    in~  Ey 0 −i 0 i ELCP in in Ex,y = MR,LER,L, (4.4) where for convenience the conversion of circular polarization to linear polarization, MR,L, is expressed in matrix form. An identical relationship holds for the output beam vectors. By inserting Eq. (4.3) into Eq. (4.2) the scattering matrix in the RCP/LCP basis can be derived as follows:

out in Ex,y = Sx,yEx,y

out in MR,LER,L = Sx,yMRLER,L out −1 in ER,L = MR,LSx,yMR,LER,L in = SR,LER,L, (4.5)

−1 where SR,L is the scattering matrix in the RCP/LCP basis, and MR,L represents taking the inverse of the MR,L matrix. The coefficients of this matrix are composed of a combination of the linearly polarized reflection and transmission coefficients. Although this matrix is suitable to describe chiral systems, it has one inherent limitation in its current form. Unfortunately, the scattering matrix in Eq. (4.5) will contain cross-polarized reflection coefficients. This is simply due to the fact that upon reflection, a right-hand polarized wave will become left-hand polarized. Using the standard circular polarization basis can lead to confusion in defining the polarization state, particularly when considering waves that are traveling in opposite directions. To enable an even simpler matrix formalism, and to consider a system in which the polarization does not change upon reflection, it is convenient to use a polarization basis composed of clockwise (CW) and counter- clockwise (CCW) field rotations. The CW/CCW basis is defined according to the direction the elctric field traces in time for an observer looking towards the -ˆz direction. In the CW/CCW polarization basis, a wave upon reflection from a surface will not change polarization state. The conversion of the RCP/LCP basis into

60 the CW/CCW polarization basis can be accomplished using the following matrix operation:       E~in   0 0 1 0  E~in   R    +        Ein~   0 1 0 0  Ein~   R  1   +    =   , (4.6)  E~in  2  1 0 0 0  E~in   L    −        in~    in~  EL 0 0 0 1 E− in in ER,L = M+,−E+,−, (4.7) where the subscripts ”+” and ”−” are defined as the CCW and CW polarization states, respectively. An identical relationship holds for the output fields. Similar to the previous step, the scattering matrix in the CCW/CW polarization basis can be found as follows:

out in ER,L = SR,LER,L out in M+,−E+,− = SR,LM+,−E+,−

out −1 in E+,− = M+,−SR,LM+,−E+,−

in = S+,−E+,−, (4.8) where S+,− matrix is the scattering matrix in the CW/CCW polarization basis and it is composed of the com- plex valued reflection and transmission coefficients in the CW/CCW polarization basis. The 4 × 4 scattering matrix represented in the CW/CCW polarization basis is described as follows:         E~out   ~t ~r ~t ~r  E~in   E~in   +   ++ ++ +− +−  +   +          Eout~   r ~ t ~ r ~ t ~  Ein~   Ein~   +   ++ ++ +− +−  +   +    =    = S+,−  . (4.9)  ~out   ~ ~  ~in   ~in   E−   t−+ ~r−+ t−− ~r−−  E−   E−          out    in   in  E−~ r−~ + t−~ + r−−~ t−−~ E−~ E−~

The components of the S+,− can be shown to be composed of the linearly polarized transmission and re-

flection coefficients of the Sx,y scattering matrix by following the derivation above. Consequently, the relationship between the CW/CCW polarized transmission and reflection coefficients to the linear polarized

61 coefficients as follows: 1    1    ~t = ~t +~t − i ~t −~t (4.10a) , ~r = ~r +~r − i ~r −~r (4.10b), ++ 2 xx yy xy yx ++ 2 xx yy xy yx 1    1    r ~ = r ~ + r ~ − i r ~ − r ~ (4.10c) , t ~ = t ~ + t ~ − i t ~ − t ~ (4.10d), ++ 2 xx yy xy yx ++ 2 xx yy xy yx 1    1    ~t − = ~t −~t + i ~t +~t (4.10e) , ~r − = ~r −~r + i ~r +~r (4.10 f ), + 2 xx yy xy yx + 2 xx yy xy yx 1    1    r ~ − = r ~ − r ~ + i r ~ + r ~ (4.10g) , t ~ − = t ~ − t ~ + i t ~ + t ~ (4.10h), + 2 xx yy xy yx + 2 xx yy xy yx 1    1    ~t− = ~t −~t − i ~t +~t (4.10i) , ~r− = ~r −~r − i ~r +~r (4.10 j), + 2 xx yy xy yx + 2 xx yy xy yx 1    1    r−~ = r ~ − r ~ − i r ~ + r ~ (4.10k) , t−~ = t ~ − t ~ − i t ~ + t ~ (4.10l), + 2 xx yy xy yx + 2 xx yy xy yx 1    1    ~t−− = ~t +~t + i ~t −~t (4.10m) , ~r−− = ~r +~r + i ~r −~r (4.10n), 2 xx yy xy yx 2 xx yy xy yx 1    1    r−−~ = r ~ + r ~ + i r ~ − r ~ (4.10o) , t−−~ = t ~ + t ~ + i t ~ − t ~ (4.10p). 2 xx yy xy yx 2 xx yy xy yx As one can see, the scattering coefficients in the CW/CCW basis can be highly complex when expressed in terms of the linearly polarized reflection and transmission coefficients. Fortunately, consideration of certain symmetries in the optical system as well as geometric symmetries of a material can dramatically reduce the complexity. Now that the scattering matrix is in the form desired to describe a chiral system, the application of symmetry operations can be applied.

4.2.1 Application of Geometric Symmetry for Transversely Isotropic Chiral Metamaterials

For simplicity in the following discussion, only normal incidence is considered. However, it should be noted that many of the concepts can be extended and applied to non-normal incidence. To be considered trans- versely isotropic, the medium must possess rotational symmetry about its normal direction. By considering a chiral structure with 4-fold rotational symmetry about thez ˆ direction, cross-coupling between CCW and CW polarizations will be reduced to zero. Mathematically, 4-fold rotational symmetry about thez ˆ axis can represented by the C4 matrix operation on the Cartesian coordinate system as follows:

62      xˆ0   xˆ           0  = C   (4.11)  yˆ  4  yˆ       zˆ0   zˆ          cos 2π sin 2π 0  xˆ   4 4          =  −sin 2π cos 2π 0  yˆ  (4.12)  4 4       0 0 1  zˆ     yˆ      =  − , (4.13)  xˆ     zˆ  wherex ˆ0,y ˆ0, andz ˆ0 are the directional unit vectors in the rotated coordinate basis. Under 90◦ rotation about thez ˆ-axis, the 4-fold symmetric chiral material is identical to its original unrotated form, and therefore scattering from the 4-fold symmetric chiral material will be invariant to the rotation. Further, the scattering matrix in the rotated basis must be identical to the original scattering matrix. In the linear polarization basis, the C4 rotation of the two port process can be shown as follows:

out in C4,2−PortEx,y = Sx,yC4,2−PortEx,y (4.14)

out −1 in in Ex,y = C4,2−PortSx,yC4,2−PortEx,y = Sx,yEx,y, (4.15) where the 4-fold rotation matrix for the 2-port process, C4,2−Port is given by:          cos 2π 0 sin 2π 0   0 0 1 0   4 4             0 cos 2π 0 sin 2π   0 0 0 1   4 4    C4,2−Port =       =  . (4.16)  2π 2π     −sin 4 0 cos 4 0   −1 0 0 0        2π   2π     0 −sin 4 0 cos 4 0 −1 0 0 −1 Equating the rotated scattering matrix, C4,2−PortSx,yC4,2−Port, and the original scattering matrix, Sx,y, gives the following relationships between the linearly polarized reflection and transmission coefficients:

~txx = ~tyy (4.17a) , txx~ = tyy~ (4.17b), ~txy = −~tyx (4.17c) , txy~ = −tyx~ (4.17d),

~rxx = ~ryy (4.17e) , rxx~ = ryy~ (4.17 f ), ~rxy = −~ryx (4.17g) , rxy~ = −ryx~ (4.17h).

63 By inserting Eqs. (4.17) into Eqs. (4.10), the cross-polarized CCW/CW reflection and transmission coeffi- cients are found to be equal to zero:

~t+− = 0 (4.18a) , t+~ − = 0 (4.18b), ~t−+ = 0 (4.18c) , t−~ + = 0 (4.18d),

~r+− = 0 (4.18e) , r+~ − = 0 (4.18 f ), ~r−+ = 0 (4.18g) , r−~ + = 0 (4.18h).

It is important to note that this relationship holds when the direction of propagation is normal to the ma- terial surface, and it does not hold for obliquely incident waves. Further, the co-polarized reflection and transmission coefficients in the CW/CCW polarization basis are given by:

~t++ = ~txx − i~txy (4.19a) t++~ = txx~ − itxy~ (4.19b)

~t−− = ~txx + i~txy (4.19c) t−−~ = txx~ + itxy~ (4.19d)

~r++ = ~rxx − i~rxy (4.19e) r++~ = rxx~ − irxy~ (4.19 f )

~r−− = ~rxx + i~rxy (4.19g) r−−~ = rxx~ + irxy~ (4.19h).

As one can see from Eqs. (4.18), and Eqs. (4.19), a structure with 4-fold symmetry about thez ˆ axis ensures that there is no cross-coupling between circular polarizations. Further, decoupling the polarizations allows for the 4 × 4 scattering matrix to be reduced into two uncoupled 2 × 2 scattering matrices, one for each polarization that are given as follows:         E~out   E~in   ~t ~r  E~in   ±   ±   ±± ±±  ±    = S±   =   , (4.20)  out   in    in   E±~   E±~   r±±~ t±±~  E±~  where either the upper or lower subscript of ± is chosen for desired polarization. The remaining coefficients can be further reduced if the structure possess 2-fold rotational symmetry, i.e. the structure is invariant when rotated by 180◦, about thex ˆ ory ˆ axis. Under these constraints, the structure appears identical when viewed from opposite sides. Similar to earlier arguments, the Cartesian coordinate system is altered in the following manner when undergoing such a C2 rotation about they ˆ-axis:               0     2π 2π      xˆ   xˆ   cos 2 0 sin 2  xˆ   −xˆ            0          yˆ  = C2  yˆ  =  0 1 0  yˆ  =  yˆ . (4.21)           0      2π   2π      zˆ zˆ −sin 2 0 cos 2 zˆ −zˆ In the 2-port scattering process, the C2 matrix can be represented by the following:    0 −1 0 0       −   1 0 0 0  C2,2−Port =  , (4.22)    0 0 0 1     0 0 1 0 

64 where the matrix will only be considered to act on the linear polarization components and thez ˆ0 =⇒ −zˆ is satisfied by the conversion of E~x =⇒ E~ x and E~y =⇒ Ey~ since the onlyz ˆ dependency for a normal incident wave lies in the propagation direction. Under 2-fold rotational symmetry the scattering matrix should be invariant, and this can be mathematically represented as follows:

out in C2,2−PortEx,y = Sx,yC2,2−PortEx,y (4.23)

out −1 in in Ex,y = C2,2−PortSx,yC2,2−PortEx,y = Sx,yEx,y. (4.24)

−1 Equating the rotated scattering matrix, C2,2−PortSx,yC2,2Port, and the original scattering matrix, Sx,y, in Eq. (4.24) results in the following relationships between the linearly polarized reflection and transmission coefficients:

~txx = txx~ (4.25a) , ~tyy = tyy~ (4.25b), ~txy = −txy~ (4.25c) , ~tyx = −tyx~ (4.25d),

~rxx = rxx~ (4.25e) , ~ryy = ryy~ (4.25 f ), ~rxy = −rxy~ (4.25g) , ~ryx = −ryx~ (4.25h).

By further inserting Eqs. )4.25) into Eqs. (4.19), relationships between CW/CCW polarized reflection and transmission coefficients are found to be:

~t++ = t−−~ = ~txx − i~txy (4.26a) ~t−− = t++~ = ~txx + i~txy (4.26b)

~r++ = r−−~ = ~rxx − i~rxy (4.26c) ~r−− = r++~ = ~rxx + i~rxy (4.26d).

As one can see from Eqs. 4.26, many of the transmission and reflection coefficients from the 4×4 scattering matrix are redundant when material symmetry is considered. There is only one last symmetry that has to be accounted for: time reversal symmetry. Under time reversal symmetry, the following relationship exists between linearly polarized reflection and transmission coefficients [165–167]:

~txy = tyx~ (4.27a) , ~tyx = txy~ (4.27b),

~rxy = ~ryx (4.27c) , rxy~ = ryx~ (4.27d).

Combining Eqs. (4.27c) and (4.27d) with Eqs. (4.17g) and (4.17h) indicates that:

~rxy = ~ryx = rxy~ = ryx~ = 0, (4.28) and therefore for normal incidence no cross-polarization coupling occurs in reflection for a material with the symmetry constraints proposed. By inserting Eq.(4.28) to Eq. (4.26c) and (4.26d), it can be shown that the reflection coefficient is independent of the input polarization state in the CW/CCW basis:

~r++ = r++~ = ~r−− = r−−~ . (4.29)

65 Ultimately, scattering from a material with 4-fold rotational symmetry about thez ˆ axis, 2-fold rotational symmetry about thex ˆ ory ˆ axis, and that obeys time reversal symmetry can be described by an independent 2 × 2 scattering matrix for each polarization that contains only three unique elements:         E~out   t r  E~in   E~in   +   a  +   +    =    = S+  , (4.30)  out~    in~   in~  E+ r tb E+ E+         E~out   t r  E~in   E~in   −   b  −   −    =    = S−  , (4.31)  out    in   in   E−~   r ta  E−~   E−~  where ta = ~t++ = t−−~ , tb = ~t−− = t++~ , r = ~r++ = r++~ = ~r−− = r−−~ , and S+ and S− are the scattering matrices for the CCW and CW polarizations respectively. The complexity in designing the electromagnetic response of the metamaterial is dramatically reduced since the scattering response must only be designed for 3 coef- ficients rather than the full 16 coefficients.

4.2.2 Coherent Perfect Absorption in 4-fold Symmetric Chiral Materials

The presented scattering matrix formalism has one great advantage, it is possible to consider the scattering in problem as a typical eigenvalue problem. When the input vector, E± , corresponds to a coherent eigenmode, i.e. the mathematical eigenvector of the system, the eigenvalues of the system can be derived in terms of the scattering coefficients and a desired response can be engineered. It is important to note that a "coherent eigenmode" is here defined as a eigenmode in which the light that is considered is completely coherent. The scattering matrices in Eqs. (4.30) and (4.31) share the same eigenvalues, which are given by: p (t + t ) + 4r2 + (t − t )2 s = a b a b , (4.32) 1 2 p (t + t ) − 4r2 + (t − t )2 s = a b a b . (4.33) 2 2 Coherent perfect absorption can therefore be mathematically described as a scattering system that has eigen- values equal to zero. Fundamentally this means that when an input beam is an eigenmode of the scattering system, all light will be perfectly absorbed. The eigenvectors for the eigenvalues given in Eq. (4.32) and (4.33) are determined to be:      α       1  Λ+,1 =   (4.34a) Λ+,2 =   (4.34b)  1   −α         −α   1    Λ−,1 =   (4.34c) Λ−,2 =   (4.34d),  α   1 

66 where for example Λ+,1 represents the eigenvector for the CCW scattering matrix, S+, for the eigenvalue, s1, and the coefficient α is described by: p 4r2 + (t − t )2 + (t − t ) α ≡ a b a b . (4.35) 2r When an input beam with some complex-valued amplitude, A, corresponds to either eigenmode of the system, i.e. when:      E~in   E~in   ±   ±    = AΛ±,1, or   = AΛ±,2, (4.36)  in   in   E±~   E±~  the output beam will maintain the same relative ratio between the input amplitudes, but the amplitude is modified by the eigenvalue, s1 and s2, as follows:      E~out   E~out   ±   ±    = s1AΛ±,1, or   = s2AΛ±,2. (4.37)  out   out   E±~   E±~ 

When the eigenvalue is equal to zero, i.e when s1 = 0 and s2 = 0, there is no output for the given input polarization state as the beam will undergo coherent perfect absorption. For the 4-fold symmetric chiral structure that has been described so far, it can be shown that coherent perfect absorption will be achieved for both polarizations when the following condition is met:

2 tatb = r . (4.38)

By inserting Eq. (4.38) into Eqs. (4.34), the eigenstates that correspond to the |s1| = 0 and |s2| = 0 eigenvalues can be written as follows: q  φ −φ     |t | i a b       r   a e 2   1   1   tb   |tb|      Λ+,1 =   =  (4.39a) Λ+,2 =   =  q  φa−φb   (4.39b)      r   |ta| i −π   1   1   −   e 2  tb |tb| q  φ −φ         |t | i a b −π   1   1   − r   a e 2       tb   |tb|  Λ−,1 =   =  q  φa−φb  (4.39c) Λ−,2 =   =   (4.39d),  r   |ta| i         e 2   1   1  tb |tb| where φa and φb represent the phase of ta and tb, respectively. By inspection of Eqs. (4.39), it is apparent that two input beams of the same polarization state would in general require a different intensity in order to achieve coherent perfect absorption for an arbitrary structure with 4-fold rotational symmetry about the z-axis. Further, the required intensity ratio between input beams is different for each polarization as shown in Eqs. (4.39). A special case exists, such that the required intensity ratio between input beams for both CCW and CW polarized light is equal to one. As shown in Eqs. (4.39), this special case occurs when

|ta| = |tb| = |r|. (4.40)

67 When Eq. (4.40) is satisfied, it can be shown from Eq. (4.38) that the following relationship exists between the reflection and transmission coefficients:

iφ −iφ ta = re , tb = re , (4.41) where φ = φa − φr is the phase difference between the reflection coefficient, r, and transmission coefficient, ta. When the above conditions are satisfied for the 4-fold symmetric chiral material considered, and when a coherent input beam has the following state:      ~in     E±   1    =  , (4.42)  in   i∆ψ   E±~   e  in in where ∆ψ is a controllable relative phase delay between E~± and E±~ and will be referred to as the "coherent control phase", the output beams would have the following forms:

~out i(∆ψ+φ)/2 E+ (ψ) = 2rE0e cos[(∆ψ − φ)/2], (4.43a) out~ i(∆ψ−φ)/2 E+ (ψ) = 2rE0e cos[(∆ψ − φ)/2], (4.43b)

out i(∆ψ−φ)/2 E~− (ψ) = 2rE0e cos[(∆ψ + φ)/2], (4.43c)

out i(∆ψ+φ)/2 E−~ (ψ) = 2rE0e cos[(∆ψ + φ)/2]. (4.43d) As one can see from Eq. (4.43), when the coherent control phase, ∆ψ = (π + φ), CCW polarized light will be perfectly absorbed and when ∆ψ = (π − φ), CW polarized light will be perfectly absorbed. By adjust- ing the coherent control phase ψ, the intensity of the output beams can be modulated between zero and 2 some maximum value |2rE0| which is determined solely by material properties. Fundamentally, Coherent Perfect Absorption is the ability to modulate the output intensity from some maximum value to perfect ab- sorption [141]. Further, as one can see from Eqs. (4.43) the output intensity for CCW polarized light in each propagation direction will be equal as will the output intensity for CW polarized light output in each direction. In order to demonstrate the response of a 4-fold symmetric chiral material’s response under the CPA conditions described in this section, the output intensities calculated by taking the absolute square of Eqs.(4.43a) and (4.43c) are plotted in Fig. 28 as a function of the coherent control phase for three chosen phase differences, φ. For all cases, the output intensity is sinusoidally modulated as the coherent control phase ψ is increased. For the example considered, the material exhibits no innate material losses and there- fore reaches a maximum output intensity of 1. It is important to note that the output intensity is normalized with respect to a single input wave. In general, the output intensities do not coincide for most values of rela- tive phase difference, φ. In fact, φ = 0 is actually a special case in which ta = tb = r, which actually represents

68 ϕ = 0 ϕ = 7/4 ϕ = 7/2 1 CCW CW normalized normalized output intensity output

0 07 27 07 27 07 27 Δψ Δψ Δψ

Figure 28: The output intensity, calculated from Eqs.(4.43a) and (4.43c), as a function of relative phase, ∆ψ, for three values of φ: (a) φ = 0, (b) φ = π/4, (c) φ = π/2. Adapted with permission from Ref. [163], c The Optical Society.

a non-chiral media. For this special case, there exists no difference between the scattering matrices S+ and

S− and the response is therefore polarization independent as shown in Fig. 28 (a). A case of particular significance, φ = π/2 is shown in Fig. 28 (c). For this case, the output intensity of each polarization exhibits inverse modulation, i.e. as one polarization is perfectly absorbed, the other polarization is allowed to pass without experiencing absorption. As one can see in Fig. 28(c), when the coherent control phase ∆ψ = π/2, CCW polarized light is allowed to pass freely, while CW polarized light is perfectly absorbed. Further, when the coherent control phase ∆ψ is modulated to 3π/2, CCW polarized light is perfectly absorbed while CW polarized light is allowed to pass freely. It is important to note that the drop in intensity is due to CPA for one polarization and constructive interference between the reflection and transmission coefficients for the other polarizations. By modulating the coherent control phase, it is possible to modulate the output polarization state through the use of Coherent Perfect Absorption. Under the special case of φ = π/2, the reflection and transmission coefficients have the following form:

ta = −tb = ±ir, (4.44) and both eigenvalues are reduced to zero. Further, each polarization has only one unique eigenmode:          1   1  Λ+ =   (4.45a) Λ− =  . (4.45b)  −i   i 

As one can see, these eigenvalues lead to perfect absorption for CCW-polarized light when ∆ψ = 3π/2 and perfect absorption for CW-polarized light when ∆ψ = π/2. This special case presents and interesting application: the possibility to optically control the output polarization state by modulating the coherent

69 control phase, ∆ψ. Therefore the next section is dedicated to showing a material that has all of the described symmetries and can demonstrate the concept of Coherent Perfect Absorption.

4.3 CPA in 4-Fold Symmetric Chiral Metamaterial in the THz

4.3.1 Simulation of Chiral Metamaterial in Circular Polarization Basis

In optics, the THz frequency range is a particularly difficult region to find materials that have desired char- acteristics and therefore to make any device in this frequency regime is particularly difficult [46]. The metamaterial presented in Chapter 3, can be designed to exhibit all of the desired properties previous listed in this chapter. For easy reference, the unit cell is shown again in Fig. 29 as well as the free-standing meta- material sandwich geometry. As one can see, this metmaterial has the desired 4-fold rotational symmetry about it’s normal direction, as well as 2-fold rotational symmetry about the x- or y-axis. The metamaterial is composed of 4-cut wires in arranged into a square pattern clockwise in the upper layer, and counterclock- wise in the lower layer. Contrary to the metamaterial presented in Chapter 3, the wires here are composed of lossy gold with a conductivity σ = 4.09 × 107 S/m and have a thickness of 200 nm. This thickness is larger than the skin depth of gold in the THz regime. The two patterned metamaterial layers are separated by a polyimide spacer layer with thickness t = 5.4µm and a relative permittivity ε = 3.2 + 0.2i at 2.5 THz [128]. Each patterned metamaterial layer is covered by another polyimide cap layer with a thickness d = 3.1µm. This structure is considered free standing because it does not have a supporting wafer substrate. Further, the wafer substrate is not considered in our simulations or theory. The addition of a substrate into any material consideration breaks many of the required symmetry considerations and therefore a substrate must be re- moved (or an extra layer added) in order for CPA to occur. Using the finite element solver, CST Microwave Studio [102], the complex-valued reflection and transmission coefficients can be calculated and designed by adjusting the geometric parameters, shown in Fig. 29 (a) and (b). One set of optimized geometric parameters for the metamaterial to achieve the desired CPA response include: the unit cell width, a = 60µm, wire length, l = 35.7µm, wire width, w = 8.4µm, and gap width, g = 8.4µm. Using CST, the reflection and transmission coefficients are determined in the frequency range from 1 − 4 THz. The results for the magnitude and phase difference of the unique reflection and transmission coefficients are shown Figs. 30 (a) and (b) respectively.

Further, Fig. 30(c) depicts the calculated eigenvalues for the scattering matrices, s1 and s2, using the calcu- lated complex valued reflection and transmission coefficients. As one can see the magnitude of the reflection and transmission coefficients, i.e. |r|, |ta|, and |tb|, plotted as the green, red and blue lines respectively are indeed unique. The reflection coefficient shows two pronounced resonance features. At the lower resonance

70 a) upper layer lower layer b) caping layer g upper layer d spacer w a t lower layer y l d substrate z y x x

Figure 29: (a)Diagram of the unit cell geometries for the Upper and Lower patterned metamaterial layers. (b)Schematic for the full sandwich geometry of the proposed free standing chiral metamaterial. Adapted with permission from Ref. [163], c The Optical Society. frequency, 2.38 THz, the reflection and transmission coefficients have a nearly identical magnitude of 0.46.

Further, the phase difference between the reflection and transmission coefficients, φ = φa − φr, are equal to π/2 and −π/2 for CCW and CW polarized light, respectively, also at 2.38 THz. Therefore, the CPA con- ditions for the special case of CCW and CW to have inverse modulation, i.e. Eqs. (4.40) and (4.44) are satisfied. Figure. 30 (c) shows that the double zero eigenvalue for the scattering matrix exists at the same frequency of 2.38 THz. It is important to note that since the operation conditions are satisfied at a resonance feature of the metamaterial, it is possible to design the operating frequency for the CPA phenomena,by modifying the geometric parameters of the metamaterial. Calculating the scattering intensity, |s|, for each polarization shows that its mimimum reaches approximately, 0.005 at 2.38 THz. Therefore, at the design frequency the device can absorb at most 99.5% of each polarization. Using the calculated reflection and transmission coefficients, the eigenstates for the 4-fold symmetric chiral metamaterial can be shown to be:          1   1  Λ+ =   (4.46a) Λ− =  , (4.46b)  e−i0.49π   ei0.49π  which is consistent with the theoretical eigenmodes of Eqs. 4.46.

4.3.2 Coherent Perfect Absorption and Interferometric Polarization Control

Using the reflection and transmission coefficients calculated from CST, it is possible to show that the chiral metamaterial of Fig. 29 can actively control the absorption of the material by varying the relative phase difference between the two input beams. The output intensity for both CCW- and CW-polarized input beams as a function of the coherent control phase, ψ, is shown in Fig. 31 (a). As one can see, the rigorous numerical

71 hs.CmiigEs 44a n 44c,teotu nest o n ieryplrzdiptwv is wave input polarized linearly any for intensity output the control as: (4.43c), coherent given the and of (4.43a) function is a Eqs. it as Combining wave states, input polarized polarization phase. linearly circular a polarized of intensity orthogonally output two the derive the can to light of possible polarized superposition linearly a Since being [156,158]. as used is considered beam of be input states polarized polarization linearly the control a to when possible beams control is output polarization it the interferometric phase an control as coherent behave the adjusting to by metamaterial Essentially, the device. for possible is It conditions. CPA the control coherent the when at (4.43), intensity Eqs. by predicted 3 construction theory to the the increases in phase with used metals consistent the Also of losses that metamaterial. material here innate the note the to of important by is limited It is beams 15%. of of output loss maximum a experiences the only beam input polarized CCW the while beam, phase, control eut ge elwt h hoyfrtecase the for theory the with well agree results [163], Ref. from permission with Adapted frequency. coe of Society. function transmission a and as reflection polarization unique circular the of magnitude (a) di Calculated 30: Figure ff n ute,admr neetn plcto xssfrtepeetdcia eaaeilwe sdunder used when metamaterial chiral presented the for exists application interesting more and further, One rnebtenterflcinadtasiso coe transmission and reflection the between erence ∆ ψ ∆ = ψ 3 = π/ π/ π/ ,wieteasrto C-oaie ih increases. light CCW-polarized absorption the while 2, ,teasrto fC-oaie ih erae ni trahsamxmmoutput maximum a reaches it until decreases light CW-polarized of absorption the 2, ,tecia eaaeilasrsapoiaey9.%o h Wplrzdinput CW-polarized the of 99.5% approximately absorbs metamaterial chiral the 2,

phase difference | s | 2 magnitude 0.5 -27 0.5

(radian) 27 0 1 0 0 1 . . . 4 3.5 3 2.5 2 1.5 1 7/2 – arg{ I LP out = r | | 2 ∆ }+ arg{ |t s | r 1 φ a | | | 2 2 = |r| I 0 Frequency (THz) π/ (1 72 t b ,peitdi i.2 c.Frhr hntecoherent the when Further, (c). 28 Fig. in predicted 2, + } ffi cos ins c h cteigintensity scattering The (c) cients. 7/2 – arg{ φ cos | | s 2 | ψ 2 ) , t |t a } +arg{ b | (c) (b) (a) r } ffi insad()phase (b) and cients

c | h Optical The s | 2 o each for (4.47) a) b) +z direction –z direction 1 RCP RCP total

A A 0.5 D D H H CCW

normalized CW LCP LCP output intensity output 0 0 p 2p 7/2 37/2 Δψ Δψ

Figure 31: (a) The output intensity spectra of the CMM for horizontally polarized input beams, and for CW/CCW polarized input beams incident on the chiral metamaterial in the coherent perfect absorption scheme. (b) The corresponding polarization variation of output beams from left and right sides of the medium as represented on Poincaré sphere when the relative phase, ∆ψ, changes from π/2 to 3π/2. Adapted with permission from Ref. [163], c The Optical Society.

where I0 is the intensity of the input beams from each side of the medium, |r| is the reflection coefficient, and φ and ψ are the previously defined relative phase difference between the reflection and transmission coefficients and the coherent control phase, respectively. When φ = π/2, for example as with the described metamaterial, the output intensity of the linearly polarized beam should be invariant with the coherent con- trol phase. The results calculated for the output intensity of a horizontally polarized input wave incident on the chiral metamaterial are plotted in Fig. 31 (a). As one can see, the output intensity remains constant at approximately 0.83 as the coherent control phase is tuned between 0 and 2π, which agrees well with the theoretical value predicted by Eq. (4.47). Further, the output intensity of the horizontally polarized input has a standard deviation of only 0.008. Since the linearly polarized input beams can be considered as the superposition of the CCW and CW polarizations, it is easy to see that due to the polarization-dependent absorption, the output polarization state can be tuned between the CCW and CW polarizations. As an example, consider two horizontally polarized input beams which have the coherent control phase ∆ψ = π/2. For this case, the CW polarized component will be completely absorbed while the CCW component will be allowed to pass through the material, resulting in a change in polarization from linear to circular polarization. Further, when the coherent control phase is tuned to 3π/2, the CCW polarization component of the input linearly polarized beam will be completely absorbed while the CW component is allowed to pass through the medium. To demonstrate, the output polarization state for horizontally polarized input beams are plotted in Fig. 31 (c) for both the +zˆ and -ˆz directions. As one can see, for the beam output in the +zˆ direction, when the coherent control phase, ∆ψ, is tuned from π/2 to 3π/2, the output polarization state traces a great circle on the Poincaré sphere. The Poincaré sphere is a graphical representation of all possible polarization states, where the linear

73 polarization states occur along the equator of the sphere and circular polarization states occur along the great circle. When ∆ψ = π/2, the output polarization state starts in the +zˆ direction starts at the right hand circular polarization state, and travels along the great circle through the -45◦ (anti-diagonal) polarization state until it reaches ∆ψ = 3π/2 where it ends in the left-hand circular polarization state. For this same change in coherent control phase, the output polarization state in the -ˆz direction traces out a great circle on the Poincaré sphere in the opposite direction, starting at the RCP state, traveling through the 45◦ state, and ending at the LCP state. This development is the greatest application of this work. It represents the development of a device that is capable of actively controlling the input polarization state by controlling the relative phase between the two input beams, a method that is by far more rapid than the traditional mechanical methods that are used to create active control devices by optical modulation.

4.4 Summary and Future Work

In this chapter, a scattering matrix theory was presented to represent scattering from a isotropic chiral slab medium that possesses 4-fold rotational symmetry about the +zˆ axis and 2-fold rotational symmetry about thex ˆ ory ˆ axis. Using the developed scattering matrix theory, theoretical results and requirements were derived for the chiral media to exhibit coherent perfect absorption. Further, a metamaterial design was demonstrated to possess the required amplitude and phase response for the reflection and transmission co- efficients. Last, the performance of the metamaterial as a coherent perfect absorber was demonstrated. Ultrafast modulation of the polarization state, i.e. the optical signal, can be accomplished with the presented metamaterial, which has applications in communications and sensing. Future work for this metamaterial and demonstration of CPA requires further fabrication methods on the samples presented in Chapter 3. Unfortunately, metamaterial samples are in general not free-standing, as they are ultra-thin components that must be fabricated on some type of substrate. In order to effectively measure the CPA effect, the substrate must be removed in order to return the symmetry to the system. It has been proposed to use further mask-based etching to remove the backside of the silicon wafer for the samples presented in Chapter 3. This can be accomplished using a photoresist mask and Deep Reactive Ion Etching, as described in Section 3.5. Once the backside of the substrate has been removed, an experiment, similar to that described in Section 3.5 can be performed. However, it would be a simpler task to use a continuous-wave THz laser source to experimentally demonstrate the CPA control scheme.

74 Chapter 5 Coherent Polarization Control Without Loss

Note to Reader Portions of this chapter have been previously published in Y. Ye, D. Hay, and Z. Shi, "Coherent active polarization control without loss", AIP Advances 7, 115007 (2017) and D. Hay, Y. Ye, C. Car, and Z. Shi, "Optimization of High-Index-Contrast Metastructures for Wideband Active Coherent Polarization Control", Journal of Applied Physics 125, 133102 (2019), and has been adapted with permission from the American Institute of Physics.

5.1 Background on Coherent Polarization Control Methods In Optical Regime

As stated in Chapter 4, studies into coherent perfect absorption [139–151] led to its application as a method to achieve active coherent polarization control elements [152–160]. This method uses linear superposition of light, i.e. interference, rather than non-linear effects. These proposed coherent control elements previously incorporated lossy materials or required large external magnetic fields that could make them unsuitable for applications that require high efficient and low-footprint [152,156,157]. Recent studies into subwavelength High-index Contrast Metastructure (HICM) gratings have demonstrated that their electromagnetic properties can be tailored to achieve large metamaterial responses [168, 169]. HICM gratings are defined as gratings composed of large index of refraction media that are surrounded on all sides by low-index of refraction media. These structures have been utilized in the creation of High-Q resonators [170], broadband dielectric mirrors [171, 172], optical filters [173], isolators [174], flat lenses [175] and beam-shapers [176–178]. The low loss intrinsic to the materials used in these gratings makes them highly suitable for real-world low power applications. In this chapter, I demonstrate that Silicon subwavelength HICM gratings can be used in the coherent control scheme described in Chapter 4. First, an analytical description of the two-port scattering process is described using the linear polarization basis. The analytical scattering matrix is reduced by considering media that have 2-fold rotational symmetry about the x and y axes and mirror symmetry with respect to

75 x-z plane. The necessary conditions to demonstrate coherent polarization beam splitting and coherent po- larization rotation are then derived for loss-free media including the subwavelength HICM metastructures. Next, a parametric study of grating geometries is presented and the method of simulating the scattering response of the gratings is described. Subsequently, the physical mechanism responsible for the electromag- netic response of the HICM gratings is presented by simulating the field profile analysis within the grating structures. Last, it is shown the the HICM grating can be described by an effective index of refraction, n, for each orthogonal direction.

5.2 2-Port Scattering Matrix Theory For Mirror Symmetric Structures

As demonstrated in Chapter 4, scattering matrix theory can be a versatile tool to effectively design and define the response of a metamaterial with respect to its innate symmetry. In Chapter 4, 4-fold rotationally sym- metric structures are shown to have a preferential eigenbasis which turned out to be the circular polarization basis. 4-fold rotationally symmetric structures tend to have a cross-coupling between linear polarization states, making them a more complex device design when the desired eigenbasis is the linear polarization basis. It can be shown that structures with mirror symmetry with respect to thex ˆ − zˆ plane (or they ˆ − zˆ plane) have a preferred linear polarization eigenbasis. Further, these structures reduce the complexity of the scattering matrix and allow for the design of a material response for the orthogonal linear polarization basis. Figure 32 depicts the two-port scattering process in the linear polarization basis, and defines the propa- gation directions for the following discussion. For simplicity and clarity, here the 16-parameter scattering matrix in the linear polarization basis is shown once again [164]:       E~out   ~t ~r ~t ~r  E~in   x   xx xx xy xy  x        Eout~   r ~ t ~ r ~ t ~  Ein~   x   xx xx xy xy  x    =    (5.1)  ~out   ~ ~  ~in   Ey   tyx ~ryx tyy ~ryy  Ey        out~    in~  Ey ryx~ tyx~ ryy~ tyy~ Ey out in Ex,y = Sx,yEx,y, (5.2) where the Ex and Ey are the complex-valued electric field amplitude for the x- and y-polarizations, respec- tively, the "→" arrow indicates that the wave propagates in the +zˆ direction, the "←" arrow indicates that the wave propagates in the −zˆ direction, and the superscript "in" and "out" indicate if the beam is enter- ing or exiting the medium, respectively. Further, rαβ and tαβ, where α,β = x or y, are the co-polarized and cross-polarized reflection and transmission coefficients of the material. The co-polarized reflection and

76 anisotropic medmediaia

in out Ex Ex

out in Ex Ex

in out Ey Ey

out in Ey Ey

Figure 32: Schematic diagram is depicted representing the 2-port scattering process for an anisotropic slab medium with 2-fold rotational symmetry about its transverse,x ˆ andy ˆ, directions and mirror symmetry with respect to the x-z plane. Reproduced from [179]: D. Hay, Y. Ye, C. Car, and Z. Shi, “Optimization of high-index-contrast metastructures for wideband active coherent polarization control,” J. Appl. Phys. 125, 133102 (2019), with the permission of AIP Publishing. transmission coefficients are those coefficients where α = β, while the cross-polarized coefficients are where α , β. For convenience, Eq. (5.2) is the symbolic representation of the 4×4 scattering equation of Eq. (5.1). A structure with mirror symmetry with respect to the x − z plane, represented mathematically with the matrix Mxz, is identical when reflected across that plane (i.e. the structure is identical ify ˆ → −yˆ. Therefore, in the two port scattering process, it is possible to represent this mirror symmetry, Mxz, with the following 4 × 4 matrix [165]:    1 0 0 0       0 1 0 0  Mxz =  . (5.3)  −   0 0 1 0     0 0 0 −1  For a structure with this mirror symmetry, it is identical when it is reflected across this interface, and there- fore the scattering matrix should also be invariant to the Mxz operation:

out in MxzEx,y = Sx,yMxzEx,y

out −1 in in Ex,y = Mxz Sx,yMxzEx,y = Sx,yEx,y

−1 =⇒ Sx,y = Mxz Sx,yMxz. (5.4) Applying the results of Eq. (5.4), the following relationships exist between the cross-polarized reflection and transmission coefficients:

~txy = −~txy = 0 (5.5a) ~tyx = −~tyx = 0 (5.5b)

~rxy = −~rxy = 0 (5.5c) ~ryx = −~ryx = 0 (5.5d).

77 As one can see from Eqs. (5.5), the cross-polarized reflection and transmission coefficients in a structure with Mxz symmetry must be equal to zero and therefore the structure will not couple orthogonal linear polarizations. It is important to note that no relationships develop for the other complex valued co-polarized reflection and transmission coefficients for a structure with this type of symmetry. Further, for a structure with Myz symmetry the same relationships of Eqs. (5.5) exist. This simple symmetry operation is enough to decouple the 4 × 4 scattering matrix into two- 2 × 2 matrices, but one further step can reduce the number of independent parameters from 4 in each sub-matrix to only 2 independent parameters in each sub-matrix. As in Chapter 4, if the material under consideration possesses two-fold rotational symmetry about the xˆ or yˆ axis, the following relationships exist between the co-polarized reflection and transmission coeffi- cients:

~txx = txx~ (5.6a) ~tyy = tyy~ (5.6b)

~rxx = rxx~ (5.6c) ~ryy = ryy~ (5.6d). Combining Eqs. (5.5) and (5.6), the 4 × 4 scattering matrix can be decoupled into two-2 × 2 matrices, one for each polarization that is given as follows:          ~out    ~in    ~in   Eα   tαα rαα  Eα   tα rα  Eα    =    =   , (5.7)  out~    in~    in~  Eα rαα tαα Eα rα tα Eα where the subscript for the electric field amplitude, reflection coefficient and transmission coefficient α = x or y. Note that the subscript on the reflection and transmission coefficients is simplified for brevity. Now that a simple matrix form exists for a material with the specified geometrical constraints, the response of the material under a coherent control scheme can be considered.

5.3 Coherent Polarization Control in Loss-free Media

There are two interesting cases that I here label as Coherent Polarization Control (CPC): coherent polariza- tion beam splitting and coherent linear polarization rotation. Each of these phenomena are unique under the coherent control scheme that is shown in Fig. 32, and offer different applications. This section is dedicated to describing these phenomena and the material response conditions that will result in their appearance. First, the response constraints that are imposed by the use of a loss-free dielectric medium must be in- troduced to develop the theoretical material response for a given input. Energy is conserved in a loss-free dielectric material, and therefore there exists the following relationship between the reflection and transmis- sion coefficients for a medium surrounded by air:

2 2 |tα| + |rα| = 1. (5.8)

78 As a result of the relationship in Eq. (5.8), the reflection and transmission coefficients can be represented as follows:

iδ tα = e α cosθα, (5.9)

iδ rα = ie α sinθα, (5.10) where δα is the phase of the transmission coefficient, tα, and θα is some angle that is dependent on the material response. Eqs. (5.9) and (5.10) will be utilized in the following subsections.

5.3.1 Reconfigurable Coherent Polarization Beam Splitting

Coherent polarization beam splitting is defined as an interferometric method to selectively control the di- rection each orthogonal linear polarization is output from the medium depicted in Fig. 32. When two equal amplitude input beams of identical polarization, either x or y, have a relative phase difference, ∆ψ, and are incident on either side of a dielectric slab medium, as shown in Fig. 32, the coherent input fields can be expressed as:      ~in     Eα   1    =  , (5.11)  in~   i∆ψ  Eα e where the relative phase difference, ∆ψ is defined as the coherent control phase. Substituting the coherent input fields described by Eq. (5.11) and the relationships of Eq. (5.9) and (5.10) into the scattering matrix of Eq. (5.7), the intensities of the output fields exiting the medium can be calculated as follows:     2    2  ~out   ~out      Iα   Eα   tα rα  1    =   =     out~   out~    i∆ψ  Iα Eα rα tα e

 π 2  iδα i(δα+ +∆ψ)  e cosθα + e 2 sinθα  =    π 2   i(δα+ ) i(δα+∆ψ)  e 2 sinθα + e cosθα      1 − sin2θα sin∆ψ  =  , (5.12)    1 + sin2θα sin∆ψ  where the symbol | |2 indicates that the absolute square of the value within the lines is to be calculated for each element within the array individually. As one can see from Eq. (5.12) the output intensity of the beam on either side of the medium is a sinusoidal function of the coherent control phase, ∆ψ. Further, the modulation depth of the output beam is determined by |sin2θα|, which is determined solely from the electromagnetic response of the material under consid- eration. Maximum modulation, corresponding to allowable intensity changes between 0 and 2, will occur

79 RCP 27 2 a) c) V

I A 7 1 Ix x D ψ (rad) +z output +z H 0 LCP 0 2 b) d) RCP 27 V 1 I Iy y A D 7 normalized output intensity output normalized ψ (rad) 0 output -z 0 7 27 H ∆ψ (rad) LCP 0

Figure 33: (a) The theoretical output intensity as a function of the coherent control phase, ∆ψ for each polarization scattered into the +zˆ and −zˆ directions for a slab medium with θx = π/4 and θy = −π/4 under 2-port coherent illumination at normal incidence. (b) The theoretical output polarization state represented on the Poincaré polarization sphere for beams exiting in the +zˆ and −zˆ directions as a function of ∆ψ.

when θα has a value of ±π/4. Note that the intensity modulation between 0 and 2 is due to normalization for a single input beam. From Eq. (5.12), it can be seen that the intensity of the beams output into different directions will undergo complementary modulation when the coherent control phase is varied. When the output intensity of one beam increases with ∆ψ, the output intensity of the second beam will decrease. Reconfigurable coherent polarization beam splitting, defined as the selective output of each polarization in a desired propagation direction, can be achieved when the following relationships hold for θα for each polarization, and consequently for the reflection and transmission coefficients of each polarization: π θ = ± =⇒ r = ±it , (5.13) x 4 x x π θ = ∓ =⇒ r = ∓it . (5.14) y 4 y y

The theoretical intensity modulation for the case when the material response results in θx = π/4 and

θy = −π/4 as a function of the coherent control phase, ∆ψ, is shown in Fig. 33 (a). As one can see from Fig. 33 (a), when the coherent control phase takes a value of π/2, the material under consideration will behave as a Polarizing Beam Splitter (PBS), where each polarization will selectively exit the medium in opposite directions. For example, when ∆ψ = π/2, x-polarized light will exit only in the +zˆ direction, while y-polarized light exits only in the −zˆ direction. What makes the process reconfigurable, is the ability to swap the output directions for each polarization. The output direction of each polarization will reverse, i.e. x-polarized light exits only in the −zˆ direction while y-polarized light exits the medium only in the +zˆ direction, when the coherent control phase, ∆ψ, takes on a value of 3π/2. It is important to note that reconfigurable coherent polarization beam splitting functionality is independent of the input polarization states of the input beams.

80 5.3.2 Coherent Linear Polarization Rotation

Coherent linear polarization rotation is a coherent control scheme where the output polarization state can be selectively tuned to any linear polarization through modulation of the coherent control phase. Unlike reconfigurable coherent polarization beam splitting, which uses only two specific values of the coherent control phase for its operation, linear polarization rotation utilizes the full modulation of ∆ψ from 0 to 2π for its operation. Unfortunately, it will be shown that this operation requires a particular input polarization state. For convenience, it is simpler to revert to the 4 × 4 scattering matrix formality of Eq. (5.2) to describe the input polarization state that requires a combination of x- and y-polarized input fields. Eq. 5.2, can be rewritten using Eqs. (5.5), (5.6), (5.9), (5.10), (5.13) and (5.14) as:       E~out   eiδx ieiδx 0 0  E~in   x    x    √     Eout~   ieiδx eiδx  Ein~   x  2  0 0  x    =   , (5.15)  ~out  2  iδy − iδy  ~in   Ey   0 0 e ie  Ey        out~   iδy iδy  in~  Ey 0 0 −ie e Ey where for simplicity it has been chosen that θx = π/4 and θy = −π/4. Further, a beam with a particular elliptical input polarization state that is incident on the medium of interest, under the coherent control scheme, can be represented as:      ~in     Ex   1       in~   i∆ψ   Ex   e    =  , (5.16)  ~in   iδ   Ey   e       in~   i(δ+∆ψ)  Ey e where δ is the phase difference between the incident x- and y-polarized components of the input fields for each port. The coherent output fields from the material under test for the coherent input fields described by

81 Eq. (5.16) are given by:       E~out   eiδx ieiδx 0 0  1   x       √     Eout~   ieiδx eiδx  ei∆ψ   x  2  0 0     =     ~out  2  iδy − iδy  iδ   Ey   0 0 e ie  e        out~   iδy iδy  i(δ+∆ψ)  Ey 0 0 −ie e e    i ∆ψ + π +δ  ∆ψ    e 2 4 x cos + π   2 4    ∆ψ    i + π +δ  ∆ψ   √  e 2 4 x sin + π   2 4  = 2  ∆ψ  . (5.17)  i − π +δ+δ  ∆ψ π    e 2 4 y sin +   2 4       ∆ψ − π  ψ    i 2 4 +δ+δy ∆ π  e cos 2 + 4 When the phase difference between the input x- and y-polarized input fields satisfies the following: π δ = δ − δ + , (5.18) x y 2 there will be no phase difference between the x- and y-polarized output fields. The value of δ determines the ellipticity of the required input fields. Grouping the x-polarized and y-polarized output fields together with respect to their propagation direction, the fields will have the following form when applying the relation of Eq. (5.18):     out  ∆ψ π   E~  √  ∆ψ π   cos +   x  i + +δx  2 4    = 2e 2 4    , (5.19)  ~out   ∆ψ π  Ey sin 2 + 4

    out  ∆ψ π   E~  √  ∆ψ π   sin +   x  i + +δx  2 4    = 2e 2 4    . (5.20)  out~   ∆ψ π  Ey cos 2 + 4 As shown in Eqs. (5.19) and (5.20), the output fields are always linearly polarized since the phase dif- ference between the x- and y-polarized output fields is always equal to 0. It can be seen that the azimuthal orientation, i.e. the polarization angle as defined on the equator of the Poincaré sphere, of these linearly polarized output fields can be selectively chosen by adjusting the coherent control phase and therefore any linear polarization state can be attained. To demonstrate the theory, Eqs. (5.19) and (5.20) are plotted in Fig. 33 (b) as a function of the coherent control phase, ∆ψ, where the output polarization states are plotted on the Poincaré sphere. The Poincaré sphere graphically represents polarizations states by plotting the normalized Stokes parameters in 3 dimen- sions. The equator of the Poincaré consists of all possible linear polarization states and in Fig. 39 (c), the Antidiagonal (A), Horizontal (H), Diagonal (D), and (Vertical) polarization states have been defined. Fur-

82 ther, right-hand circular (RCP) and left-hand circular (LCP) polarizations are represented on the poles of the Poincaré sphere. As one can see in Fig. 33 (b), for the beam that is output in the +zˆ diection, as the coherent control phase is tuned from 0 to 2π, the output polarization state travels along the equator of the Poincaré sphere from Diagonal (+45◦) polarization state, through the Anti-diagonal (−45◦) polarization state at ∆ψ = π, and returns to its original state as the coherent control phase is modulated from 0 to 2π. Further, the beam that is output in the −zˆ direction is also modulated along the equator of the Poincaré sphere, but it’s modulation travels in the opposite direction. Now that the desired behavior is defined, all that is left is to find structures that can achieve this response.

5.4 Simulating Subwavelength HICM Gratings Using RCWA

To achieve the vastly different response between the orthogonal linear polarizations, cf. Eqs. (5.13) and (5.14), while also meeting all of the symmetry requirements presented the previous sections is a tall order. However, HICM subwavelength grating structures are an excellent candidate that are capable of achieving a highly anisotropic response. Shown in Fig. 34, is the schematic representation of an air-gapped dielectric grating whose geometry is defined with three parameters: grating period ( Λ), volume fill fraction of air within a grating period ( fa), and thickness (d). In general for design processes, the material of the grating ridges, here shown as the blue ridges in Fig. 34, and the gap material, here shown as transparent air between the blue ridges, are two additional degrees of freedom for the grating design. Choosing different materials for either the ridge and gap can drastically change the index contrast and result in a very different material response. To maximize the anisotropy in the design, a material with a high index of refraction should be combined with a low index material. The design of a loss-free device in the common telecommunication wavelength bands requires a proper choice of material. In order to operate loss-free over the telecom S, C, and L bands, defined as ranging from approximately 1460 nm to 1625 nm, a suitable loss-free material with a large index of refraction is Silicon. In order to investigate the use of Silicon HICM grating metastructures as a candidate for coherent polar- ization control, the electromagnetic response of the metamaterial due to incident light must be simulated and the reflection and transmission coefficients for each polarization determined. Like many studies of metamaterials, a broad scan that samples values of the defined geometric parameters must be performed to search/optimize the desired response of the metamaterial. Unfortunately, a rigorous study of the sub- wavelength grating involves 4-independent parameters including, operating wavelength, grating period, fill volume of air, and grating thickness. This many parameters, particularly if the wavelength range of interest

83 z d y x

Λ faΛ

Figure 34: Depiction of a subwavelength HICM grating that is defined by three geometric quantities: the grating period, Λ, volume fill ratio of air, fa, and the grating thickness, d. The grating is infinitely periodic in both the x- and y-directions. Reproduced from [179]: D. Hay, Y. Ye, C. Car, and Z. Shi, “Optimization of high-index-contrast metastructures for wideband active coherent polarization control,” J. Appl. Phys. 125, 133102 (2019), with the permission of AIP Publishing. is broad, can be prohibitive for common electromagnetics solvers such as CST Microwave Studio. Even a relatively fast simulation taking "minutes", make it impractical to achieve a fine enough sampling to study device fabrication tolerance and optimization. Therefore, instead of using a commercial FEM solver such as CST, the performance of the metastructure is numerically investigated using Rigorous Coupled Wave Analysis (RCWA) for which a custom MATLAB script is developed. Rigorous Coupled Wave Analysis is a semi-analytical method involving the Fourier expansion of the electric fields, magnetic fields and the grating permittivity [180–191]. The author’s full derivation and interpretation of RCWA is presented in Appendix B for both the Transverse Electric (TE) and Transverse Magnetic (TM) cases and it includes a brief discussion of its implementation. Therefore, in this section only a brief overview of RCWA will be discussed. The derivation presented in this section is mainly derived from [180]. For the geometry defined in Fig. 34 and considering a normally incident wave, a y-polarized input wave directly corresponds to the TE polarization case while x-polarized light corresponds to the TM case. To demonstrate the process for the TE case, where the electromagnetic wave has an electric field transverse to the plane of incidence, a plane defined by the wave’s propagation vector and a vector normal to the surface of the medium cf. Fig. A.1 b), the total electric field in the incident and transmitted regions can be written as: XN tot ik0ni(sinθi x+cosθiz) i(kx,m x−kIz,mz) Ey,i = e + rme , (5.21) m=−N XN tot i(kx,m x+kIIz,m(z−d)) Ey,t = tme , (5.22) m=−N 2π where k0 = λ is the free space wavenumber, the subscripts i and t designate the incident region or the transmitted region, θi is the angle of incidence the beam makes with respect to the surface normal, N is the number of diffraction order pairs considered, d is the grating thickness, and rm and tm are the reflection

84 and transmission coefficients for the mth diffraction order. It is important to note here that I have referred to diffraction orders. A consequence of Fourier expansion of the field into the spatial frequency domain is that the Fourier components will describe the total electric field as a sum of waves that propagate into different directions, i.e. they have different wavevectors. A Fourier expansion of the grating and the periodic nature of the grating, i.e. the electric field is periodic in the direction of the grating periodicity, results in the spatial frequency components corresponding directly to the diffracted wave components. As a result, the wavevector components have the following definition:  λ  k = k n sinθ + m , (5.23) x,m 0 i i Λ q 2 2 kαz,m = (k0nα) − kx,m, (5.24) where the subscript α = I or II, Λ is the previously defined grating period, and m corresponds directly to the diffraction order. Next, the spatially dependent permittivity is also expanded into its Fourier components in the following manner:

N X −i 2πh x ε(x) = εhe Λ , (5.25) h=−N th where εh is the h Fourier component of the permittivity. Last, the fields within the grating region are also Fourier expanded into the following form: XN ikx,m x EG,y = S y,m(z)e , (5.26) −N th where EG,y is the total electric field within the grating, and S y,m is the complex valued amplitude of the m diffracted mode within the grating. In RCWA, the defined fields of Eqs. (5.21)-(5.26), as well as the counterpart magnetic field components (cf. Appendix B), are inserted into Maxwell’s equations to develop a relationship between the fields in the form of a set of differential equations. Last, the set of differential equations are then solved at the boundaries of each region in order to solve "analytically" for the complex-valued reflection and transmission coefficients for all considered diffraction orders. Although this method is exact in its formulation, in order to solve any problem of consequence, a numerical method must be used. It is important to note that RCWA can give erroneous results if the numerical method does not include enough diffraction order pairs. In essence, before using RCWA a researcher should investigate what choice of diffraction order pairs, N, will result in the convergence of the calculated reflection and transmission coefficients to their "true" value.

85 Settings Scan Range Interval Wavelength (λ) 1400 nm - 1700 nm 2 nm Grating Period (Λ) 250 nm - 1000 nm 10.1 nm Air Fill Ratio ( fa) 0.05 - 0.95 0.01 Grating Thickness (d) 75 nm - 1000 nm 25 nm

Table 3: The simulation ranges and sampling intervals used in RCWA simulations.

5.4.1 Simulation Settings and Material Properties

The goal of studying the applicability of HIC grating metastructures must include a broad set of simulation parameters that include both the material properties as well as the innate settings of the RCWA method. In the presented study, the vacuum wavelength of incident light, λ, the grating period, Λ, the volume fill ratio of air, fa, and the grating thickness, d, were sampled across the ranges given in Table 3, with the indicated sampling intervals. As one can see, the wavelength is sampled finely enough for any resonance features to be easily observed. As the results will show, finer sampling of the grating thickesses would likely not reveal any more significant observations. Next, the material properties for the grating ridges must be defined, as well as the material in the incident and exiting regions of the grating (i.e. the materials surrounding the grating). To simplify the process, a free-standing Si grating is considered for the simulations. This means that the grating is surrounded by air in both the incident region and the exiting region. Further, in order to account for the natural dispersion of Silicon, the following Sellmeier dispersion model taken from [192] is used in the numerical simulation: A Bλ n2 (λ) = ε + + 1 , (5.27) S i 1 λ2 2 − 2 λ λ1 2 −3 where ε1 = 11.6858, the fitting constants A = 0.939816 µm and B = 8.10461 × 10 µm, λ1 = 1.1071 µm, and λ is the vacuum wavelength in units of µm. The index of refraction of air and the relative permeability of all materials are assumed to be equal to 1. Finally, in the RCWA simulations performed, the number of diffraction order pairs considered is chosen to be N = 50 for both the TE and TM cases. This was shown to give a good convergence for both polarization cases, and in general is more than enough to achieve convergence in general [180]. It should be noted that the solution to the differential equations in the RCWA problem are the reflection and transmission coefficients for every diffraction order. As one can see from Table 3, the grating periods considered are always subwavelength when compared to the wavelengths considered in the parameter scan range. As a result of the subwavelength nature of the grating, the higher order diffraction modes are evanescent, and

86 light will only be scattered into the 0th diffraction order for both reflected and transmitted light. Therefore, for the results of every simulation presented in this chapter will only consider the values for the 0th diffraction order reflection and transmission coefficients, and which are used to calculate the performance of the grating under the coherent polarization control scheme.

5.4.2 Parametric Study Results

In order to quantitatively study the spectral performance of the HIC grating metastructures for use as co- herent control elements, a figure of merit for its performance must be defined in order to develop a search criteria for the proposed parametric study. A suitable search criteria is determined to be the performance of a grating as a coherent polarization beam splitter, as defined in Section 5.3.1. In general, in order to operate as a reconfigurable coherent polarizing beam splitter, the material is unequivocally required to have the re- lationship defined by Eqs. (5.13) and (5.14). However, like the performance of anti-reflection coatings, this may only happen at a singular wavelength but the performance at adjacent wavelengths may still be suitable for many applications. Therefore, a suitable figure of merit for the parametric search is determined using Eq. (5.12). The "leakage ratio" is chosen as the figure of merit, and it is a quantitative representation of the amount of light of one polarization that is scattered into the unwanted direction. The leakage ratio for each polarization and output direction can be written as follows: ~out   out~   Ix   Ix  ~Lx = 10log  (5.28a) Lx~ = 10log  (5.28b)  out   out  Ix~ ~Ix     ~Iout Iout~  y   y  ~Ly = 10log  (5.28c) Ly~ = 10log  (5.28d),  out   out  Iy~ ~Iy where ~Lx and Lx~ are the leakage ratio of x-polarized light that scatters in the +zˆ and −zˆ directions, respec- tively, and ~Ly and Ly~ are the leakage ratio of y-polarized light that scatters in the +zˆ and −zˆ directions, respectively. In order to behave as a reconfigurable polarization beam splitter, light of one polarization must exit in one direction, while light of the other polarization must exit into the opposite direction. The device must satisfy requirements on pairs of the leakage ratios presented in Eq. (5.28), one for x-polarized light exiting in one direction and one for y-polarized light exiting in the opposite direction. It is determined that a successful grating geometry will behave suitably as a coherent polarization beam splitter at a particular wavelength if

87 the pairs of leakage ratios satisfy either of the following:

~Lx ≤ −20 dB and Ly~ ≤ −20 dB, (5.29)

or

Lx~ ≤ −20 dB and ~Ly ≤ −20 dB. (5.30)

The pair of leakage ratios in Eqs. (5.29) and (5.30), are chosen to indicate when the material properties θx and θy are simultaneously approaching the values ±π/4 and ∓/4, respectively. Since under the coherent control scheme, either case (i.e. θx = +π/4 and θy = −π/4, or θx = −π/4 and θy = +π/4) will result in the same behavior as a function of the coherent control phase, both cases should be considered as possible when searching for grating geometries. The search criteria of Eqs. (5.29) and (5.30), represent the case that less than 1 % of the light incident on the medium will exit into the undesired direction. RCWA calculations are performed while looking for the search criteria of Eqs. (5.29) and (5.30), and the results are presented in Fig. 35. Each marker in Fig. 35 represents a grating geometry, comprised of grating period, fill ratio of air, and grating thickness, that will behave as a reconfigurable coherent polarization beam splitter while also meeting the requirements of Eqs. (5.29) and (5.30). In Fig. 35 (a), each grating geometry is indicated with a spherical marker and the color indicates the central wavelength of operation for Coherent Polarization Beam Splitting functionality. Figure 35 (b) depicts the same grating geometries as Fig. 35 (a), but the color of the marker indicates the bandwidth of operation where Eqs. (5.29) and (5.30) are satisfied. Since more than one wavelength per grating geometry can satisfy Eqs. (5.29) and (5.30), the color of the marker indicates the central operating wavelength for a given design. It is important to note, given the broad wavelength range of the parametric study, that multiple wavelength bands that are not continuous for a given grating geometry may result in CPBS behavior as well as satisfy Eqs. (5.29) and (5.30). When this is the case, the central operating bandwidths are sorted such that the data presented in Fig. 35 is a continuously varying function. For example, if two wavelength bands are found for a given grating geometry, the two central operating wavelengths are calculated, and the central operating wavelength plotted in Fig. 35 is chosen to have the least difference between its nearest neighbors. The response of the metamaterial grating tends to be a smoothly varying function of the central operating wavelength as the geometric parameters are varied, for a given grating thickness. As one can see in Fig. 35, there are many subwavelength grating geometries that will behave as a recon- figurable polarization beam splitter. Satisfactory geometries are found across a range of grating thicknesses between 50 nm to 1000 nm for various volume fill ratios of air and subwavelength grating periods. In fact, there are so many possible designs capable of operating at any chosen central wavelength within the

88 1700 a) 1000 1650

800 1600

600 1550 (nm)

d 400 1500 200 central wavelength (nm) wavelength central 1000 1450 0 750 80 500 60 40 20 250 Λ (nm) 1400 fa (%) 0 0 100 b) 90 1000 80 800 70 60 600 50 (nm)

d 400 40 bandwidth (nm) bandwidth 30 200 20 1000 0 750 10 80 500 60 40 20 250 Λ (nm) 0 fa (%) 0 0

Figure 35: Geometric parameter scan results under the coherent polarization beam splitting constraint without any restriction on bandwidth of operation. Each marker indicates a grating geometry that supports coherent polarization beam splitting. The color of the marker indicates (a) central operating wavelength and (b) bandwidth of operation.

89 range considered that more stringent requirements can be placed on the grating performance in order to find devices that might find practical application.

5.4.3 Broadband Coherent Polarization Control

5.4.3.1 Broadband Coherent Polarization Beam Splitting

In order to focus the search on designs that have a more practical device response, it is possible to find the subset of device designs that meet wavelength and bandwidth requirements. Since it is a great advantage for many material to operate over a broad wavelength range, it is convenient to impose conditions on the operating bandwidth of each grating geometry. As one can see in Fig. 35, there are many sections of the scanned geometry space that result in large bandwidths. In Fig. 36 (a)-(f), the device geometries that result in coherent polarization beam splitting with an operating bandwidth greater than 20 nm are presented. Each dot in Fig. 36 (a)-(c) represents a grating geometry that satisfies the coherent beam splitting conditions and has a bandwidth greater that 20 nm, while the color of the dot indicates the central operating wavelength of the gratings CPBS behavior. Further, in Fig. 36 (d)-(f), the same grating geometries are presented as compared with Fig.36 (a)-(c), respectively, but the color indicates the bandwidth of the CPBS response. For ease of analysis, the data are grouped into clusters of gratings with similar geometries and CPBS response. For example in Fig. 36 (a), the continuity of the grating response, such as the central operating wavelength, has a sharp discontinuity when the grating thickness changes from 775 nm to 800 nm, therefore the results above 775 nm are designated as "Cluster 1", while the results from 775 nm and below are labeled as "Cluster 2". When large breaks in the continuity of response are observed, they are considered as a separate grouping and labeled as a new cluster. Although other clusters exist compared with those shown in Fig. 36, they are composed of very few grating geometries and are not considered in the analysis. The sharp discontinuities warrant an investigation, and it is presented in a later section, c.f. Section 5.5. As one can see from Fig. 36, each data cluster is composed of a continuous array of satisfactory metastructure geometries. For a fixed grating thickness, it is observed that the central operating wavelength decreases as the fill ratio of air increases. Further, the same trend of decreasing central wavelength is also observed as the grating thickness decreases in all clusters. It will be shown in a later section that the metastructure gratings support resonance modes and the observed change in central wavelength with these grating geometries can be interpreted in terms of these resonance modes. Similar to a Fabry-Perot (FP) resonance cavity, the supported resonance wavelength will blue shift as the cavity thickness, i.e. the grating thickness, decreases.

90 a) b) c) 1700 950 700 625 1600

Cluster 1 Cluster 525 850 650

425 4 Cluster Cluster 3 Cluster (nm) (nm) (nm)

1500 d 750 d 600 d 325 Cluster 2 Cluster 550 100 1 1400 650 40 475 55078 890 225 7 89 000

3 670 69 (nm) wavelength central 50 40 30 25025 0 80 74 68 56 0 0 35 30 25 5 95 8 20 10 0 62 56 50 20 15 10 90 3 f (%) f (%) 0 f (%) a Λ (nm) a Λ (nm) a Λ (nm) d) e) f) 100 950 700 625 80 525 850 650 60 425 (nm) (nm) (nm)

d 750 d 600 d 325 40 bandwidth (nm) bandwidth 650 47555 55089010 225 89 1000 20 3 400 0 67 7 00 69379 50 40 30 25 25 80 74 68 5 80 35 30 25 5 5 8 20 10 0 62 56 50 60 0 ) 20 15 10 90 f (%) 0 f (%) f (%) nm) a Λ (nm) a Λ (nm a Λ (

Figure 36: Geometric parameter scan results for coherent polarization beam splitting response where only grating geometries with bandwidths in excess of 20 nm are depicted. Each marker indicates a grating geometry that supports broadband coherent polarization beam splitting. The color of the marker indicates (a)-(c) central operating wavelength and (d)-(f) bandwidth of operation. Gratings are grouped together into ’Clusters’ where the electromagnetic response of the gratings are similar. Reproduced from [179]: D. Hay, Y. Ye, C. Car, and Z. Shi, “Optimization of high-index-contrast metastructures for wideband active coherent polarization control,” J. Appl. Phys. 125, 133102 (2019), with the permission of AIP Publishing.

Further, a change in fill ratio of air directly affects the kz wavevector component such that increasing the fill ratio of air results in the blue shift of the central operating wavelength. From Fig. 36, one can see that the high-index contrast Si metamaterial grating can operate as a recon- figurable coherent polarizing beam splitter at any designed operating wavelength within the S, C, and L telecommunication bands. Further, grating geometries can be selected to have a desired bandwidth per- formance at the desired operating wavelength. The continuity of the grating performance as a function of grating geometry indicates that the metamaterial grating is highly fabrication tolerant, i.e. small changes in the grating geometries do not drastically change the behavior of the grating. As shown in Fig. 36 (b) and (e), Cluster 3 contains regions with bandwidths greater than 70 nm, the largest overall response revealed in the parametric study. Just like the other clusters, the performance of the grating varies smoothly with the grating period, grating thickness and fill ratio of air meaning that the regions of high performance are also stable and tolerant to fabrication errors. Comparatively, Cluster 2, shown in Fig. 36 (a) and (d), also offers a large range of operating wavelengths over the considered telecommunication band with bandwidths in excess of 50 nm that are also highly tolerant to any fabrication imperfections. Cluster 4, shown in Fig. 36

91 (c) and (f), contains grating geometries that have the largest operating bandwidths, reaching up to 100 nm. Unfortunately, unlike the highly fabrication tolerant designs of the other three clusters, the large response of the gratings in Cluster 4 are only present for a smaller range of parameters and fall off rapidly outside of this range. Overall, the geometries defined by Cluster 4 require a tighter control of the grating period and fill ratio of air during fabrication which makes them less desirable for fabrication. To demonstrate the response of the HICM Silicon grating metamaterial as a coherent polarization beam splitter, a design example is chosen and its electromagnetic response to a single input wave as well as its operation as a coherent polarizing beam splitter is presented in Fig. 37. The grating geometry for the struc- ture considered in Fig. 37 are as follows: Λ = 331.1 nm, fa = 0.14, and d = 1000 nm. The magnitude of the reflection and transmission coefficients for both x-polarized and y-polarized input waves are presented in Fig. 37 (a). The working bandwidth of operation for the grating is highlighted in grey in Fig. 37 (a). As one can see, using the leakage ratio as the parametric search criteria, Eqs. (5.29) and (5.30), actually drastically relaxes the exact match of the reflection and transmission coefficient magnitudes, Eqs. (5.13) and (5.14), such that the magnitudes can vary between approximately 0.63 and 0.77. Note, it is this condition that limits the working bandwidth of operation. The phase difference between the reflection and transmission coefficients for each polarization as well as the phase difference between the transmission coefficients are presented in Fig. 37 (b). As one can see, the phase difference between the x-polarized reflection and trans- mission coefficients and the y-polarized reflection and transmission coefficients have the required value and sign difference as given in Eq. (5.13) and (5.14) over a broad wavelength range. The phase difference first occurs when the x-polarized wave experiences a resonance at λ = 1408.5 nm and ends when the y-polarized wave experiences a resonance at λ = 1639.5 nm. In general, a variation on this trend occurs for all grating geometries, either both polarizations will experience one resonance phenomena at different wavelengths, or one polarization will experience two resonance phenomena at different wavelengths. The leakage ratios for each polarization are plotted in Fig. 37 (c) for one output direction. As one can see, in the bandwidth of operation, the leakage ratio drops below the required -20 dB limit, demonstrating that the grating behaves as a broadband coherent polarizing beam splitter with a bandwidth of approximately 42 nm.

5.4.3.2 Coherent Linear Polarization Rotation

Building on the results of the last subsection, it is also possible to investigate the discovered grating ge- ometries that can also behave as coherent linear polarization rotators. As shown in Eq. (5.16), a particular input state is required in order for each grating geometry to have coherent control functionality. Further, the response of the material and the requirements of Eqs. (5.13) and (5.14), or Eqs. (5.29) and Eqs. (5.30),

92 1 a)

|tx| 0.5 |ty| working bandwidth |ry| LR ≤ -20 dB

magnitude (arb.) magnitude |rx| 0 7 b) arg( ry) - δy

0 arg( rx) - δx δy - δx

phase difference (rad) difference phase -7 20 c) L Ly 0 x -20 -40 -60 leakage ratio (dB) ratio leakage -80 1400 1450 1500 1550 1600 1650 1700 wavelength (nm)

Figure 37: The simulated (a) magnitude and (b) phase difference between the reflection and transmission coefficients for a single polarized input wave and (c) the calculated leakage ratio calculated from the grating response for each polarization, where ∆ψ = π/2. The grating geometry used in simulation is defined by: Λ = 331.1 nm, fa = 0.14, and d = 1000 nm. Grey blocks indicate the wavelength region at which the grating operates as a coherent polarization beam splitter. Adapted from [179]: D. Hay, Y. Ye, C. Car, and Z. Shi, “Optimization of high-index-contrast metastructures for wideband active coherent polarization control,” J. Appl. Phys. 125, 133102 (2019), with the permission of AIP Publishing.

93 are inherently wavelength dependent. It is possible to calculate, using the reflection and transmission coef- ficients from the grating geometries in the previous section, the required input polarization state for a given wavelength and grating geometry. In general, since the phase difference, δx − δy, as well as the magnitude of the reflection and transmission coefficients vary as a function of wavelength, the fields output from the material will become elliptically polarized for a fixed input polarization state. As a result of the slight ellipticity in the output states, a new figure of merit must be defined in order to investigate grating geometries that can operate as coherent linear polarization rotators. Ideally, the meta- material should take a specific input polarization state and allow the output polarization state to take any linear polarization state by choosing an appropriate coherent control phase. Since the desired output state is linear, a good metric to consider is the ellipticity, χ, of the output polarization state. Ellipticity is directly a measure of the polarization state of the output field and how ’circular’ it appears. The ellipticity of an electromagnetic wave is defined as follows: ! 1 S χ = sin−1 3 , (5.31) 2 S 0

  2 2 where S 3 = 2|Ex||Ey|sin φy − φx and S 0 = |Ex| + |Ey| are the defined Stokes parameters [7]. A suitable device performance is chosen such that the output polarization states should have an ellipticity given by:

χ ≤ 5.7◦, (5.32) over the entire working bandwidth for all values of the coherent control phase, ∆ψ. The choice of χ ≤ 5.7◦ requires that the power of light that ’leaks’ into the unwanted linear polarization state is always less than -20 dB. Further, the input polarization state must be chosen and fixed over the entire working bandwidth for a given metamaterial geometry. In this study, the input polarization state is calculated using the phase differ- ence between the transmission coefficients for a given metamaterial design at a point within the operating bandwidth that there is a minimum difference in magnitude between all of the reflection and transmission coefficients. For example, in Fig. 37 (a), around 1550 nm the reflection and transmission coefficients are nearly identical, and it is the phase difference between transmission coefficients at this wavelength that is chosen to determine the input polarization state for the device. Figure 38 (a)-(c) and (d)-(f) show the grating geometries that can operate as broadband coherent linear polarization rotators with respect to their central operating wavelengths and the operating bandwidth, re- spectively. Similar to the last section, for ease in data analysis, only the designs with bandwidths greater than 20 nm are depicted. Similar to Fig. 36, the grating geometries can be grouped into the same clusters of geometric designs that appear to have a slowly varying profile and they also display the same trends in

94 a) b) c) 1700 950 700 625 525 1600 850 650 425

(nm) (nm) (nm) 1500

d 750 d 600 d 325

4 55 8 1 8 1 1400 650 4 75 0 5507809000 225 79 98000

3 6 6 (nm) wavelength central 50 40 30 2 2500 80 74 68 56070 0 35 30 25 5909 5 20 10 0 50 62 56 50 20 15 10 3 f (%) f (%) f (%) a Λ (nm) a Λ (nm) a Λ (nm) d) e) f) 625 950 700 50 525 850 650 40 425 (nm) (nm) (nm)

d d d 30 750 600 325 bandwidth (nm) bandwidth 550 1000 1 20 650 47 5508 225 8 000 3254 67078 90 69 7 98 50 40 30 250 00 5 80 74 68 560 0 35 30 25 59 95 20 10 0 ) 62 56 50 20 15 10 3 f (%) f (%) nm) f (%) 0 a Λ (nm a Λ ( a Λ (nm)

Figure 38: Parametric scan results that achieve coherent polarization beam splitting response, χ ≤ 5.7◦, and with bandwidths in excess of 20 nm are depicted. Each marker indicates a grating geometry that sup- ports broadband coherent polarization rotation. The color of the marker indicates (a)-(c) central operating wavelength and (d)-(f) bandwidth of operation. Gratings are grouped together into ’Clusters’ where the elec- tromagnetic response of the gratings are similar. Reproduced from [179]: D. Hay, Y. Ye, C. Car, and Z. Shi, “Optimization of high-index-contrast metastructures for wideband active coherent polarization control,” J. Appl. Phys. 125, 133102 (2019), with the permission of AIP Publishing. terms of device performance. The designs presented are also highly resilient to fabrication imperfections all around. It is important to note that the bandwidth performance of the devices as coherent polarization rotators is reduced as compared with the bandwidth performance of gratings that operate as coherent polar- izing beam splitters. The reduced bandwidths can be attributed to the use of a fixed input polarization state and the requirement that ellipticity remain below 5.7◦ over the entire variation of the coherent control phase. Operation of gratings as a coherent polarizing beam splitter only requires the use of a single value of the coherent control phase. Each cluster offers subwavelength grating geometries that can behave as coherent linear polarization control devices at any wavelength in the S, C, and L, telecommunication bands. Arguably the most attractive region of operation in terms of ease of fabrication are the geometries found in Fig. 38 (a) and (d), due to the smooth change in operating wavelength and bandwidth for a given grating thickness. Compared with the other clusters, the bandwidth of operation in this region (i.e. Fig. 38 (a) and (d)) does not appear significantly reduced. Cluster 2 contains the most flexible designs in terms of geometric parameters with bandwidths that can exceed 50 nm with central wavelengths throughout the considered telecommunication bands.

95 To demonstrate broadband coherent linear polarization control, the performance of an optimized grating with a grating geometry given by: Λ = 300.7 nm, fa = 0.14, and d = 825 nm is calculated and depicted in Fig. 39. (remove (a) and insert azimuthal angle plot) This design supports coherent linear polarization rotation with an operating bandwidth of 57 nm and a central operating wavelength of λ = 1657.7 nm. In Fig. 39 (a), the azimuthal angle is displayed for the entire bandwidth of operation for the grating as a function of wavelength and coherent control phase. Here, the azimuthal angle is directly the polarization angle of linearly polarized light. It is calculated using the following relationship: ! 1 S γ = tan−1 2 , (5.33) 2 S 1

2 2   where S 1 = |Ex| − |Ey| and S 2 = 2|Ex||Ey|cos φy − φx are the defined Stokes parameters. It is important to note here that the fields Ex and Ey must be calculated from the scattering matrix, and φy and φx are the phase of the x- and y-polarized complex valued fields that are calculated. As one can see in Fig. 39 (a), the azimuthal angle remains constant for a fixed value of the coherent control phase, ∆ψ, over the entire bandwidth of operation. This means that the output polarization angle remains stable regardless of the wavelength of operation. In Fig. 39 (b), the ellipticity defined in Eq. (5.31) is plotted as a function of wavelength and coherent control phase for all wavelengths within the bandwidth of operation. As one can see, the ellipticity consistently falls below the 5.7◦ limit imposed in the search. It should be noted here, that plots of the ellipticity for different grating geometries, such as the one presented are, do not necessarily have the same trends. The lowest ellipticity values are concentrated near the central operating wavelength, and this behavior is due simply to the choice of the input polarization state. It is possible that a more robust search could reveal a choice of input polarization state that results in larger bandwidths or in smaller changes of ellipticity for a given wavelength or wavelength range. However, this is an endeavor left for future investigation. Last, in order to visualize the performance of the subwavelength metasurface grating as a polarization control device, the output polarization state as represented on the Poincaré sphere is plotted in Fig. 39 (c) for three representative wavelengths as a function of the coherent control phase. The wavelengths are chosen to be the wavelengths at the upper, λ = 1686 nm, and lower edges, λ = 1629 nm, of the bandwidth, and the central operating wavelength, λ = 1657.7 nm. At the central operating wavelength, the polarization state traces out a smooth line across the equator of the Poincaré sphere, which indicates a more pure linear polarization state. At the edges of the working bandwidth, the polarization states out tilted paths that deviate slightly from the equator. The non-ideal behavior at these band edges are due simply to the non-ideal

96 a) 27 80 60 40 20 7 0 -20 (deg) γ ∆ψ (rad) ∆ψ -40 -60 -80 0 1629 1643 1657 1671 1686 wavelength (nm) b) 27 5

7 0 χ (deg) χ ∆ψ (rad) ∆ψ

-5 0 1629 1643 1657 1671 1686 wavelength (nm) c) RCP RCP RCP 27

A A A D D 7

H (rad) ∆ψ LCP LCP LCP 0 λ = 1629 nm λ = 1657.7 nm λ = 1686 nm

Figure 39: Calculated (a) azimuthal angle and (b) ellipticity of a beam exiting in the (+z) direction plotted over the operational bandwidth for coherent control. (c) The output polarization state plotted on the Poincaré sphere as a function of the coherent control phase, ∆ψ, for three wavelengths 1629 nm (left), 1657.7 nm (center), and 1686 nm (right), i.e. the at the central operating wavlength and at the operational band edges. The labels correspond to horizonal, H, vertical, V, diagonal, D, anti-diagonal, A, right-hand circular, RCP, and left-hand circular, LCP, polarizations. The grating possesses the following geometry: Λ = 300.7 nm, fa = 0.14, and d = 825 nm. Adapted from [179]: D. Hay, Y. Ye, C. Car, and Z. Shi, “Optimization of high-index-contrast metastructures for wideband active coherent polarization control,” J. Appl. Phys. 125, 133102 (2019), with the permission of AIP Publishing.

97 input polarization state and due to the reflection and transmission coefficients of the material not satisfying the exact relationship of Eqs. (5.13) and (5.14).

5.5 Field Profile Analysis

In order to discover the process that is physically responsible for the phase and amplitude response of the high-index contrast grating metamaterial, the field distribution within the grating structures are calculated using RCWA [181]. To investigate the difference in the field distribution for each Cluster of grating geome- tries, representative designs from each Cluster are chosen and compared in Fig. 40. The electromagnetic field distributions represented in Fig. 40 are calculated for a single input polarization, i.e. the field distri- butions are not the total field represented by the coherent control scheme but are merely the field response for a single input beam, that is incident from the −zˆ direction with the input polarization state indicated in each plot. The total field distributions under the coherent control scheme does not differ greatly from that of the single input case. Each design selected has approximately the same central operating wavelength, λ = 1550 nm, when the metamaterial is operated as a coherent polarizing beam splitter. The electromagnetic field distributions are therefore calculated at λ = 1550 nm. The normalized magnetic field distributions are shown in Figs. 40 (a)-(d) and (e)-(h) for the TE and TM cases, respectively. The E-field profiles, although not shown, appear complementary to their constituent magnetic field, except for regions near the grating edges where numerical singularities exist. The group of Figures in column 1, Figs. 40 (a) and (b), correspond to a grating geometry from Cluster 1 and each subsequent column corresponds to gratings that come from Clusters 2, 3 and 4, respectively. It should be noted that the Clusters of designs decrease in thickness from Cluster 1 to Cluster 4, with Cluster 1 containing the thickest grating geometries and Cluster 4 containing the thinnest. As one can see in Fig. 40, the field distributions exhibit Fabry-Perot (FP) like resonance modes for a single input field. Each resonance mode is slightly diminished or shifted compared with the standard FP standing wave-like modes because the excitation wavelength does not correspond to the exact resonance wavelength. The coherent control mechanism requires light to be equally split between reflection and transmission, which does not occur at the resonance wavelength for the gratings. The broadband functionality of the gratings as coherent control devices is due to the fact that the coherent control requirements are satisfied between resonance phenomena. Comparing the number of standing wave nodes in Figs. 40 (a) and (b), one can see that the TE case for the design from Cluster 1 contains one extra node as compared with the TM case. Further, the trend that the TE and TM cases differ by one FP mode order holds true for every geometric design

98 Mode 1 Mode 2 Mode 3 Mode 4 1.5 1 a)TE b) TE c) TE d) TE ] x 1 d ⁄ 0.5 0 z

0 normalized ℝ[H normalized

-0.5 -1

1.5 1 e)TM f) TM g) TM h) TM ] y 1 d ⁄ 0.5 0 z

0 normalized ℝ[H normalized

-0.5 -1 0 1 2 0 1 2 0 1 2 0 1 2 x x x x ⁄ ⁄Λ ⁄Λ ⁄Λ Λ

Figure 40: The normalized magnetic field profiles for the TE and TM polarizations displaying Fabry-Perot like resonance phenomena for a single input wave incident on the grating traveling towards the +zˆ direction. For clarity, the spatial coordinates are normalized with respect the grating period, Λ, and grating thickness, d, for each grating. The wavelength considered for the simulation is λ = 1550 nm. The gratings have the following geometric parameters: (a) and (e) Λ = 331.1 nm, fa = 0.14, and d = 1000 nm, (b) and (f) Λ = 341.2 nm, fa = 0.194, and d = 775 nm, (c) and (g) Λ = 726.4 nm, fa = 0.626, and d = 625 nm, (d) and (h) Λ = 746.6 nm, fa = 0.266, and d = 575 nm. Reproduced from [179]: D. Hay, Y. Ye, C. Car, and Z. Shi, “Optimization of high-index-contrast metastructures for wideband active coherent polarization control,” J. Appl. Phys. 125, 133102 (2019), with the permission of AIP Publishing.

Cluster. For convenience, each unique pair of resonance modes are labeled above each group of Figures. To demonstrate, Mode 2 is characterized by what appears as a 3rd order FP resonance for a TE polarized input wave, while a TM polarized input wave excites a 2nd order FP resonance. Since in a FP resonator, the single pass optical phase delay between different mode orders is mπ, the TE and TM cases have approximately this π phase difference between their respective transmitted fields. As shown in Eqs. 5.13 and 5.14, it is exactly this π phase difference that is needed for any device to utilize the coherent control mechanism. Comparison of Fig. 37, shows that two resonance phenomena exist within the wavelength range 1400-1700 nm. As mentioned previously, the TE wave experiences a resonance at λ = 1408.5 nm while the TM wave experiences a resonance at λ = 1639.5 nm, and the result is shown in the field plots as a difference in FP mode order. Just as with traditional FP resonance phenomena, as the thickness of the metastructures decrease, the FP resonance mode order also decreases. Fabry-Perot like resonances have been observed previously in HICM subwavelength grating structures [193].

99 5.6 Effective Refractive Index of HICM Gratings

An equally valid and alternative approach to understanding the response of HICM subwavelength grating structure is to consider the effective index properties of the HICM metasurface. Further, it can shed light on the FP response exhibited by the grating ridges. Using the coherent electromagnetic response of a homoge- neous slab medium, it is possible to define the index of refraction required to achieve coherent polarization control functionalities. As exhibited by Eq. (5.13) and (5.14), for a homogeneous slab medium to function as coherent control device, it must be anisotropic, i.e. TE (y-polarized) and TM (x-polarized) waves observe a different index of refraction within the medium. In order to derive the required index of refraction for each polarization, first the reflection and transmission coefficients for each polarization for a homogeneous slab medium must be calculated using the Thin Film Transfer Matrix Method (TMM) derived in Appendix A. The reflection and transmission coefficients for TE (y) and TM (x) polarized input waves can be written as follows:  TE TE TE TE ηinc M11 + ηext M12 − M21 − ηext M22 rTE = , (5.34)  TE TE TE TE ηinc M11 + ηext M12 + M21 + ηext M22 2ηinc tTE = , (5.35)  TE TE TE TE ηinc M11 + ηext M12 + M21 + ηext M22  TM TM TM TM ξinc M11 − ξext M12 + M21 − ξext M22 rTM =   , (5.36) TM − TM − TM TM ξinc M11 ξext M12 M21 + ξext M22 2ξinc tTM =   , (5.37) TM − TM − TM TM ξinc M11 ξext M12 M21 + ξext M22

kz,l kz,inc p 2 2 where ηl = ωµ , ξl = − 2 , kz,l = (k0nl) − (k0ninc sinθinc) is the z-component of the wavevector for a wave ωn˜l th incident on a medium in the x-z plane, nl is the index of refraction of the l layer, the subscripts "inc" and "ext" designate the layers in which the wave enters and exits the medium, respectively, ω is the angular frequency of the input wave, µ = µ0µr is the magnetic permeability of layer, ε0 is the permittivity of free TE TM space, and the coefficients M j,k and M j,k are the components of the transfer matrix for the TE and TM polarizations, respectively. Note that for the wavelengths of interest,i.e. optical and IR wavelengths, µr = 1. The components of the single layer transfer matrices are given by:     TE TE  iωµ   M M   cos kz,ld − sin kz,ld  TE  11 12   kz,l  M =   =  , (5.38)  TE TE   ikz,l    M21 M22 − ωµ sin kz,ld cos kz,ld    iωε n˜2  TM TM  0 l   M M   cos kz,ld sin kz,ld  TM  11 12   kz,l  M =   =  , (5.39)  TM TM   ikz,l     M M   2 sin kz,ld cos kz,ld  21 22 2ωε0n˜l

100 where d is the thickness of the homogeneous slab.

The reflection and transmission coefficients and the material parameter θα can be related by dividing Eq. 5.9 by Eq. 5.9: rα tanθα = −i . (5.40) tα Inserting Eqs. (5.34) and (5.35) or Eqs. (5.36) and (5.37) into Eq. (5.40) for a normally incident plane wave results in the following relationships:

rTM tanθx = i tTM  TE TE TE TE ηinc M + ηext M − M − ηext M = 11 12 21 22 2ηinc ! 1 1 = − nx sin(k0nxd), (5.41) 2 nx rTE tanθy = i tTE  TM TM TM TM ξinc M − ξext M + M − ξext M = 11 12 21 22 2ξinc ! 1 1   = − ny sin k0nyd , (5.42) 2 ny where nx and ny are the index of refraction of the slab for an x- and y-polarized input wave. For coherent polarization control, the θx and θy parameter must take only two possible values: ±π/4. Therefore, for coherent polarization control functionality, the refractive index of a slab material must satisfy the following equation: ! 1 1 − nα sin(k0nαd) = ±1, (5.43) 2 nα where α = x or y, and the sign on the right hand side of Eq. (5.43) must be different for nx and ny for coherent control functionality. Eq. (5.43) can be solved using numerical software such as MATLAB to obtain all of the potential index of refraction for each case, i.e. when Eq. (5.43) is equal to +1 or −1. Figure 41 (a), shows the results for solving Eq. (5.43) to be equal to +1, depicted as the blue curves, and equal to −1, shown as the red curves. The refractive index is only considered for values from 1 to 5 in order to limit consideration only to practical and physically realistic materials. To achieve coherent polarization control functionality, the index of refraction for one polarization must fall on one of the red curves, while the refractive index for the other polarization must fall on the blue curve for a given ratio of material thickness to operating wavelength, d/λ. To demonstrate how the required index

101 5 0.6 eff ny =3.21 4 3 0.4 2.5

l

α eff 3 ° / n nα ° L eff intersection n =2.80 2 2 0.2 x point 1.5 0.66 l eff eff a) b)nx c) ny 1 0 00.5 1 1.5 2 00.5 1 0 0.5 1 d/l air fill fraction f a air fill fraction f a

Figure 41: (a) The refractive index that is required to achieve coherent polarization control as a function of slab thickness, d. The blue and red traces represent solutions of Eq. (5.43), to be equal to 1 and -1, eff eff respectively. The effective index of refraction (b) nx for TM polarized light, and (c) ny for TE polarized light, as a function of the volume fill ratio of air, fa, and the normalized grating period, Λ/λ. Reproduced from [194]: Y. Ye, D. Hay, and Z. Shi, “Coherent active polarization control without loss,” AIP Adv. 7, 115007 (2017), with the permission of AIP Publishing. of refraction can be identified, consider a grating with thickness d = 0.66λ, which is shown as the dashed line in Fig. 41 (a). The index of refraction for each polarization must take values where the dashed line intersects with the red and blue lines, respectively. In order to minimize the required anisotropy, the most practical index choice for each grating should be the lowest values for each index of refraction combined with the smallest difference between the index of each polarization. For an anisotropic slab with thickness d = 0.66λ, practical choices of nx = 2.8 and ny = 3.21 are indicated by the red and blue markers in Fig. 41 (a), respectively. As one can see, when the slab medium thickness falls below d = 0.24λ, the red and blue lines become steeper and no longer overlap for a given thickness to wavelength ratio when the index of refraction ranges from 1 to 5. Therefore, for realistic materials with an index of refraction less than 5, there are no practical homogeneous slab-like materials capable of achieving coherent polarization control, for slabs with a thickness less than approximately a quarter of the wavelength.

5.6.1 Using Bloch Theorem to Calculate Effective Material Properties

It is possible to consider the HICM grating as a homogeneous medium from which the effective index of refraction for each polarization can be calculated. The dispersion relation in the transverse (x − y) plane of the HICM metastructure can be determined by treating the grating as a 1D periodic photonic crystal that behaves according to Bloch’s Theorem [12]. Bloch’s Theorem postulates that due to the periodicity of a structure, the electromagnetic fields take on periodic modulations such that the fields observed at a point x and at a point x+Λ, where Λ is the period of the structure, are identical other than a change in relative phase. The dispersion relations of this subwavelength grating for TE (E-field in the y−z-plane) and TM (H-field in

102 the y − z-plane) waves are given by :

TE : cos(kbΛ) = cos(kx,g faΛ)cos[kx,r(1 − fa)Λ]

1 kx,r kx,g − ( + )sin(kx,g faΛ)sin(kx,r[1 − fa)Λ], (5.44) 2 kx,g kx,r

TM : cos(kbΛ) = cos(kx,g faΛ)cos[kx,r(1 − fa)Λ] 2 2 1 kx,rng kx,gnr − ( + )sin(k f Λ)sin[k (1 − f )Λ]. (5.45) 2 2 x,g a x,r a 2 kx,gnr kx,rng q q 2π 2πng 2 2 2 2πnr 2 2 2 where kb = Λ denotes the Bloch wave vector, kx,g = ( Λ ) − ky − kz and kx,r = ( Λ ) − ky − kz .

From the above equations, a unique value can be determined for the wavenumber, kz, for given values of Λ and fa, for a wave normally incident on the grating in Fig. 34 traveling in the −zˆ direction, where kb = 0 and eff ky = 0. Consequently, one can extract the values of the effective refractive index nα , α = x or y, through the

eff λkz eff relation nα = ( 2π ) with the corresponding values of kz. Using MATLAB, the effective refractive index nx eff and ny are calculated and the results are shown in Fig. 41(b) and (c), respectively, for an HICM air-silicon Λ grating as functions of the grating period, λ , and the fill ratio, fa, of air. For this simulation, the wavelength of light is fixed at λ = 1550 nm, and the refractive index of Silicon is chosen as nS i = 3.48. As shown in Fig. 41 b) and c), the dashed and solid lines denote the grating period and fill ratio of eff eff air needed to achieve nx = 2.8 and ny = 3.21, the conditions required for coherent polarization control eff eff functionality for a grating with thickness d = 0.66λ. As one can see, the specified nx and ny can be achieved simultaneously at the point where these two lines intersect. As a consequence of Fig. 41 (a), (b) and (c), the grating thickness, period and fill ratio required for coherent polarization control functionality can be identified. Effectively, it has been demonstrated that the subwavelength grating operates as a homogeneous anisotropic slab for each polarization. Further, this alternative design methodology represents a rapid way to prototype a given metamaterial design for a given operating wavelength with the caveat that Eqs. (5.44) and (5.45) must be solved numerically rather than using a semi-analytical solution, the grating thicknesses must be greater that d = 0.24λ, and it is not possible to know the bandwidth of operation with this method without further electromagnetic simulations. It is interesting to note that grating geometries with thicknesses much less than 0.24λ have been found using the RCWA method of Section 5.4, cf. Fig. 35. This phenomena involving ultra-thin gratings warrants investigation and is planned for future studies.

5.6.2 Refractive Index Retrieval

Although the Bloch theorem described in Section 5.6 is sound and can generate effective index of refraction, it is important to demonstrate that the index of refraction is indeed described well by Fig. 41. Arguably the

103 best way is to calculate the effective index of refraction using a refractive index retrieval method. Refractive index retrieval has recently been developed to extract the effective permeability and permittivity of metama- terials, and by extension the index of refraction [195–198]. These studies focused mainly on the application of demonstrating negative index of refraction, but it is suitable for any material that could be considered as a isotropic homogeneous slab that can be considered to have macroscopic effective permeability and permit- tivity. The formulation of refractive index retrieval used for the work in this thesis is derived from [197]. As described in Ref. [197], the effective index of refraction as well as the impedance of a material can be calculated using the reflection and transmission coefficients that are the general result of experiment and simulation. Using the standard transfer matrix formalism, it can be shown that the impedance of a homogeneous slab material is given by: s (1 + r)2 − t2 ζ = ± , (5.46) (1 − r)2 − t2 where ζ is the impedance, r is the reflection coefficient, and t is the transmission coefficient. Further, the real and imaginary parts of the index of refraction is given by:   00  1  t  2pπ n0 =  ln  + , (5.47)   ζ−1  k0d   k0d 1 − r ζ+1   0  1  t  n00 = − ln  , (5.48)   ζ−1  k0d   1 − r ζ+1 0 00 where n is the real part of the refractive index, n is the imaginary part of the refractive index, k0 = 2π/λ is the free space wavenumber, d is the thickness of the slab, p is an integer given by the branch index for the solution to the logarithm function for n0, and the use of 0 or 00 in the expressions of Eqs. (5.47) and (5.48) indicate that the real or imaginary part is taken from the expression within the brackets. It is important to note that in general the sign of the expression in Eq. (5.46) is governed by energy conservation in a passive medium, i.e. ζ0 ≥ 0 and n00 ≥ 0. However, when ζ0 and n00 are close to zero, this requirement may fail [197]. When this occurs, it is suitable to require that the sign of Eq.(5.46) is chosen such that n00 ≥ 0. Further, is it possible to calculate the effective permittivity and permeability using the expressions: εr = n/z and µr = nz. It should be noted that n00 is uniquely determined from Eqs. (5.47) and (5.48). For n0, the index of refraction is determined by the selection of the appropriate branch, i.e. the integer p, which is selected by inspection but there are methodologies for choosing the correct branch by considering requirements on the permittivity and permeability [197]. To give a direct comparison to the Bloch theorem used to calculate the effective index of refraction in Section 5.6.1, RCWA is used to calculate the reflection and transmission from a Silicon HICM grating with

104 a thickness d = 1030 nm for a range of grating period, Λ, and volume fill ratio of air, fa at a single operating wavelength, λ = 1550 nm. The grating period is similarly varied over the grating period range Λ/λ = (0,0.6] and the volume fill ratio of air is varied over the range fa = [0,1]. The refractive index of Silicon is described using the Sellmeier model of Eq. (5.27), except that a small imaginary part is added to the refractive index such that:

n˜ = nS i + inc, (5.49)

−10 where nc = 1 . The small imaginary part has no discernible effect on the scattering of the grating since it can be considered insignificant, but it serves to raise the complex component value above the floor of ma- chine rounding error. Although the formulation of Eqs. (5.46)-(5.48) are analytical and exact, the numerical rounding error results since the imaginary part of the index of refraction is zero while the reflection and transmission coefficients are complex and values that are truncated due to the finite number representations inherent in computation. When this small imaginary part of the index of refraction is not present, the sign determined for the small machine number due to rounding error is at times incorrect and it results in errors in the choice of sign for ζ. By adding this small imaginary component to the refractive index, the correct sign for the retrieved index of refraction is insured, while the scattering results are not significantly affected. Some amount of loss, whether at the added level here or otherwise, will be present in any measurable system and therefore this issue will not necessarily appear in the extraction of the refractive index in experiment. The real and imaginary parts of the retrieved index of refraction for both TE and TM polarized input fields are calculated and shown in Fig. 42. As one can see, comparing Figs. 41 and 42, there are many similarities but also some striking differences.

For both methods, in general the real part of the refractive index decreases as the volume fill ratio of air, fa increases. In terms of effective medium behavior, the limits of the materials index of refraction should be inherently bounded at the upper limit by the index of refraction of the Silicon while being bounded by the index of air at the lower bounds. For example, when fa = 1 the grating is just a homogeneous slab of Silicon, and at fa = 0 the grating is a homogeneous slab of air. Further, the refractive index also varies with respect to the grating period. The variance of the refractive index with respect to the grating period is directly related to the transverse component of the wavevector, kx,m, such that a decrease in the grating period results in a increase in kx,m and therefore a reduction in the index of refraction. Essentially the evanescent modes contribute less to response of the grating. In general the trends and values of the real part of the refractive index in Figs. 41 and 42 (a) and (b) match well. However, in comparing the two figures it is observed that several branches appear in Fig. 42

105 TE TM 0.6 0.6 a) 3.5 b) 3.5 0.5 0.5 3 3 2.5 0.4 0.4 2.5 2 0.3 0.3 2

Λ /Λ λ

Λ /Λ λ

Re(n) 1.5 Re(n) 0.2 0.2 1.5 1 1 0.1 0.5 0.1 0.5 0 0 0 0 0.2 0.4 0.6 0.8 1 0 0.2 0.4 0.6 0.8 1 fa fa 0.6 0.6 c) d) 3 2 0.5 0.5 2.5

0.4 1.5 0.4 2

0.3 0.3 1.5

Λ /Λ λ /Λ λ 1 Im(n) Im(n) 0.2 0.2 1 0.5 0.1 0.1 0.5

0 0 0 0 0 0.2 0.4 0.6 0.8 1 0 0.2 0.4 0.6 0.8 1 fa fa

Figure 42: The retrieved index of refraction for a grating simulated at λ = 1550 nm with a thickness d = 1030 nm as a function of the grating period normalized with the wavelength, Λ/λ, and the volume fill ratio of air, fa. The real part of the refractive index is plotted for the (a)TE and (b)TM cases. The imaginary part of the refractive index is plotted for the (c)TE and (d)TM cases.

106 where the index should vary smoothly. In order to understand this phenomena, the imaginary part of the refractive index is plotted for the TE and TM case in Fig. 42 (c) and (d), respectively. It is observed that at the points where the branches exist in the real part of the refractive index, unrealistic spikes in the imaginary part of the index occur. Although the retrieved n00 values matches the fed value fo 1−10 for the majority of the grating geometries simulated, it is shown to grow above 1, i.e. 10 orders of magnitude, at these branch points. In order to demonstrate why the refractive index retrieval method fails at these points, the 0th order reflection and transmission coefficients are plotted in Fig. 43, as a function of wavelength. As one can see in Fig. 43 (e) and (f), the absorption experienced in each of the grating geometries is insignificant, and does not align with the complex index of refraction that were calculated. Instead, each of the models exhibit a large drop in transmission and a high reflection, as shown in Fig. 43 (d), at λ = 1550 nm which the wavelength used in the determination of the refractive index in Fig. 42. As stated in Ref. [197], small values of the transmission coefficient result in an instability in the calculation of Eqs. (5.47) and (5.48). Due to the low transmission at these points, it makes retrieval of the refractive index for these geometries unreliable for the chosen wavelength. It should be noted that a scheme involving the calculation of refractive index at adjacent wavelengths and the use of a suitable continuity and fitting conditions could result in the retrieval of usable and practical index of refraction values for these unstable branches. This scheme and evaluation is left for future work. The similarity in the result for the refractive index retrieval method gives credibility to the use of the Bloch Theorem calculation of the refractive index. It should be noted however that the Bloch Theorem calculation does not take into account the finite thickness of the grating, and it is therefore only suitable for gratings that are relatively thick. The refractive index retrieval is applicable to gratings of any thickness and can be used with experimental data to verify the effective refractive index for each polarization.

5.7 Summary

In this chapter, I have presented work performed in the search for actively controlled all-dielectric metama- terials. A subwavelength HICM grating was presented as a solution to realize loss-free coherent polarization control. A rigourous study using Rigorous Coupled Wave Analysis enabled the search for grating geome- tries that could demonstrate requisite material properties required for the coherent control mechanism. The HICM grating was shown to be fabrication tolerant and capable of broadband polarization control over the S, C, and L telecommunication bands. Last, two methods were presented to demonstrate that the subwave- length HICM grating could be described and designed according to its effective material properties.

107 1 1 | | y 0.5 y 0.5 | r | t

a) b) 0 0 1 1 | | x 0.5 x 0.5 | r | t

c) d) 0 0 -6 -6 TE TM G1 G2 -7 -7 G3 G4 -8 -8 log (A) log (A) log -9 -9 e) f) -10 -10 1400 1450 1500 1550 1600 1650 1700 1400 1450 1500 1550 1600 1650 1700 wavelength (nm) wavelength (nm)

Figure 43: The magnitude of the scattering coefficients for the (a) TE reflection coefficient, (b) TE trans- mission coefficient, (c) TM reflection coefficient and (d) TM transmission coefficient are depicted for four grating geometries that result in failure of the refractive index retrieval method. The absorption, A = 1 - T - R, for the (e)TE and (f)TM cases plotted on a log scale. Each grating has a thickness, d = 1030. The grating designs have the following approximate geometric parameters: G1 (Λ = 797.32 nm, fa = 0.384), G2 (Λ = 856.84 nm, fa = 0.453), G3 (Λ = 590.71 nm, fa = 0.095), and G4 (Λ = 534.91 nm, fa = 0.351).

108 References

[1] E. Hecht, Optics. San Francisco, CA: Pearson, 4th ed., 2012.

[2] K. Miyamoto, “The Phase Fresnel Lens,” J. Opt. Soc. Am., vol. 51, no. 1, p. 17, 1961.

[3] T. J. Cui, D. R. Smith, and R. Liu, Metamaterials: Theory, design, and applications. Boston, MA: Springer US, 2010.

[4] H. T. Chen, A. J. Taylor, and N. Yu, “A review of metasurfaces: Physics and applications,” Reports Prog. Phys., vol. 79, no. 7, p. 076401, 2016.

[5] D. J. Griffiths, Introduction to Electrodynamics. Prentice Hall, 3rd ed., 1999.

[6] J. D. Jackson, Classical electrodynamics. New York, NY: Wiley, 3rd ed., 1999.

[7] M. Born and E. Wolf, Principles of Optics. Elmsford, NY: Pergamon Press, 6th ed., 1980.

[8] F. Wooten, Optical Properties of Solids. New York, NY: Academic Press, 1972.

[9] W. Cai and V. Shalaev, Optical metamaterials: Fundamentals and applications. New York, NY: Springer New York, 2010.

[10] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Magnetism from conductors and enhanced nonlinear phenomena,” IEEE Trans. Microw. Theory Tech., vol. 47, no. 11, pp. 2075–2084, 1999.

[11] D. J. BARBER and I. C. FREESTONE, “An investigation of the origin of the colour of the lycurgus cup by analytical transmission electron microscopy,” Archaeometry, vol. 32, no. 1, pp. 33–45, 1990.

[12] J. D. Joannopoulos, S. G. Johnson, J. N. Winn, and R. D. Meade, Photonic Crystals: Molding the Flow of Light. Princeton University Press, 2nd ed., 2008.

[13] W. E. Kock, “Metal-Lens Antennas,” Proc. IRE, vol. 34, no. 11, pp. 828–836, 1946.

109 [14] J. , “Artificial dielectrics having refractive indices less than unity,” Proc. IEE - Part IV Inst. Monogr., vol. 100, no. 5, pp. 51–62, 1953.

[15] B. A. Munk, Frequency Selective Surfaces: Theory and Design. John Wiley & Sons, Ltd, 2005.

[16] D. Pozar, S. Targonski, and H. Syrigos, “Design of millimeter wave microstrip reflectarrays,” IEEE Trans. Antennas Propag., vol. 45, no. 2, pp. 287–296, 1997.

[17] V. G. Veselago, “THE ELECTRODYNAMICS OF SUBSTANCES WITH SIMULTANEOUSLY NEGATIVE VALUES OF ε AND µ,” Sov. Phys. Uspekhi, vol. 10, no. 4, pp. 509–514, 1968.

[18] P. M. Valanju, R. M. Walser, and A. P. Valanju, “Wave Refraction in Negative-Index Media: Always Positive and Very Inhomogeneous,” Phys. Rev. Lett., vol. 88, no. 18, p. 187401, 2002.

[19] B. A. Munk, Metamaterials: Critique and Alternatives. Hoboken, NJ: John Wiley & Sons, Ltd, 2008.

[20] J. B. Pendry, A. J. Holden, W. J. Stewart, and I. Youngs, “Extremely plasmons in metallic mesostructures,” Phys. Rev. Lett., vol. 76, no. 25, pp. 4773–4776, 1996.

[21] J. B. Pendry, A. J. Holden, D. J. Robbins, and W. J. Stewart, “Low frequency plasmons in thin-wire structures,” J. Phys. Condens. Matter, vol. 10, no. 22, pp. 4785–4809, 1998.

[22] J. B. Pendry, “Negative Refraction Makes a Perfect Lens,” Phys. Rev. Lett., vol. 85, no. 18, pp. 3966– 3969, 2000.

[23] D. R. Smith and N. Kroll, “Negative refractive index in left-handed materials,” Phys. Rev. Lett., vol. 85, no. 14, pp. 2933–2936, 2000.

[24] D. R. Smith, W. J. Padilla, D. C. Vier, S. C. Nemat-Nasser, and S. Schultz, “Composite medium with simultaneously negative permeability and permittivity,” Phys. Rev. Lett., vol. 84, no. 18, pp. 4184– 4187, 2000.

[25] R. A. Shelby and D. Smith, “Experimental Verification of a Negative Index of Refraction,” Science, vol. 292, no. 5514, pp. 77–79, 2001.

[26] R. A. Shelby, D. R. Smith, S. C. Nemat-Nasser, and S. Schultz, “ through a two-dimensional, isotropic, left-handed metamaterial,” Appl. Phys. Lett., vol. 78, no. 4, pp. 489–491, 2001.

110 [27] C. G. Parazzoli, R. B. Greegor, K. Li, B. E. C. Koltenbah, and M. Tanielian, “Experimental Verifi- cation and Simulation of Negative Index of Refraction Using Snell’s Law,” Phys. Rev. Lett., vol. 90, no. 10, p. 107401, 2003.

[28] T. J. Yen, W. J. Padilla, N. Fang, D. C. Vier, D. Smith, J. B. Pendry, D. N. Basov, and X. Zhang, “Terahertz Magnetic Response from Artificial Materials,” Science, vol. 303, no. 5663, pp. 1494– 1496, 2004.

[29] S. Linden, C. Enkrich, M. Wegener, J. Zhou, T. Koschny, and C. M. Soukoulis, “Magnetic Response of Metamaterials at 100 Terahertz,” Science, vol. 306, no. 5700, pp. 1351–1353, 2004.

[30] G. Dolling, C. Enkrich, M. Wegener, C. M. Soukoulis, and S. Linden, “Simultaneous Negative Phase and Group Velocity of Light in a Metamaterial,” Science, vol. 312, no. 5775, pp. 892–894, 2006.

[31] V. M. Shalaev, W. Cai, U. K. Chettiar, H.-K. Yuan, A. K. Sarychev, V. P. Drachev, and A. V. Kildishev, “Negative index of refraction in optical metamaterials,” Opt. Lett., vol. 30, no. 24, p. 3356, 2005.

[32] J. B. Pendry, “A chiral route to negative refraction,” Science, vol. 306, no. 5700, pp. 1353–1355, 2004.

[33] J. Zhou, J. Dong, B. Wang, T. Koschny, M. Kafesaki, and C. M. Soukoulis, “Negative refractive index due to chirality,” Phys. Rev. B, vol. 79, no. 12, p. 121104, 2009.

[34] B. Wang, J. Zhou, T. Koschny, and C. M. Soukoulis, “Nonplanar chiral metamaterials with negative index,” Appl. Phys. Lett., vol. 94, no. 15, p. 151112, 2009.

[35] J. B. Pendry, D. Schurig, and D. Smith, “Controlling Electromagnetic Fields,” Science, vol. 312, no. 5781, pp. 1780–1782, 2006.

[36] U. Leonhardt, “Optical Conformal Mapping,” Science, vol. 312, no. 5781, pp. 1777–1780, 2006.

[37] D. Schurig, J. J. Mock, B. J. Justice, S. A. Cummer, J. B. Pendry, A. F. Starr, and D. R. Smith, “Meta- material Electromagnetic Cloak at Microwave Frequencies,” Science, vol. 314, no. 5801, pp. 977– 980, 2006.

[38] A. Alù and N. Engheta, “Cloaking and transparency for collections of particles with metamaterial and plasmonic covers,” Opt. Express, vol. 15, no. 12, p. 7578, 2007.

111 [39] P. Alitalo and S. Tretyakov, “Electromagnetic cloaking with metamaterials,” Mater. Today, vol. 12, no. 3, pp. 22–29, 2009.

[40] H. F. Ma and T. J. Cui, “Three-dimensional broadband ground-plane cloak made of metamaterials,” Nat. Commun., vol. 1, no. 1, p. 21, 2010.

[41] J. Zhang, L. Liu, Y. Luo, S. Zhang, and N. A. Mortensen, “Homogeneous optical cloak constructed with uniform layered structures,” Opt. Express, vol. 19, no. 9, p. 8625, 2011.

[42] N. I. Landy, S. Sajuyigbe, J. J. Mock, D. R. Smith, and W. J. Padilla, “Perfect Metamaterial Absorber,” Phys. Rev. Lett., vol. 100, no. 20, p. 207402, 2008.

[43] Z. H. Jiang, Q. Wu, X. Wang, and D. H. Werner, “Flexible wide-angle polarization-insensitive mid- metamaterial absorbers,” in 2010 IEEE Int. Symp. Antennas Propag. CNC-USNC/URSI Radio Sci. Meet. - Lead. Wave, AP-S/URSI 2010, pp. 1–4, IEEE, 2010.

[44] J. Hao, J. Wang, X. Liu, W. J. Padilla, L. Zhou, and M. Qiu, “High performance optical absorber based on a plasmonic metamaterial,” Appl. Phys. Lett., vol. 96, no. 25, p. 251104, 2010.

[45] H. Tao, N. I. Landy, C. M. Bingham, X. Zhang, R. D. Averitt, and W. J. Padilla, “A metamaterial absorber for the terahertz regime: design, fabrication and characterization,” Opt. Express, vol. 16, no. 10, p. 7181, 2008.

[46] Y.-S. Lee, Principles of Terahertz Science and Technology. New York, NY: Springer, 2008.

[47] H.-T. Chen, W. J. Padilla, M. J. Cich, A. K. Azad, R. D. Averitt, and A. J. Taylor, “A metamaterial solid-state terahertz phase modulator,” Nat. Photonics, vol. 3, no. 3, pp. 148–151, 2009.

[48] H. Tao, E. A. Kadlec, A. C. Strikwerda, K. Fan, W. J. Padilla, R. D. Averitt, E. A. Shaner, and X. Zhang, “Microwave and terahertz wave sensing with metamaterials,” Opt. Express, vol. 19, no. 22, p. 21620, 2011.

[49] P. Weis, O. Paul, C. Imhof, R. Beigang, and M. Rahm, “Strongly birefringent metamaterials as nega- tive index terahertz wave plates,” Appl. Phys. Lett., vol. 95, no. 17, p. 171104, 2009.

[50] W. J. Padilla and R. D. Averitt, “Properties of dynamical electromagnetic metamaterials,” J. Opt., vol. 19, no. 8, p. 084003, 2017.

112 [51] H. Tao, W. J. Padilla, X. Zhang, and R. D. Averitt, “Recent Progress in Electromagnetic Metamaterial Devices for Terahertz Applications,” IEEE J. Sel. Top. Quantum Electron., vol. 17, no. 1, pp. 92–101, 2011.

[52] J. Zhou, T. Koschny, L. Zhang, G. Tuttle, and C. M. Soukoulis, “Experimental demonstration of negative index of refraction,” Appl. Phys. Lett., vol. 88, no. 22, p. 221103, 2006.

[53] N. Yu, P. Genevet, M. a. Kats, F. Aieta, J.-P. Tetienne, F. Capasso, and Z. Gaburro, “Light Propaga- tion with Phase Discontinuities: Generalized Laws of Reflection and Refraction,” Science, vol. 334, no. 6054, pp. 333–337, 2011.

[54] X. Ni, N. K. Emani, A. V. Kildishev, A. Boltasseva, and V. M. Shalaev, “Broadband Light Bending with Plasmonic Nanoantennas,” Science, vol. 335, no. 6067, pp. 427–427, 2012.

[55] S. Pancharatnam, “Generalized theory of interference, and its applications,” Proc. Indian Acad. Sci. - Sect. A, vol. 44, no. 5, pp. 247–262, 1956.

[56] M. Berry, “The Adiabatic Phase and Pancharatnam’s Phase for Polarized Light,” J. Mod. Opt., vol. 34, no. 11, pp. 1401–1407, 1987.

[57] N. Yu, F. Aieta, P. Genevet, M. A. Kats, Z. Gaburro, and F. Capasso, “A Broadband, Background-Free Quarter-Wave Plate Based on Plasmonic Metasurfaces,” Nano Lett., vol. 12, no. 12, pp. 6328–6333, 2012.

[58] F. Ding, Z. Wang, S. He, V. M. Shalaev, and A. V. Kildishev, “Broadband High-Efficiency Half-Wave Plate: A Supercell-Based Plasmonic Metasurface Approach,” ACS Nano, vol. 9, no. 4, pp. 4111– 4119, 2015.

[59] F. Aieta, P. Genevet, M. A. Kats, N. Yu, R. Blanchard, Z. Gaburro, and F. Capasso, “Aberration-Free Ultrathin Flat Lenses and Axicons at Telecom Wavelengths Based on Plasmonic Metasurfaces,” Nano Lett., vol. 12, no. 9, pp. 4932–4936, 2012.

[60] X. Chen, L. Huang, H. Mühlenbernd, G. Li, B. Bai, Q. Tan, G. Jin, C. W. Qiu, S. Zhang, and T. Zentgraf, “Dual-polarity plasmonic metalens for visible light,” Nat. Commun., vol. 3, pp. 1–6, 2012.

113 [61] W. T. Chen, K.-Y. Yang, C.-M. Wang, Y.-W. Huang, G. Sun, I.-D. Chiang, C. Y. Liao, W.-L. Hsu, H. T. Lin, S. Sun, L. Zhou, A. Q. Liu, and D. P. Tsai, “High-Efficiency Broadband Meta-Hologram with Polarization-Controlled Dual Images,” Nano Lett., vol. 14, no. 1, pp. 225–230, 2014.

[62] G. Zheng, H. Mühlenbernd, M. Kenney, G. Li, T. Zentgraf, and S. Zhang, “Metasurface Holograms Reaching 80% Efficiency,” Nat. Nanotechnol., vol. 10, no. 4, pp. 308–312, 2015.

[63] G. Li, M. Kang, S. Chen, S. Zhang, E. Y.-B. Pun, K. W. Cheah, and J. Li, “Spin-Enabled Plasmonic Metasurfaces for Manipulating Orbital Angular Momentum of Light,” Nano Lett., vol. 13, no. 9, pp. 4148–4151, 2013.

[64] S. Jahani and Z. Jacob, “All-dielectric metamaterials,” Nat. Nanotechnol., vol. 11, no. 1, pp. 23–36, 2016.

[65] C. C. Nadell, C. M. Watts, J. A. Montoya, S. Krishna, and W. J. Padilla, “Single Pixel Quadrature Imaging with Metamaterials,” Adv. Opt. Mater., vol. 4, no. 1, pp. 66–69, 2016.

[66] L. Wang, Y. Yang, S. Li, L. Deng, W. Hong, C. Zhang, J. Zhu, and D. McGloin, “Terahertz Re- configurable Metasurface for Dynamic Non-Diffractive Orbital Angular Momentum Beams using Vanadium Dioxide,” IEEE Photonics J., vol. 12, no. 3, pp. 1–12, 2020.

[67] J. Li, C. M. Shah, W. Withayachumnankul, B. S. Ung, A. Mitchell, S. Sriram, M. Bhaskaran, S. Chang, and D. Abbott, “Mechanically tunable terahertz metamaterials,” Appl. Phys. Lett., vol. 102, no. 12, pp. 1–5, 2013.

[68] L. Zhu, J. Kapraun, J. Ferrara, and C. J. Chang-Hasnain, “Flexible photonic metastructures for tunable coloration,” Optica, vol. 2, no. 3, p. 255, 2015.

[69] X. He, Y. Yao, Z. Zhu, M. Chen, L. Zhu, W. Yang, Y. Yang, F. Wu, and J. Jiang, “Active graphene metamaterial absorber for terahertz absorption bandwidth, intensity and frequency control,” Opt. Mater. Express, vol. 8, no. 4, p. 1031, 2018.

[70] S. H. Lee, M. Choi, T.-T. Kim, S. Lee, M. Liu, X. Yin, H. K. Choi, S. S. Lee, C.-G. Choi, S.- Y. Choi, X. Zhang, and B. Min, “Switching terahertz waves with gate-controlled active graphene metamaterials,” Nat. Mater., vol. 11, no. 11, pp. 936–941, 2012.

114 [71] H.-T. Chen, W. J. Padilla, J. M. O. Zide, S. R. Bank, A. C. Gossard, A. J. Taylor, and R. D. Averitt, “Ultrafast optical switching of terahertz metamaterials fabricated on ErAs/GaAs nanoisland superlat- tices,” Opt. Lett., vol. 32, no. 12, p. 1620, 2007.

[72] J. Gu, R. Singh, X. Liu, X. Zhang, Y. Ma, S. Zhang, S. A. Maier, Z. Tian, A. K. Azad, H.-t. Chen, A. J. Taylor, J. Han, and W. Zhang, “Active control of electromagnetically induced transparency analogue in terahertz metamaterials,” Nat. Commun., vol. 3, no. 1, p. 1151, 2012.

[73] J. Zhou, D. R. Chowdhury, R. Zhao, A. K. Azad, H.-T. Chen, C. M. Soukoulis, A. J. Taylor, and J. F. O’Hara, “Terahertz chiral metamaterials with giant and dynamically tunable optical activity,” Phys. Rev. B, vol. 86, no. 3, p. 035448, 2012.

[74] M. A. Kats, R. Blanchard, P. Genevet, Z. Yang, M. M. Qazilbash, D. N. Basov, S. Ramanathan, and F. Capasso, “Thermal tuning of mid-infrared plasmonic antenna arrays using a phase change material,” Opt. Lett., vol. 38, no. 3, p. 368, 2013.

[75] C. A. Mack, “Fifty Years of Moore’s Law,” IEEE Trans. Semicond. Manuf., vol. 24, no. 2, pp. 202– 207, 2011.

[76] D. Gwyn, C. W., Stulen, R., Sweeney, D., Attwood, “Extreme ultraviolet lithography,” J. Vac. Sci. Technol. B Microelectron. Nanom. Struct., vol. 16, no. 6, p. 3142, 1998.

[77] R. Stulen and D. Sweeney, “Extreme ultraviolet lithography,” IEEE J. Quantum Electron., vol. 35, no. 5, pp. 694–699, 1999.

[78] B. Wu and A. Kumar, “Extreme ultraviolet lithography: A review,” J. Vac. Sci. Technol. B Microelec- tron. Nanom. Struct., vol. 25, no. 6, p. 1743, 2007.

[79] B. W. Smith, Y. Fan, M. Slocum, and L. Zavyalova, “25 nm immersion lithography at 193 nm wave- length,” in Opt. Microlithogr. XVIII, no. May 2005, p. 12, SPIE, 2005.

[80] H. Levinson, Principles of Lithography. Press Monographs, Bellingham, WA: SPIE Press, 2001.

[81] S. Natarajan, M. Agostinelli, S. Akbar, M. Bost, A. Bowonder, V. Chikarmane, S. Chouksey, A. Das- gupta, K. Fischer, Q. Fu, T. Ghani, M. Giles, S. Govindaraju, R. Grover, W. Han, D. Hanken, E. Haralson, M. Haran, M. Heckscher, R. Heussner, P. Jain, R. James, R. Jhaveri, I. Jin, H. Kam, E. Karl, C. Kenyon, M. Liu, Y. Luo, R. Mehandru, S. Morarka, L. Neiberg, P. Packan, A. Paliwal, C. Parker, P. Patel, R. Patel, C. Pelto, L. Pipes, P. Plekhanov, M. Prince, S. Rajamani, J. Sandford,

115 B. Sell, S. Sivakumar, P. Smith, B. Song, K. Tone, T. Troeger, J. Wiedemer, M. Yang, and K. Zhang, “A 14nm logic technology featuring 2nd-generation FinFET, air-gapped interconnects, self-aligned double patterning and a 0.0588 µ m2 SRAM cell size,” in 2014 IEEE Int. Electron Devices Meet., vol. 2015-Febru, pp. 3.7.1–3.7.3, IEEE, 2014.

[82] D. Ha, C. Yang, J. Lee, S. Lee, S. H. Lee, K.-I. Seo, H. S. Oh, E. C. Hwang, S. W. Do, S. C. Park, M.-C. Sun, D. H. Kim, J. H. Lee, M. I. Kang, S.-S. Ha, D. Y. Choi, H. Jun, H. J. Shin, Y. J. Kim, J. Lee, C. W. Moon, Y. W. Cho, S. H. Park, Y. Son, J. Y. Park, B. C. Lee, C. Kim, Y. M. Oh, J. S. Park, S. S. Kim, M. C. Kim, K. H. Hwang, S. W. Nam, S. Maeda, D.-W. Kim, J.-H. Lee, M. S. Liang, and E. S. Jung, “Highly manufacturable 7nm FinFET technology featuring EUV lithography for low power and high performance applications,” in 2017 Symp. VLSI Technol., pp. T68–T69, IEEE, 2017.

[83] R. M. M. Hasan and X. Luo, “Promising Lithography Techniques for Next-Generation Logic De- vices,” Nanomanufacturing Metrol., vol. 1, no. 2, pp. 67–81, 2018.

[84] D. Hay, P. Bagge, I. Khaw, L. Sun, O. Wood, Y. Chen, R.-h. Kim, Z. J. Qi, and Z. Shi, “Thin absorber extreme ultraviolet photomask based on Ni–TaN nanocomposite material,” Opt. Lett., vol. 41, no. 16, p. 3791, 2016.

[85] S. B. Bollepalli, M. Khan, and F. Cerrina, “Image formation in extreme ultraviolet lithography and numerical aperture effects,” J. Vac. Sci. Technol. B Microelectron. Nanom. Struct., vol. 17, no. 6, p. 2992, 1999.

[86] K. Otaki, “Asymmetric Properties of the Aerial Image in Extreme Ultraviolet Lithography,” Jpn. J. Appl. Phys., vol. 39, no. Part 1, No. 12B, pp. 6819–6826, 2000.

[87] Y. Deng, B. M. La Fontaine, H. J. Levinson, and A. R. Neureuther, “Rigorous EM simulation of the influence of the structure of mask patterns on EUVL imaging,” in Emerg. Lithogr. Technol. VII (R. L. Engelstad, ed.), vol. 5037, p. 302, 2003.

[88] G. McIntyre, C.-s. Koay, M. Burkhardt, H. Mizuno, and O. Wood, “Modeling and experiments of non- telecentric thick mask effects for EUV lithography,” in Altern. Lithogr. Technol. (F. M. Schellenberg and B. M. La Fontaine, eds.), vol. 7271, p. 72711C, 2009.

[89] M. Sugawara, A. Chiba, and I. Nishiyama, “Effect of incident angle of off-axis illumination on pattern printability in extreme ultraviolet lithography,” J. Vac. Sci. Technol. B Microelectron. Nanom. Struct., vol. 21, no. 6, p. 2701, 2003.

116 [90] M. S. Yoo, Y. D. Jeon, O. H. Hye-Keun, and J. H. Ahn, “Reduction of the absorber shadow effect by changing the absorber side wall angle in extreme ultraviolet lithography,” J. Korean Phys. Soc., vol. 46, no. 4, pp. 1020–1024, 2005.

[91] B. La Fontaine, A. R. Pawloski, Y. Deng, C. Chovino, L. Dieu, O. R. Wood II, and H. J. Levinson, “Architectural choices for EUV lithography masks: patterned absorbers and patterned reflectors,” in Emerg. Lithogr. Technol. VIII (R. S. Mackay, ed.), vol. 5374, p. 300, 2004.

[92] H.-S. Seo, D.-G. Lee, B.-S. Ahn, H. Han, S. Huh, I.-Y. Kang, H. Kim, D. Kim, S.-S. Kim, and H.- K. Cho, “Characteristics and issues of an EUVL mask applying phase-shifting thinner absorber for device fabrication,” in Altern. Lithogr. Technol. (F. M. Schellenberg and B. M. La Fontaine, eds.), vol. 7271, p. 72710D, 2009.

[93] Y. Hyun, J. Park, S. Koo, Y. Kim, K. Ban, S. Kim, C. Lim, D. Yim, H. Kim, and S. Park, “Feasibility of EUVL thin absorber mask for minimization of mask shadowing effect,” in Extrem. Ultrav. Lithogr. (B. M. La Fontaine, ed.), vol. 7636, p. 763614, 2010.

[94] L. Sun and O. Wood, “Scattering enhanced thin absorber for euv reticle and a method of making,” 2014. US Patent, US20140254018 A1.

[95] L. Sun and O. Wood, “Method for a low profile etchable euv absorber layer with embedded particles in a photolithography mask,” 2014. US Patent, US9436078 B2.

[96] M. Hedayati, F. Faupel, and M. Elbahri, “Review of Plasmonic Nanocomposite Metamaterial Ab- sorber,” Materials (Basel)., vol. 7, no. 2, pp. 1221–1248, 2014.

[97] S. Y. Lee, T. G. Kim, C. Y. Kim, I.-Y. Kang, Y.-C. Chung, and J. Ahn, “Combined absorber stack for optimization of the EUVL mask,” in Emerg. Lithogr. Technol. X (M. J. Lercel, ed.), vol. 6151, p. 61511Y, 2006.

[98] P. A. Pandey, G. R. Bell, J. P. Rourke, A. M. Sanchez, M. D. Elkin, B. J. Hickey, and N. R. Wilson, “Physical Vapor Deposition of Metal Nanoparticles on Chemically Modified Graphene: Observations on Metal-Graphene Interactions,” Small, vol. 7, no. 22, pp. 3202–3210, 2011.

[99] B. Henke, E. Gullikson, and J. Davis, “X-Ray Interactions: Photoabsorption, Scattering, Transmis- sion, and Reflection at E = 50-30,000 eV, Z = 1-92,” At. Data Nucl. Data Tables, vol. 54, no. 2, pp. 181–342, 1993.

117 [100] O. Wood, S. Raghunathan, P. Mangat, V. Philipsen, V. Luong, P. Kearney, E. Verduijn, A. Kumar, S. Patil, C. Laubis, V. Soltwisch, and F. Scholze, “Alternative materials for high numerical aperture extreme ultraviolet lithography mask stacks,” in Extrem. Ultrav. Lithogr. VI (O. R. Wood and E. M. Panning, eds.), vol. 9422, p. 94220I, 2015.

[101] C. M. Gonzalez, W. Slingenbergh, R. Timilsina, J.-H. Noh, M. G. Stanford, B. B. Lewis, K. L. Klein, T. Liang, J. D. Fowlkes, and P. D. Rack, “Evaluation of mask repair strategies via focused electron, helium, and neon beam induced processing for EUV applications,” in Extrem. Ultrav. Lithogr. V (O. R. Wood and E. M. Panning, eds.), vol. 9048, p. 90480M, 2014.

[102] “Computer Simulation Technology (CST),” 2015. https://www.cst.com/.

[103] C. Balanis, Antenna Theory: Analysis and Design. Hoboken, NJ: Wiley, 2012.

[104] J. Maxwell Garnett, “XII. Colours in metal glasses and in metallic films,” Philos. Trans. R. Soc. London A., vol. 203, no. 370, pp. 385–420, 1904.

[105] V. A. Markel, “Introduction to the Maxwell Garnett approximation: tutorial,” J. Opt. Soc. Am. A, vol. 33, no. 7, p. 1244, 2016.

[106] Z. Shi, G. Piredda, A. C. Liapis, M. A. Nelson, L. Novotny, and R. W. Boyd, “Surface Plasmon Polaritons on Metal-Dielectric Nanocomposite Films,” in Conf. Lasers Electro-Optics/International Quantum Electron. Conf., vol. 34, (Washington, D.C.), p. IThG6, OSA, 2009.

[107] L. A. Nguyen, H. He, and C. Pham-Huy, “Chiral drugs: an overview.,” Int. J. Biomed. Sci., vol. 2, no. 2, pp. 85–100, 2006.

[108] I. D. Rukhlenko, N. V. Tepliakov, A. S. Baimuratov, S. A. Andronaki, Y. K. Gun’ko, A. V. Baranov, and A. V. Fedorov, “Completely Chiral Optical Force for Enantioseparation,” Sci. Rep., vol. 6, no. 1, p. 36884, 2016.

[109] E. Tokunaga, T. Yamamoto, E. Ito, and N. Shibata, “Understanding the Thalidomide Chirality in Biological Processes by the Self-disproportionation of Enantiomers,” Sci. Rep., vol. 8, no. 1, pp. 6– 12, 2018.

[110] A. Lakhtakia, V. V. Varadan, and V. K. Varadan, “Field equations, Huygens’s principle, integral equations, and theorems for radiation and scattering of electromagnetic waves in isotropic chiral media,” J. Opt. Soc. Am. A, vol. 5, no. 2, p. 175, 1988.

118 [111] J. Monzon, “Radiation and scattering in homogeneous general biisotropic regions,” IEEE Trans. An- tennas Propag., vol. 38, no. 2, pp. 227–235, 1990.

[112] J. Kong, Electromagnetic Wave Theory. New York, NY: John Wiley & Sons, 2nd ed., 1990.

[113] B. Bai, Y. Svirko, J. Turunen, and T. Vallius, “Optical activity in planar chiral metamaterials: Theo- retical study,” Phys. Rev. A, vol. 76, no. 2, p. 023811, 2007.

[114] D.-H. Kwon, P. L. Werner, and D. H. Werner, “Optical planar chiral metamaterial designs for strong circular dichroism and polarization rotation,” Opt. Express, vol. 16, no. 16, p. 11802, 2008.

[115] S. S. Oh and O. Hess, “Chiral metamaterials: enhancement and control of optical activity and circular dichroism,” Nano Converg., vol. 2, no. 1, p. 24, 2015.

[116] J. T. Collins, C. Kuppe, D. C. Hooper, C. Sibilia, M. Centini, and V. K. Valev, “Chirality and Chirop- tical Effects in Metal Nanostructures: Fundamentals and Current Trends,” Adv. Opt. Mater., vol. 5, no. 16, p. 1700182, 2017.

[117] Y. Ye and S. He, “90◦ polarization rotator using a bilayered chiral metamaterial with giant optical activity,” Appl. Phys. Lett., vol. 96, no. 20, p. 203501, 2010.

[118] Z. Li, M. Mutlu, and E. Ozbay, “Chiral metamaterials: from optical activity and negative refractive index to asymmetric transmission,” J. Opt., vol. 15, no. 2, p. 023001, 2013.

[119] L. Jelinek, R. Marqués, F. Mesa, and J. D. Baena, “Periodic arrangements of chiral scatterers provid- ing negative refractive index bi-isotropic media,” Phys. Rev. B, vol. 77, no. 20, p. 205110, 2008.

[120] J. K. Gansel, M. Thiel, M. S. Rill, M. Decker, K. Bade, V. Saile, G. von Freymann, S. Linden, and M. Wegener, “Gold Helix Photonic Metamaterial as Broadband Circular Polarizer,” Science, vol. 325, no. 5947, pp. 1513–1515, 2009.

[121] L. Arnaut, “Chirality in Multi-Dimensional Space With Application To Electromagnetic Characteri- sation of Multi-Dimensional Chiral and Semi-Chiral Media,” J. Electromagn. Waves Appl., vol. 11, no. 11, pp. 1459–1482, 1997.

[122] A. V. Rogacheva, V. A. Fedotov, A. S. Schwanecke, and N. I. Zheludev, “Giant Gyrotropy due to Electromagnetic-Field Coupling in a Bilayered Chiral Structure,” Phys. Rev. Lett., vol. 97, no. 17, p. 177401, 2006.

119 [123] D. M. Mittleman, “Twenty years of terahertz imaging [Invited],” Opt. Express, vol. 26, no. 8, p. 9417, 2018.

[124] J. Federici and L. Moeller, “Review of terahertz and subterahertz wireless communications,” J. Appl. Phys., vol. 107, no. 11, p. 111101, 2010.

[125] A. Saeed, O. Gurbuz, and M. A. Akkas, “Terahertz communications at various atmospheric altitudes,” Phys. Commun., vol. 41, p. 101113, 2020.

[126] C. J. Docherty and M. B. Johnston, “Terahertz Properties of Graphene,” J. Infrared, Millimeter, Tera- hertz Waves, vol. 33, no. 8, pp. 797–815, 2012.

[127] P. A. Lane, P. D. Cunningham, J. S. Melinger, O. Esenturk, and E. J. Heilweil, “Hot photocarrier dynamics in organic solar cells,” Nat. Commun., vol. 6, no. 1, p. 7558, 2015.

[128] H. Tao, A. C. Strikwerda, K. Fan, C. M. Bingham, W. J. Padilla, X. Zhang, and R. D. Averitt, “Ter- ahertz metamaterials on free-standing highly-flexible polyimide substrates,” J. Phys. D. Appl. Phys., vol. 41, no. 23, p. 232004, 2008.

[129] Y. Svirko, N. Zheludev, and M. Osipov, “Layered chiral metallic microstructures with inductive cou- pling,” Appl. Phys. Lett., vol. 78, no. 4, pp. 498–500, 2001.

[130] Y. Q. Fu, A. Colli, A. Fasoli, J. K. Luo, A. J. Flewitt, A. C. Ferrari, and W. I. Milne, “Deep reactive ion etching as a tool for nanostructure fabrication,” J. Vac. Sci. Technol. B Microelectron. Nanom. Struct., vol. 27, no. 3, p. 1520, 2009.

[131] J. Neu and C. A. Schmuttenmaer, “Tutorial: An introduction to terahertz time domain spectroscopy (THz-TDS),” J. Appl. Phys., vol. 124, no. 23, p. 231101, 2018.

[132] B. P. Rand, P. Peumans, and S. R. Forrest, “Long-range absorption enhancement in organic tandem thin-film solar cells containing silver nanoclusters,” J. Appl. Phys., vol. 96, no. 12, pp. 7519–7526, 2004.

[133] Y. Wang, T. Sun, T. Paudel, Y. Zhang, Z. Ren, and K. Kempa, “Metamaterial-Plasmonic Absorber Structure for High Efficiency Amorphous Silicon Solar Cells,” Nano Lett., vol. 12, no. 1, pp. 440–445, 2012.

120 [134] K. Bhattarai, S. Silva, K. Song, A. Urbas, S. J. Lee, Z. Ku, and J. Zhou, “Metamaterial Perfect Absorber Analyzed by a Meta-cavity Model Consisting of Multilayer Metasurfaces,” Sci. Rep., vol. 7, no. 1, p. 10569, 2017.

[135] A. Tittl, P. Mai, R. Taubert, D. Dregely, N. Liu, and H. Giessen, “Palladium-Based Plasmonic Perfect Absorber in the Visible Wavelength Range and Its Application to Sensing,” Nano Lett., vol. 11, no. 10, pp. 4366–4369, 2011.

[136] T. Maier and H. Brückl, “Wavelength-tunable microbolometers with metamaterial absorbers,” Opt. Lett., vol. 34, no. 19, p. 3012, 2009.

[137] Y. L. Jing, Z. F. Li, Q. Li, X. S. Chen, P. P. Chen, H. Wang, M. Y. Li, N. Li, and W. Lu, “Pixel-level plasmonic microcavity infrared photodetector,” Sci. Rep., vol. 6, no. 1, p. 25849, 2016.

[138] A. M. Urbas, Z. Jacob, L. D. Negro, N. Engheta, A. D. Boardman, P. Egan, A. B. Khanikaev, V. Menon, M. Ferrera, N. Kinsey, C. DeVault, J. Kim, V. Shalaev, A. Boltasseva, J. Valentine, C. Pfeif- fer, A. Grbic, E. Narimanov, L. Zhu, S. Fan, A. Alù, E. Poutrina, N. M. Litchinitser, M. A. Noginov, K. F. MacDonald, E. Plum, X. Liu, P. F. Nealey, C. R. Kagan, C. B. Murray, D. A. Pawlak, I. I. Smolyaninov, V. N. Smolyaninova, and D. Chanda, “Roadmap on optical metamaterials,” J. Opt., vol. 18, no. 9, p. 093005, 2016.

[139] Y. D. Chong, L. Ge, H. Cao, and A. D. Stone, “Coherent Perfect Absorbers: Time-Reversed Lasers,” Phys. Rev. Lett., vol. 105, no. 5, p. 053901, 2010.

[140] S. Longhi, “PT-symmetric laser absorber,” Phys. Rev. A, vol. 82, no. 3, p. 031801, 2010.

[141] W. Wan, Y. Chong, L. Ge, H. Noh, A. D. Stone, and H. Cao, “Time-Reversed Lasing and Interfero- metric Control of Absorption,” Science, vol. 331, no. 6019, pp. 889–892, 2011.

[142] S. Longhi, “Coherent perfect absorption in a homogeneously broadened two-level medium,” Phys. Rev. A, vol. 83, no. 5, p. 055804, 2011.

[143] S. Dutta-Gupta, O. J. F. Martin, S. Dutta Gupta, and G. S. Agarwal, “Controllable coherent perfect absorption in a composite film,” Opt. Express, vol. 20, no. 2, p. 1330, 2012.

[144] S. Feng and K. Halterman, “Coherent perfect absorption in epsilon-near-zero metamaterials,” Phys. Rev. B, vol. 86, no. 16, p. 165103, 2012.

121 [145] Y. Fan, F. Zhang, Q. Zhao, Z. Wei, and H. Li, “Tunable terahertz coherent perfect absorption in a monolayer graphene,” Opt. Lett., vol. 39, no. 21, p. 6269, 2014.

[146] M. Pu, Q. Feng, M. Wang, C. Hu, C. Huang, X. Ma, Z. Zhao, C. Wang, and X. Luo, “Ultrathin broadband nearly perfect absorber with symmetrical coherent illumination,” Opt. Express, vol. 20, no. 3, p. 2246, 2012.

[147] T. Guo and C. Argyropoulos, “Tunable and broadband coherent perfect absorption by ultrathin black phosphorus metasurfaces,” J. Opt. Soc. Am. B, vol. 36, no. 11, p. 2962, 2019.

[148] O. Kotlicki, J. Scheuer, and M. Shahriar, “Theoretical study on Brillouin fiber laser sensor based on white light cavity,” Opt. Express, vol. 20, no. 27, p. 28234, 2012.

[149] H. Noh, Y. Chong, A. D. Stone, and H. Cao, “Perfect coupling of light to surface plasmons by coherent absorption,” Phys. Rev. Lett., vol. 108, no. 18, p. 186805, 2012.

[150] M. Kang, F. Liu, T.-F. Li, Q.-H. Guo, J. Li, and J. Chen, “Polarization-independent coherent perfect absorption by a dipole-like metasurface,” Opt. Lett., vol. 38, no. 16, p. 3086, 2013.

[151] R. Bruck and O. L. Muskens, “Plasmonic nanoantennas as integrated coherent perfect absorbers on SOI waveguides for modulators and all-optical switches,” Opt. Express, vol. 21, no. 23, p. 27652, 2013.

[152] J. Zhang, K. F. MacDonald, and N. I. Zheludev, “Controlling light-with-light without nonlinearity,” Light Sci. Appl., vol. 1, no. 7, pp. e18–e18, 2012.

[153] M. Crescimanno, N. J. Dawson, and J. H. Andrews, “Coherent perfect rotation,” Phys. Rev. A, vol. 86, no. 3, p. 031807, 2012.

[154] Y. Wang, M. Pu, C. Hu, Z. Zhao, C. Wang, and X. Luo, “Dynamic manipulation of polarization states using anisotropic meta-surface,” Opt. Commun., vol. 319, pp. 14–16, 2014.

[155] S. A. Mousavi, E. Plum, J. Shi, and N. I. Zheludev, “Coherent control of birefringence and optical activity,” Appl. Phys. Lett., vol. 105, no. 1, p. 011906, 2014.

[156] S. A. Mousavi, E. Plum, J. Shi, and N. I. Zheludev, “Coherent control of optical polarization effects in metamaterials,” Sci. Rep., vol. 5, no. 1, p. 8977, 2015.

122 [157] M. Crescimanno, C. Zhou, J. H. Andrews, and M. A. Baker, “Structure and symmetry in coherent perfect polarization rotation,” Phys. Rev. A, vol. 91, no. 1, p. 013845, 2015.

[158] M. Kang and Y. D. Chong, “Coherent optical control of polarization with a critical metasurface,” Phys. Rev. A, vol. 92, no. 4, p. 043826, 2015.

[159] C. Zhou, J. H. Andrews, and M. Crescimanno, “Experimental realization of coherent perfect polar- ization rotation,” Opt. Lett., vol. 41, no. 10, p. 2201, 2016.

[160] C. Pfeiffer, C. Zhang, V. Ray, L. Jay Guo, and A. Grbic, “Polarization rotation with ultra-thin bian- isotropic metasurfaces,” Optica, vol. 3, no. 4, p. 427, 2016.

[161] M. Papaioannou, E. Plum, J. Valente, E. T. F. Rogers, and N. I. Zheludev, “All-optical multichannel logic based on coherent perfect absorption in a plasmonic metamaterial,” APL Photonics, vol. 1, no. 9, p. 090801, 2016.

[162] M. Papaioannou, E. Plum, and N. I. Zheludev, “All-Optical Pattern Recognition and Image Processing on a Metamaterial Beam Splitter,” ACS Photonics, vol. 4, no. 2, pp. 217–222, 2017.

[163] Y. Ye, D. Hay, and Z. Shi, “Coherent perfect absorption in chiral metamaterials,” Opt. Lett., vol. 41, no. 14, p. 3359, 2016.

[164] P. Yeh, Optical Waves in Layered Media. New York, NY: John Wiley & Sons, 1988.

[165] N. P. Armitage, “Constraints on Jones transmission matrices from time-reversal invariance and dis- crete spatial symmetries,” Phys. Rev. B, vol. 90, no. 3, p. 035135, 2014.

[166] B. I. Halperin, “The hunt for anyon superconductivity,” in The Physics and Chemistry of Oxide Su- perconductors (Y. Iye and H. Yasuoka, eds.), (Berlin, Germany), pp. 439–450, Springer, 1992.

[167] A. L. Shelankov and G. E. Pikus, “Reciprocity in reflection and transmission of light,” Phys. Rev. B, vol. 46, no. 6, pp. 3326–3336, 1992.

[168] C. J. Chang-Hasnain and W. Yang, “High-contrast gratings for integrated optoelectronics,” Adv. Opt. Photonics, vol. 4, no. 3, p. 379, 2012.

[169] D. Sell, J. Yang, S. Doshay, K. Zhang, and J. A. Fan, “Visible Light Metasurfaces Based on Single- Crystal Silicon,” ACS Photonics, vol. 3, no. 10, pp. 1919–1925, 2016.

123 [170] Y. Zhou, M. Moewe, J. Kern, M. C. Huang, and C. J. Chang-Hasnain, “Surface-normal emission of a high-Q resonator using a subwavelength high-contrast grating,” Opt. Express, vol. 16, no. 22, p. 17282, 2008.

[171] S. Goeman, S. Boons, B. Dhoedt, K. Vandeputte, K. Caekebeke, P. Van Daele, and R. Baets, “First demonstration of highly reflective and highly polarization selective diffraction gratings (GIRO- gratings) for long-wavelength VCSELs,” IEEE Photonics Technol. Lett., vol. 10, no. 9, pp. 1205– 1207, 1998.

[172] C. Mateus, M. Huang, Y. Deng, A. Neureuther, and C. Chang-Hasnain, “Ultrabroadband Mirror Using Low-Index Cladded Subwavelength Grating,” IEEE Photonics Technol. Lett., vol. 16, no. 2, pp. 518–520, 2004.

[173] R. Magnusson and S. S. Wang, “New principle for optical filters,” Appl. Phys. Lett., vol. 61, no. 9, pp. 1022–1024, 1992.

[174] T. Glaser, S. Schröter, H. Bartelt, H.-J. Fuchs, and E.-B. Kley, “Diffractive optical isolator made of high-efficiency dielectric gratings only,” Appl. Opt., vol. 41, no. 18, p. 3558, 2002.

[175] S. Wang, J. Lai, T. Wu, C. Chen, and J. Sun, “Wide-band achromatic flat focusing lens based on all-dielectric subwavelength metasurface,” Opt. Express, vol. 25, no. 6, p. 7121, 2017.

[176] K. Li, Y. Rao, C. Chase, W. Yang, and C. J. Chang-Hasnain, “Monolithic high-contrast metastructure for beam-shaping VCSELs,” Optica, vol. 5, no. 1, p. 10, 2018.

[177] Z. Bomzon, G. Biener, V. Kleiner, and E. Hasman, “Radially and azimuthally polarized beams gen- erated by space-variant dielectric subwavelength gratings,” Opt. Lett., vol. 27, no. 5, p. 285, 2002.

[178] U. Levy, C.-H. Tsai, L. Pang, and Y. Fainman, “Engineering space-variant inhomogeneous media for polarization control,” Opt. Lett., vol. 29, no. 15, p. 1718, 2004.

[179] D. Hay, Y. Ye, C. Car, and Z. Shi, “Optimization of high-index-contrast metastructures for wideband active coherent polarization control,” J. Appl. Phys., vol. 125, no. 13, p. 133102, 2019.

[180] M. G. Moharam, T. K. Gaylord, E. B. Grann, and D. A. Pommet, “Formulation for stable and efficient implementation of the rigorous coupled-wave analysis of binary gratings,” J. Opt. Soc. Am. A, vol. 12, no. 5, p. 1068, 1995.

124 [181] P. Lalanne and M. P. Jurek, “Computation of the near-field pattern with the coupled-wave method for transverse magnetic polarization,” J. Mod. Opt., vol. 45, no. 7, pp. 1357–1374, 1998.

[182] P. Lalanne, “Convergence performance of the coupled-wave and the differential methods for thin gratings,” J. Opt. Soc. Am. A, vol. 14, no. 7, pp. 1583–1591, 1997.

[183] P. Lalanne, “Improved formulation of the coupled-wave method for two-dimensional gratings,” J. Opt. Soc. Am. A, vol. 14, no. 7, p. 1592, 1997.

[184] P. Lalanne and D. Lemercier-Lalanne, “Depth dependence of the effective properties of subwave- length gratings,” J. Opt. Soc. Am. A, vol. 14, no. 2, p. 450, 1997.

[185] P. Lalanne and G. M. Morris, “Highly improved convergence of the coupled-wave method for TM polarization,” J. Opt. Soc. Am. A, vol. 13, no. 4, p. 779, 1996.

[186] L. Li, “Use of Fourier series in the analysis of discontinuous periodic structures,” J. Opt. Soc. Am. A, vol. 13, no. 9, p. 1870, 1996.

[187] M. G. Moharam and T. K. Gaylord, “Rigorous coupled-wave analysis of planar-grating diffraction,” J. Opt. Soc. Am., vol. 71, no. 7, p. 811, 1981.

[188] M. G. Moharam and T. K. Gaylord, “Rigorous coupled-wave analysis of metallic surface-relief grat- ings,” J. Opt. Soc. Am. A, vol. 3, no. 11, p. 1780, 1986.

[189] M. G. Moharam, “Coupled-Wave Analysis Of Two-Dimensional Dielectric Gratings,” in Hologr. Opt. Des. Appl. (I. Cindrich, ed.), vol. 0883, p. 8, 1988.

[190] M. G. Moharam, T. K. Gaylord, D. A. Pommet, and E. B. Grann, “Stable implementation of the rigorous coupled-wave analysis for surface-relief gratings: enhanced transmittance matrix approach,” J. Opt. Soc. Am. A, vol. 12, no. 5, p. 1077, 1995.

[191] S. Peng and G. M. Morris, “Efficient implementation of rigorous coupled-wave analysis for surface- relief gratings,” J. Opt. Soc. Am. A, vol. 12, no. 5, p. 1087, 1995.

[192] D. Dimitropoulos, V. Raghunathan, R. Claps, and B. Jalali, “Phase-matching and Nonlinear Optical Processes in Silicon Waveguides,” Opt. Express, vol. 12, no. 1, p. 149, 2004.

[193] V. Karagodsky, C. Chase, and C. J. Chang-Hasnain, “Matrix Fabry–Perot resonance mechanism in high-contrast gratings,” Opt. Lett., vol. 36, no. 9, p. 1704, 2011.

125 [194] Y. Ye, D. Hay, and Z. Shi, “Coherent active polarization control without loss,” AIP Adv., vol. 7, no. 11, p. 115007, 2017.

[195] D. R. Smith, S. Schultz, P. Markoš, and C. M. Soukoulis, “Determination of effective permittivity and permeability of metamaterials from reflection and transmission coefficients,” Phys. Rev. B, vol. 65, no. 19, p. 195104, 2002.

[196] P. Markos and C. Soukoulis, “Transmission properties and effective electromagnetic parameters of double negative metamaterials,” Opt. Express, vol. 11, no. 7, p. 649, 2003.

[197] X. Chen, T. M. Grzegorczyk, B.-I. Wu, J. Pacheco, and J. A. Kong, “Robust method to retrieve the constitutive effective parameters of metamaterials,” Phys. Rev. E, vol. 70, no. 1, p. 016608, 2004.

[198] R. Zhao, T. Koschny, and C. M. Soukoulis, “Chiral metamaterials: retrieval of the effective parameters with and without substrate,” Opt. Express, vol. 18, no. 14, p. 14553, 2010.

[199] C. C. Katsidis and D. I. Siapkas, “General transfer-matrix method for optical multilayer systems with coherent, partially coherent, and incoherent interference,” Appl. Opt., vol. 41, no. 19, p. 3978, 2002.

126 Appendix A Transfer Matrix Method

A.1 Background on Transfer Matrix Theory and Electromagnetics Primer

The Transfer Matrix Method (TMM) is a well developed theory [1, 112, 164] that is used to simulate 1- dimensional thin film multilayer stacks as shown schematically in Fig. A.1 (a). At its core, transfer matrix theory simplifies the boundary value problem, involving Maxwell’s equations, by converting the problem into a matrix formalism that relates the electromagnetic fields on either side of a medium. In general we consider an electromagnetic wave incident on a multilayer film stack that can be made up of N different materials, shown in Fig. A.1 (a). The goal of this method is to calculate the both magnitude and phase of the reflected and transmitted fields. In this formalism, each layer is assumed to be isotropic and homogeneous, and can be either a dielectric or metal with a real or complex index of refraction. It should be noted that this method treats only thin films properly. Any layer where the thickness is much greater than the thickness

(tLayer >> λ), will result in fringe patterns that will be unobservable in experiment. Therefore, this method is most suitable when the layer thicknesses used are on the order of the wavelength are used. A different formulation, found in [199], can treat the effects of thick incoherently layers. Further, this method is very much a "black-box" method, where the fields are only solved on the interfaces between the layers. More creative methods must be used to determine the field within each layer. To start, first consider Maxwell’s equations in a source free material:

∇~ · D~ = 0 (A.1a), ∇~ · B~ = 0 (A.1b), ∂B~ ∂D~ ∇~ × E~ = − (A.1c), ∇~ × H~ = (A.1d), ∂t ∂t where ∇~ is the spatial vector differential operator, D~ is the time-dependent electric displacement vector, E~ is the time-dependent electric field vector, H~ is the time-dependent magnetic field vector, B~ is the time- dependent magnetic flux density vector, and µ is the material permeability. In linear isotropic homogeneous

127 media there exists the following constituent relationships between the vector fields:

D~(ω) = ε(ω)E~(ω), (A.2a)

B~(ω) = µ(ω)H~(ω), (A.2b) where ε is the permittivity of the material considered. Here the frequency dependence of each parameters is shown directly, but this notation will be dropped and the frequency dependence assumed in the remainder of the chapter. By calculating a second curl of Eq. A.1c, and inserting Eq. (A.1b)-(A.1d) into the result, one can derive the wave equation for an electromagnetic wave as follows:    ∂H~  ∇~ × ∇~ × E~ = −∇~ µ   ∂t    ∂   ∇~ ∇~ · E~)∇2E~ = −µ ∇~ × H~ ∂t   ∂  ∂E~ ∇2E~ = −µ ε  ∂t  ∂t  1 ∂2E~ ∇2E~ + = 0, v2 ∂t2 c where, v = n is the speed of light in a medium where the index of refraction may be complex-valued, c is the ∂ speed of light in va and ∂t is the partial derivative with respect to time. Note that ε = ε0ε˜r and µ = µ0µ˜r are the permittivity and permeability of the medium, respectively, ε0 and µ0 are the permmittivity and permeability of free space, andε ˜r andµ ˜r are the relative permittivity and permeability of the medium, respectively. The tilde is used to indicate that the values are complex-valued. For convenience the tilde may be dropped, but it should be assumed that these values are in general complex-valued. Further, the index of refraction of the 2 0 00 2 0 00 material is defined according to εr = n = (n + in ) where n and n are the real and imaginary parts of the index of refraction when µr = 1. A solution to this characteristic equation is the well explored plane wave √ where the electric and magnetic field have the form: E~ = Ee~ iωtei~k·~r and B~ = Be~ iωtei~k·~r, where i = −1 is the complex number, ω is the angular frequency of the electromagnetic wave, t is time, ~k is the wavevector that defines the waves direction of propagation, and ~r is the observation point. Using the plane wave representation of the electric and magnetic fields and Eqs. (A.1c) and (A.1d), the following relationships exist between the electric and magnetic field vector components:

∂E ∂Ey ∂H ∂Hy z − = iωµH (A.3), z − = −iωε˜E (A.4), ∂y ∂z x ∂y ∂z x ∂E ∂E ∂H ∂H − z + x = iωµH (A.5), − z + x = −iωε˜E (A.6), ∂x ∂z y ∂x ∂z y ∂Ey ∂E ∂Hy ∂H − x = iωµH (A.7), − x = −iωε˜E (A.8), ∂x ∂y z ∂x ∂y z

128 a) b) TE Case

n1 n2 n3 nN-2 nN-1 nN

Einc Hr kr Er Ex,1 Ex,2 Ex,3 Ex,N-2 Ex,N-1 Ex,N kinc Er Hinc θi θr

ninc E H H H H H H yˆ i y,1 y,2 y,3 y,N-2 y,N-1 y,N n Et t Et θt k yˆ t Ht

zˆ zˆ

Figure A.1: Schematic diagrams to represent a) Incident, reflected and transmitted plane-waves at the interface of two materials with refractive index ninc and nt with and incident angle of θi for waves with Transverse (TE) polarization.

where Ex, Ey, Ez, Hx, Hy, and Hz are the Cartesian components of the electric and magnetic field vectors. Now that the relationships between the electric and magnetic fields has been developed, it is now possible to consider the interaction of an electromagnetic wave with a thin film layer.

A.2 Case 1: Transverse Electric Field

The first case under consideration is the Transverse Electric (TE) case, where the electric field vector of an electromagnetic wave is transverse to the plane of incidence. The plane of incidence is defined as the plane that contains both the wavevector of the electromagnetic wave, and the vecor normal to the surface of the material under test. In Fig. A.1 (b), a schematic diagram depicting the interaction of a light wave with an interface between two media of different index of refraction for the TE case is shown. As one can see, for convenience the electromagnetic wave has been chosen to lie in the y-z plane, and the incident wave makes and angle θi with the normal to the interface. This method does not require simplification, and as long as isotropic media are considered the results derived can be considered generalized. Due to the construction of our plane of incidence, Fig. A.1 (b), the electric field vector points in the x-direction, and for the TE case, there will only be an x-component to the electric field. The generalized wavevector components are related

129 according to:

~k = kyyˆ + kzzˆ,

2 2 2 2 |k| = (k0n) = ky + kz ,

ky = k0 sin(θ), q 2 2 kz = (k0n) − ky , where ky and kz are the y- and z-components of the wavevector,y ˆ andz ˆ are the unit vectors that point in the 2π y- and z-direction, k0 = λ is the vacuum wavenumber, n is the index of refraction of the material in which the wave propagates, and θ is the angle of incidence the wave makes with the surface normal. It can be shown that the y-component of the wavevector is constant throughout any multilayer film stack due to phase matching at the boundaries [112], and, in this case, it is given by ky = k0 sin(θi) where θi is the incidence angle from the incident medium. From the above discussion and Fig. A.1, it is easy to see that the electric field vector will have only one component and it given by the following:

i(kyy+kzz) E~ = Ex xˆ = E0e xˆ.

Consequently, the magnetic field components can be calculated using Eqs. A.5 and A.7 as follows: ! i ∂Ez ∂Ex Hy =  − , ωµ ∂x ∂z −i ∂   = E ei(kyy+kzz) , ωµ ∂z 0 kz = E ei(kyy+kzz), (A.9) ωµ 0 ! −i ∂Ey ∂Ex Hz =  − , ωµ ∂x ∂y i ∂   = E ei(kyy+kzz) , ωµ ∂y 0 ky = − E ei(kyy+kzz), (A.10) ωµ 0 where the partial derivatives indicated are zero since Ez = 0 and Ey = 0. The next step is to relate the fields at the interfaces on each side of a thin film layer. In order to explain how the fields are related, Fig. A.2 shows a brief conceptual schematic of the wave propagation. Two waves are depicted one wave propagating forward in the +zˆ-direction labeled A˜, and one propagating backwards in the −zˆ-direction labeled B˜. All internal reflections, forward and backward propagating, are summed together into the two wave components. To begin, it is assumed that the phase at the inside of Interface 1 is equal to

130 ~ B Interface 2 Interface 1 Interface ~ A

z = 0 z = L

Figure A.2: Schematic diagram representing waves with forward propagation, labeled as A˜, and backward propagation, labeled B˜, inside a layer of thickness L. zero for both waves. The complex fields at Interface 1 (e.g. z = 0) can be determined:   E = E = Aei(kyy+kz,lz) + Bei(kyy−kz,lz) y=0, x,1 x y=0 z=0 z=0 = A + B, (A.11)

kz,l   i(kyy+kz,lz) i(kyy−kz,lz) y=0 Hy,1 = Hy = Ae − Be , y=0 ωµ z=0 z=0 k = z,l (A − B), (A.12) ωµ where for example the subscript (x,1) indicates the x-component of the field at interface 1, kz,l is the z- component of the wavevector in the lth medium, and the sign of the z- component of the wavevector is negative for the wave labeled B˜ since it is propagating in the −zˆ-direction. At Interface 2, the wave has propagated a distance L in the +zˆ-direction and are are given by:   E = E = Aei(kyy+kz,lz) + Bei(kyy−kz,lz) x,2 x y=0 y=0 z=L z=L = Aeikz,lL + Be−ikz,lL, (A.13)

kz,l   i(kyy+kz,lz) i(kyy−kz,lz) Hy,2 = Hy = Ae − Be y=0 ωµ y=0 z=L z=L kz,l   = Aeikz,lL − Be−ikz,lL . (A.14) ωµ It is important to note that the field on the inside of the interface is identical to the field outside of the interface, since field quantities are continuous across the interface without the presence of electric charge. Using Eqs. A.11 – A.14, one can derive the relationship between fields on Interface 1 and Interface 2 by solving for the coefficients A and B in terms of the electric and magnetic field values. First, the A coefficient

131 can be determined first by rewriting Eqs. A.11 and A.12:

Ex,1 = A + B, (A.15) ωµ Hy,1 = A − B. (A.16) kz,l As one can see, solving Eqs. A.15 and A.16 for A results in: 1 ωµ A = Ex,1 + Hy,1, (A.17) 2 2kz,l where now, A can be inserted back into Eq. A.15 to solve for the complex coefficient B: 1 ωµ B = Ex,1 − Hy,1. (A.18) 2 2kz,l Now that the coefficients A and B are known in terms of the fields incident on Interface 1, a direct relationship can be made between these fields, Ex,1 and Hy,1, and the fields on Interface 2, Ex,2 and Hy,2 by inserting

Eqs. A.17 and A.18 into Eqs. A.13 and A.14. First, the field Ex,2 can be found as follows:

ikz,lL −ikz,lL Ex,2 = Ae + Be , ! ! ωµ ωµ 1 ikz,lL 1 −ikz,lL = Ex,1 + Hy,1 e + Ex,1 − Hy,1 e 2 2kz,l 2 2kz,l   ωµ   1 ikz,lL −ikz,lL ikz,lL −ikz,lL = Ex,1 e + e + Hy,1 e − e 2 2kz,l  iωµ  = Ex,1 cos kz,lL + Hy,1 sin kz,lL . (A.19) kz,l Similarly, the magnetic field at Interface 2 is given by:

kz,l   H = Aeikz,lL − Be−ikz,lL y,2 ωµ " ! ! # k ωµ ωµ z,l 1 ikz,lL 1 −ikz,lL = Ex,1 + Hy,1 e − Ex,1 − Hy,1 e ωµ 2 2kz,l 2 2kz,l kz,l   1   = E eikz,lL − e−ikz,lL + H eikz,lL + e−ikz,lL 2ωµ x,1 2 y,1 ik = z,l E sink L + H cosk L. (A.20) ωµ x,1 z,l y,1 z,l These two equations, A.19 and A.20, relate the fields on either side of the medium, therefore if one of these fields is a known quantity it is possible to solve for the field on the other side. In order to deal with

132 problems with more than 1 medium, these equations are placed into a matrix form as follows:       iωµ   Ex,2   cos kz,lL sin kz,lL  Ex,1     kz,l     =       ikz,l     Hy,2 ωµ sin kz,lL cos kz,lL Hy,1      Ex,1  = M  , (A.21) l    Hy,1  where the matrix Ml describes the wave propagation and interference in layer l. In general it is desired to find the fields on the surface of a multilayer stack since these generally corresponds to the reflection and transmission coefficients for a considered problem. Further, in order to consider multilayer stacks, it requires a slightly different formulations. Taking the inverse of matrix Ml results in the characteristic matrix of the material:          Ex,1   Ex,2    = M  ,   l    Hy,1   Hy,2  where the characteristic equation of the layer Ml is given by:    iωµ   cos kz,lL − sin kz,lL   kz,l  Ml =  . (A.22)  ikz,l    − ωµ sin kz,lL cos kz,lL It should be noted that matrix M always has an inverse and it can easily be shown. To describe the prop- agation of a wave through different layers in a multilayer stack, the characteristic matrix of each layer are multiplied together in the following fashion:          Ex,inc   Ex,ext    = M M ··· M M   (A.23)   1 2 N−1 N    Hy,inc   Hy,ext       Ex,ext  = U  ,    Hy,ext  where Ex,inc and Hy,inc are the fields in the incident medium (i.e. the medium that surrounds the top surface of the multilayer stack), Ex,ext and Hy,ext are the fields in the medium where the fields exit the multilayer stack, MN is the characteristic matrix of layer N, and U is the total transfer matrix for the multilayer stack for a TE-polarized input field. Eq. A.23 is created by relating the fields from each successsive layer, as shown in Fig. A.1 (a), using Eq. A.22. For simplicity, we will refer to matrix elements in the transfer matrix according to the following representation, Uαβ, where α indicates the row and β indicates the column. The final step in the transfer matrix process is to relate the fields in the incident medium and the exit medium to the reflection and transmission coefficients. First, consider the fields at z = 0 in the incident

133 medium:

E = A + B, x,inc y=0 z=0

kz,inc Hy,inc = (A − B), y=0 ωµ z=0 where these fields are identical to the fields on the inside of the interface, (see Eqs. A.11 and A.11). In the incident medium, it is possible to choose the input field (i.e. the forward propagating field represented by A) as a normalized input field with a value equal to 1. Further, since B represents the complex-valued backward propagating field, it is therefore the sum of the reflected waves and is there for the reflection coefficient (i.e. B = r). Therefore, the fields in the incident medium are given by:

E = 1 + r, (A.24) x,inc y=0 z=0

kz,inc Hy,inc = (1 − r). (A.25) y=0 ωµ z=0 The fields in the exiting medium are described by:

E = C + D, x,inc y=0 z=Ltot

kz,ext Hy,inc = (C − D), y=0 ωµ z=Ltot P where Ltot = i=0 NLi is the sum of all of the layer thicknesses, and to avoid confusion with the fields in the incident medium, the complex valued field coefficients for the forward and backward propagating waves are labeled C and D, respectively. In the exiting medium, since there is no wave propagating in the −zˆ-direction, D = 0. The complex-valued field coefficient C is therefore equal to the transmission coefficient for a wave that propagates through the medium, and hence C = t. The field values in the exiting medium are therefore given by:

E = t , (A.26) x,inc y=0 TE z=Ltot

kz,ext Hy,inc = tTE , (A.27) y=0 ωµ z=Ltot where rTE and tTE are the reflection and transmission coefficients for the TE case, respectively. Combining Eqs. A.24-A.27, gives the following relationship between the reflection and transmission coefficients are related using the transfer matrix as follows:       r   U U  t   1 + TE   11 12  TE    =   ,  kz,inc    kz,inc  ωµ (1 − rTE ) U21 U22 ωµ tTE

134 which can be solved directly for the complex-valued reflection and transmission coefficients, r and t, respec- tively. It can be shown the the reflection and transmission coefficients are given by:

ηinc (U11 + ηextU12) − U21 − ηextU22 rTE = , (A.28) ηinc (U11 + ηextU12) + U21 + ηextU22 2ηinc tTE = , (A.29) ηinc (U11 + ηextU12) + U21 + ηextU22

kz,inc kz,ext where ηinc = ωµ , and ηext = ωµ . The generic process for the transfer matrix method is to first calculate the characteristic matrix for each layer, then multiply the characteristic matrix of each layer to calculate the full transfer matrix of the thin film stack, and finally use Eqs. A.28 and A.29 to calculate the reflection and transmission coefficients from the transfer matrix elements. It is important to note that this method is analytical and when properly im- plemented can be exact. There are many pitfalls that can lead to erroneous results or results that or not physically observable, which includes considering layers that are much thicker than the wavelength of the light considered, particularly when the layers are absorbing.

A.3 Case 2: Transverse Magnetic Field

The last thing to consider in the Transfer Matrix Method, is the second potential case for electromagnetic waves that have Transverse Magnetic (TM) polarization. In this polarization state, depicted schematically in Fig. A.3, the magnetic field is oriented transverse to the plane of incidence. Since much of the work is similar in nature to the TE case, a brief derivation is provided below for the reflection and transmission coefficients for the TM case. In the TM case, there is only an x-component to the magnetic field vector. Therefore, the total magnetic field at Interface 1, see Fig. A.2, can be considered to be a superposition of complex-valued amplitude forward and backward propagating waves:   H = H = Aei(kyy+kz,lz) + Bei(kyy−kz,lz) y=0, x,1 x y=0 z=0 z=0 = A + B. (A.30)

135 TM Case

Einc

H kr inc H kinc r

θi θr Er

ninc yˆ nt

θt Et

Ht kt

Figure A.3: Schematic diagram depicting incident, reflected and transmitted plane-waves at the interface of two materials with refractive index ninc and nt with and incident angle of θi for waves with Transverse Magnetic (TM) polarization.

Using Eq. A.6, the y-component of the electric field can be derived as follows: ! i ∂Hx ∂H z Ey,1 = Ey = − y=0 ωε˜ ∂z ∂x y=0 z=0 z=0

i ∂  −  y = Aei(kyy+kz,lz) + Bei(kyy kz,lz) =0 2 ∂z z=0 ωn˜l k = − z,l (A − B), (A.31) 2 ωn˜l 2 wheren ˜l is the complex index of refraction of layer l and it is definded byε ˜r = n˜l . Similarly, the electric and magnetic fields at Interface 2, where the waves have propagated a distance L can be described by:   H = H = Aeikz,lz + Be−ikz,lz x,2 x y=0 y=0 z=L z=L = Aeikz,lL + Be−ikz,lL, (A.32) i ∂   ikz,lz −ikz,lz Ey,2 = Ey = Ae + Be y=0 ωn˜2 ∂z y=0 z=L l z=L kz,l   = − Aeikz,lL − Be−ikz,lL . (A.33) 2 ωn˜l At this point in the derivation, it is important to note a subtle difference between the TE and TM case. Comparing Eqs. A.11- A.14 with Eqs. A.30-A.33, notice that in the TE case the B coefficient changes sign for the magnetic field. Physically this indicates that the magnetic field, in the TE case, exhibits a phase change of π upon reflection from the interface (B represents the complex-valued amplitude of the backward

136 propagating wave). However for the TM case, this sign change for the B coefficient occurs for the electric field, indicating that the electric field experiences the phase change. The subtle difference between the cases is that in the TE case, the reflection and transmission coefficients for the electric field, of which the magnetic field is dependent, while in the TM case is solved for the coefficients for the magnetic field. In essence the phase of one component relative to the other will differ by π. If relative phase differences must be compared, this method requires a slight change in the derivation. This derivation can be modified by changing the sign of the B coefficient directly in Eq. A.30 then calculating the electric field from this corrected equation. Now that the fields on each interface have been calculated, Eqs. A.30 and A.31 can be solved to find the A and B coefficients in terms of the field components on Interface 1: 2 1 ωn˜l A = Hx,1 − Ey,1, (A.34) 2 2kz,l 2 1 ωn˜l B = Hx,1 + Ey,1. (A.35) 2 2kz,l By inserting these coefficients into Eqs. A.32 and A.33, a direct relationship can be made between the electromagnetic fields Interface 1 and Interface 2 as follows:

ikz,lL −ikz,lL Hx,2 = Ae + Be     1 ωn˜2  1 ωn˜2   l  ikz,lL  l  −ikz,lL =  Hx,1 − Ey,1e +  Hx,1 + Ey,1e 2 2kz,l  2 2kz,l    ωn˜2   1 ikz,lL −ikz,lL l ikz,lL −ikz,lL = Hx,1 e + e − Ey,1 e − e 2 2kz,l 2  iωn˜l  = Hx,1 cos kz,lL − Ey,1 sin kz,lL , (A.36) kz,l kz,l   E = − Aeikz,lL − Be−ikz,lL y,2 2 ωn˜l  2   2   kz,l 1 ωn˜  1 ωn˜   = −  H − l E eikz,lL −  H + l E e−ikz,lL 2 2 x,1 2k y,1 2 x,1 2k y,1  ωn˜l z,l z,l kz,l   1   = H eikz,lL − e−ikz,lL + E eikz,lL + e−ikz,lL 2 x,1 2 y,1 2ωn˜l ik 1 = − z,l H sink L + E cosk L. (A.37) 2 x,1 z,l 2 y,1 z,l 2ωn˜l Eqs. A.36 and A.37 can then be rewritten into a usable matrix form:

   iωn˜2       l    Hx,2   cos kz,lL − sin kz,lL  Hx,1   Ex,1     kz,l       =    = Ml  ,    ikz,l        Ey,2   − 2 sin kz,lL cos kz,lL  Ey,1   Hy,1  2ωn˜l

137 where the matrix Ml also describes the wave propagation and interference in layer l. The characteristic matrix of the layer can be found by taking the inverse of this matrix and it is given as follows:

 iωn˜2    l    cos kz,lL sin kz,lL   kz,l  Ml =  , (A.38)  ikz,l     2 sin kz,lL cos kz,lL  2ωn˜l such that the fields on Interface 1 are given by:          Hx,1   Hx,2    = M  .   l    Ey,1   Ey,2  Similarly, the electromagnetic fields for a mutlilayer stack can be determined by multiplying the charac- teristic matrices for each layer together as follows:          Hx,inc   Hx,ext    = M M ··· M M   (A.39)   1 2 N−1 N    Ey,inc   Ey,ext       Ex,ext  = V  ,    Hy,ext  where V is the transfer matrix for the multilayer medium for the TM case, Hx,inc and Ey,inc are the fields in the incident medium, and Hx,ext and Ey,ext are the fields in the exit medium. Consequently, the reflection and transmission coefficients for a given design can be calculated by first by defining the fields in the incident and exit medium as follows:

kz,l Hx,inc = 1 + rTM (A.40), Ey,inc = − (1 − rTM) (A.41), y=0 y=0 ωn˜2 z=0 z=0 l

kz,l Hx,ext = tTM, (A.42) Ey,ext = − tTM (A.43), y=0 y=0 ωn˜2 z=L z=L l where rTM and tTM are the reflection and transmission coefficients for the TM case. The reflection and transmission coefficients are then related by the following:           1 + rTM   V V  tTM     11 12     =   .  kz,l    kz,l   − 2 (1 − rTM)   V21 V22  − 2 tTM  ωn˜l ωn˜l The reflection and transmission coefficients can then be explicitly stated as:

ξinc (V11 − ξextV12) + V21 − ξextV22 rTM = , (A.44) ξinc (V11 − ξextV12) − V21 + ξextV22 2ξinc tTM = , (A.45) ξinc (V11 − ξextV12) − V21 + ξextV22

kz,inc kz,ext where ξinc = − 2 and ξext = − 2 . ωn˜inc ωn˜ext

138 The transfer matrix is a very robust analytical method that is capable of solving very rapidly large multi- layer stacks by reducing the scattering problem to a simple matrix operation. Presented here is the method used in the preceding work to calculate the reflection and transmission from certain multilayer scattering systems.

139 Appendix B Rigorous Coupled Wave Analysis

B.1 Background on Rigorous Coupled Wave Analysis

Rigorous coupled wave analysis (RCWA) was first developed approximately 40 years ago as a semi- analytical method to investigate the optical response of binary diffraction gratings and it has been thoroughly developed by the community [180–191]. Fundamentally, RCWA is a Fourier expansion method in which the electric and magnetic fields, as well as the permittivity the grating, are expanded into their Fourier com- ponents, and Maxwell’s equations are then solved on the boundaries by relating the Fourier components at each interface. This method is known as semi-analytical since the method uses no assumption, but ultimately most problems cannot be solved by hand due to their large size. Therefore, like all other numerical meth- ods, it is a computational problem, but the results given should be exact and are limited only by machine rounding error and by the number of diffraction orders considered. It should be noted that the formulation developed in this chapter and used to develop the simulation code for this thesis can be found in [180, 181]. Although the method described herein, only encompasses the use of a single periodic grating layer, RCWA can also be extended to incorporate an arbitrary number of grating layers, which has enabled the simulation of complex structures such as sawtooth gratings [190]. For a primer on electromagnetic waves in general and for a list of Maxwell’s equations see Appendix A. Figure B.1 depicts the scattering process considered in Rigorous Coupled Wave Analysis. In RCWA, a single plane wave incident at an angle θinc diffracts into 2N +1 diffraction orders, where m is known as the diffraction order. The m = 0 diffraction order is equivalent to the standard reflected and transmitted waves defined by Snell’s Law. The problem is divided into three regions of interest within which the electric and magnetic fields must be defined. Region I, known as the incident region, is composed entirely of a material with an index of refraction nI. The Grating Region, is composed of two materials that make up the grating, although in general any number of materials can be considered here as long as the permittivity in the region is properly Fourier expanded. The two materials under consideration are the ridge material, defined by an index of refraction nr, and the groove material, defined by an index of refraction ng. The grating is defined with a thickness d, and there are no limitations on the thickness to achieve accurate results. The grating has a period defined by Λ and the ridge material

140 m = 0 m = -N m = -1 m = 1

Region I nI

m = N

θinc Grating n n d Region r g

fΛ Region II Λ m = N

nII m = 1 m = -1 m = -N m = 0 x^

z^

Figure B.1: Schematic diagram depicting the diffraction process of a light wave incident at an angle θinc from a grating of thickness d. The diagram is separated into three regions: Region I is the incident region which is composed entirely of a material with an index nI, the Grating region which is composed of a ridge material with index of refraction nr and a groove material with an index of ng, and Region II which is the exiting region composed entirely of a material with index nII. The grating is a periodic geometric structure with a period, Λ, and a volume fill fraction of the ridge material, f . has a width f Λ, where f is the volume fill ratio of the ridge material with respect to the groove material. Last, Region II is known as the exiting region and it is composed entirely of a material with an index of re- fraction of nII. As a reference, the permittivity of materials in the grating region are defined by the standard 2 2 relationship:ε ˜r = nr andε ˜g = ng. As shown in Fig. B.1 grating is periodic in the x-direction. The first step in the RCWA process is to expand the permittivity in the grating region into its Fourier components. The grating permittivity is defined by: X i 2πh x ε(x) = εh e Λ , (B.1) h where ε(x) is the x-dependent permittivity in real space, εh are the Fourier components of the permittivity in Fourier space, h is the positive or negative integer mode number, Λ is the period of the grating, and x is the position in the x-direction. Utilizing the orthogonality of the complex exponential function, the Fourier

141 components can be shown to be:

2 2 ε∅ = nr f + ng(1 − f ), (B.2) sin(πh f ) ε = (n2 − n2) , (B.3) h r g πh where ε∅ defines the h = 0 Fourier component and εh defines all other Fourier components. Note that the sin(πh f ) form πh is the well defined ’sinc’ function that is the result of Fourier transforming a rectangle function, which the grating satisfies in real-space. The Fourier expanded permittivity will be used to relate the Fourier components of the electric field at the grating interface. In Rigorous Coupled Wave Analysis, the final result of the formulation will be the calculation of the complex reflection and transmission coefficients for all diffraction orders from a grating. Similar to the transfer matrix method, the formulation of the RCWA method requires consideration of two unique cases: Transverse Electric (TE) fields and Transverse Magnetic (TM) fields. Refer to Appendix A for pictorial representations of these specific cases.

B.2 Case 1 Transverse Electric Polarized Fields

As mentioned in Appendix A, the transverse electric (TE) case considers when the electric field vector lies only in a plane transverse to the plane of incidence. It is considered the simplest case since the formulation considers mainly the electric field vector. The first step is to consider the fields in each region, which are defined in Fig. B.1.

B.2.1 Region I

In Region I, there are two sets of fields to consider. First, the complex-valued amplitude of the incident electric field vector, which is polarized in the +yˆ-direction, can be written in its normalized form as:

ik0nI(sinθinc x+cosθincz) Ey,inc = e , (B.4) where E is the amplitude of the incident electric field in the y-direction, k = 2π is the free-space inc,y 0 λ0 wavenumber, λ0 is the wavelength of light in vacuum, nI is the index of refraction of the medium in Region

I, and θinc is the angle of incidence of the wave. The second component of the electric field in Region I, is the summation of all backward diffracted (reflected) waves in the region. These waves are all polarized in they ˆ-direction, and the complex amplitude of the waves can be represented as: XN i(kx,m x−kIz,mz) Ey,r = Rme , (B.5) m=−N

142 where Ey,r is y-component of the total reflected electric field that is summed over all N diffracted order pairs considered, R− M are the complex-valued reflection coefficients for the mth diffraction order, m is the integer th diffraction order number, kx,m is the x-component of the wavevector for m order diffracted wave, and kIz,m is the z-component in Region I of the wavevector for mth order diffracted wave. It is important to note the sign of the z-component of the reflected wave is negative in Eq. B.5 since the wave has reversed direction and now propagates in the −zˆ-direction. According to Bloch’s theorem [12], for a periodic medium, the electric field will be unchanged when the observation point shifts exactly one period (Λ) aside from a phase shift. Mathematically, this is represented in the x-component of the wavevector as: 2πm k = k n sinθ + , (B.6) x,m 0 I inc Λ  λ  = k n sinθ + m 0 , (B.7) 0 I inc Λ 2πm th where the term Λ is the additional transverse wavevector picked up by the m diffraction order and will result in a full 2π phase shift when the observed observation point, x, is an integer multiple of the grating period Λ. Note that similar to the phase matching conditions in the thin-film transfer matrix, the kx,m values will remain unchanged throughout the grating layer. Once kx,m is known, the z-component of the wavevector in Region I can be determined using the following relationship for a wave confined to propagate in the x-z plane: q 2 2 − 2 kIz,m = k0nI kx,m. (B.8)

2 It is important to note that when the kx,m values exceed (k0nI) the kIz,m components become imaginary. Physically these modes are not propagating modes, but are instead decaying evanescent modes. Now that both the incident and reflected electric field vectors have been defined, the total electric field in Region I can be written as:   E~I,tot = EIy,totyˆ = Einc,y + Er,y yˆ  m=N   X  = yˆ eik0nI(sinθinc x+cosθinc) + R ei(kx,m x−kIz,mz)yˆ. (B.9)  m  m=−N

143 Using Maxwell’s equations, the total magnetic field, H~I,tot = HIx,tot xˆ+HIz,totzˆ, in Region I can be determined. For simplicity, only the separate magnetic field components are given as follows: r i ε0 ∂ HIx,tot = EI,tot k0 µ0 ∂z   i r ε  mX=N  0  ik0nI(sinθinc x+cosθincz) i(kx,m x−kIz,mz) = ik0nI cosθince − i kIz,mRme  k µ   0 0 m=−N   r ε  mX=N k  0  ik0nI(sinθinc x+cosθincz) Iz,m i(kx,m x−kIz,mz) = −nI cosθince + Rme , (B.10) µ  k  0 m=−N 0 r −i ε0 ∂ HIz,tot = EIy,tot k0 µ0 ∂x   −i r ε  mX=N  0  ik0nI(sinθinc x+cosθincz) i(kx,m x−kIz,mz) = ik0nI sinθince + i kx,mRme  k µ   0 0 m=−N   r ε  mX=N k  0  ik0nI(sinθinc x+cosθincz) x,m i(kx,m x−kIz,mz) = nI sinθince − Rme , (B.11) µ  k  0 m=−N 0 where ε0 is the permittivity of free space, and µ0 is the permeability of free space. Due to their simplicity, the fields in Region II will be derived before the fields in the Grating Region.

B.2.2 Region II

The electric field in Region II is composed all of the transmitted diffraction orders in this region. The total electric field in Region II is therefore given by: XN i(kx,m x−kIIz,m(z−d)) E~II,tot = EIIy,totyˆ = Tme , (B.12) m=−N where Tm are the complex amplitude transmission coefficients for the electric field, d is thickness of grating, and the z-component of the wavevector in Region II is defined as: q 2 2 − 2 kIIz,m = k0nII kx,m. (B.13)

The x-component of the magnetic field in Region II can then be calculated: r i ε0 ∂ HIIx,tot = EIIy,tot k0 µ0 ∂z r N i ε0 X = ik T ei(kx,m x−kIIz,m(z−d)) k µ IIz,m m 0 0 m=−N r N ε0 X kIIz,m = − T ei(kx,m x−kIIz,m(z−d)) (B.14) µ k m 0 m=−N 0 Now that the fields in Region I and II are defined, the fields within the grating must be defined.

144 B.2.3 Grating Region

For the TE case the electric field in the Grating Region will only have a y-component. The total electric field in this region is the sum of all diffracted modes and can be thought of as the Fourier expansion of the field in the grating region. The field can be described according to: XN ikx,m x EG,y = S y,m(z)e , (B.15) m=−N th where EG,y is the total electric field, and S y,m is the complex-valued amplitude of the m diffracted mode in the grating region. It is important to note that the z-dependence of the wave has been absorbed into S y,m. Similarly, the x and z components of the magnetic field in the Grating Region can be expanded as follows:

r ε XN H = i 0 U (z)eikx,m x, (B.16) G,x µ x,m 0 m=−N r ε XN H = i 0 U (z)eikx,m x, (B.17) G,z µ z,m 0 m=−N where Ux,m and Uz,m are the z-dependent complex-valued amplitudes of the x and z magnetic field compo- nents, respectively.

Before the electric and magnetic fields in each region can be related, first the amplitudes, S y,m, Ux,m and

Uz,m must first be calculated. Using Maxwell’s Equations from Appendix A, the following relationships exist between the fields in the Grating Region:

∂EG,y iωµH = − , (B.18) G,x ∂z ∂EG,y iωµH = , (B.19) G,z ∂x ∂H ∂H G,x − G,z = −iωε ε(x)E . (B.20) ∂z ∂x 0 G,y By inserting Eqs. B.15-B.17 into Eqs. B.18-B.20, a direct relationship can be developed between the com- plex field components S y,m and Ux,m. It is important to note that the Uz,m term will be quickly replaced in the derivation as it can be completely defined in terms S y,m. First, Eqs. B.15 and B.16 are inserted into Eq. B.18, which results in the following relationship:

∂S y,m k U = . (B.21) 0 x,m ∂z Next, inserting Eqs. B.15 and B.17 into Eq. B.19 results in:

kx,m Uz,m = −i S y,m. (B.22) k0

145 Last, Eqs.B.15-B.17 and Eq. B.22 are inserted into Eq. B.20 to develop a differential relationship between

S y,m and Ux,m as follows: ∂H ∂H G,x − G,z = −iωε ε(x)E ∂z ∂x 0 G,y r r ε X ∂Ux,m ε X X i 0 eikx,m − i 0 ik U eikx,m x = −iωε ε(x) S (z)eikx,m x µ ∂z µ x,m z,m 0 y,m 0 m 0 m m r r ! ε0 X ∂Ux,m ik ε0 X  kx,m ik x X i 2πh x X ik x i e x,m − i ik −i S e x,m = −iωε ε e Λ S (z)e x,m µ ∂z µ x,m k y,m 0 h y,m 0 m 0 m 0 h m !2 1 X ∂Ux,m ik X kx,m ik x X i 2πh x X ik x − e x,m + S e x,m = ε e Λ S (z)e x,m (B.23) k ∂z k y,m h y,m 0 m m 0 h m ∂U k2 X x,m = x,m S − k ε S , (B.24) ∂z k y,m 0 m−p y,p 0 p where for ease of notation, the limits of the summation over m has been dropped. It is important to note that Eq. B.24 is the desired result, and it requires some basic algebraic steps as well integration over an orthogonal basis on each side of the equation to reduce the differential equation into its final form. For ease of the derivation, the steps for reducing Eq. B.23 are detailed below. First, the right-hand side (RHS) of Eq. B.23 multiplied by an orthogonal Fourier series and integrated over one period: Z Λ Z Λ 2πp X 2πh X 2πp i x i x ikx,m x i x RHS e Λ dx = − εhe Λ S y,m(z)e e Λ dx 0 0 h m Z Λ XX 2πh 2πm 2πp i x i(k0nI sinθinc− )x i x = − εhS y,m(z)e Λ e Λ e Λ dx 0 h m Z Λ XX 2π(m−h) 2πp ik0nI sinθinc x −i x i x = − εhS y,m(z)e e Λ e Λ dx 0 h m XX ik0nI sinθinc x = − εhS y,m(z)e δ(m−h),p h m X ik0nI sinθinc x = − ε(m−p)S y,m(z)e , (B.25) m where δ(m−h),p is the Kronecker delta function equals 1 when p = m−h and zero when p , m−h. Conversely, we can rewrite the first condition as h = m− p, which will return the last term in Eq. B.24. In a similar fashion, the left-hand side (LHS) of Eq. B.23 can be reduced into the final remaining components of Eq. B.24 as

146 follows: Z Λ Z Λ  !2  i 2πp x X 1 ∂Ux,m ik X kx,m ik x i 2πp x LHS e Λ =  e x,m − S e x,m e Λ  k ∂z k y,m  0 0 m 0 m 0 Z Λ  !2  X 1 ∂Ux,m kx,m  ik n sinθ x i 2π(p−m) x =  − S e 0 I inc e Λ k ∂z k y,m 0 m 0 0  !2  X 1 ∂Ux,m kx,m  =  − S eik0nI sinθinc xδ k ∂z k y,m m,p m 0 0  !2  X 1 ∂Ux,p kx,p  =  − S eik0nI sinθinc x. (B.26) k ∂z k y,p p 0 0 It is important to note that the subscripts, p and m are both defined as all possible positive and negative integers and consequently they can be freely exchanged.

By inserting Eq. B.21 into Eq. B.24, a single differential equation can be found for S y,m:

2 2 1 ∂ S y,m kx,m X = S − ε − S . (B.27) 2 ∂z2 2 y,m (m p) y,p k0 k0 p It is important to note that Eq. B.27 can be represented using a matrix formalism, and it is precisely this formalism that gives this numerical method its power. Further, Eq. B.27 can be re-written into an eigenvalue equation if S y,m is considered as an eigenvector of values: 2 1 ∂ S y,m = AS , (B.28) 2 ∂z2 y,m k0 2 1 ∂2 where A = Kx + Ξ is a matrix operator that returns the same eigenvectors as the 2 ∂z2 operator. Kx is a k0 diagonal matrix composed of the wavevector components kx,m as follows:    kx,−N       kx,−N+1 0     ..  Kx =  . . (B.29)      0 kx,N−1      kx,N The matrix Ξ is composed of the Fourier components of the grating permittivity:    ε0 ε−1 ··· ε−2N+1 ε−2N       ε1 ε0 ··· ε−2N+2 ε−2N+1     ......  Ξ =  . . . . . . (B.30)      ε2N−1 ε2N−2 ··· ε0 ε−1      ε2N ε2N−1 ··· ε1 ε0

147 Using numerical computations, e.g. MATLAB, the eigenvalues and eigenvectors of A can be found. The complex electric field amplitude in the grating region, S y,m, is then described by a superposition of the eigenstates: X h √ √ i + k0 λm(z−d) − −k0 λmz S y,m = Wm,n Cn e +Cn e , (B.31) n where Wm,n is a matrix composed of the eigenvectors of matrix operator A where each column of Wm,n is + an independent eigenvector, λm are the corresponding eigenvalues for each eigenvector in Wm,n, Cn are the − complex-valued amplitudes of the forward propagating waves, and Cn are the complex-valued amplitudes + − of the backward propagating waves. It is important to note that Cn and Cn are column vectors. Last, the complex-valued field amplitudes of the magnetic field in the grating region can be found by inserting Eq. B.31 into Eq. B.21, which results in: X h √ √ i + k0 λm(z−d) − −k0 λmz Ux,m = Vm,n Cn e −Cn e , (B.32) n where Vm,n = Wm,nQm and Qm is a diagonal matrix composed of the eigenvalues λm along the diagonal. Now that all of the complex field amplitudes have been numerically defined in each region, fields at each boundary can be directly related.

B.2.4 Relating the Fields using Boundary Conditions

At the boundary between Region I and the Grating Region, where z = 0, the electric field tangential to the grating’s interface should be continuous:

EIy,tot = EG,y z=0 z=0    X  X eik0nI(sinθinc x+cosθincz) + R ei(kx,m x−kIz,mz) = S (z)eikx,m x  m  y,m m z=0 m z=0 X XX h √ i ik0nI sinθinc x ikx,m x + −k0 λmd − ikx,m x e + Rme = Wm,n Cn e +Cn e (B.33) m m n X h √ i + −k0 λmd − δm,0 + Rm = Wm,n Cn e +Cn , (B.34) n where δm,0 is a vector of length 2N whose elements are zero, except at the m = 0 element, Rm is a vector containing the reflection coefficients for each diffraction order m. It should be noted that once again that the orthogonality of complex exponential functions was used again on Eq. B.33 to calculate Eq. B.34.

148 The last equation relating the fields at the z = 0 interface is given by the continuity of the magnetic fields across the interface:

HIx,tot = HG,x z=0 z=0 √ X kIz,m XX h i in cosθ eik0nI sinθinc − i R eikx,m x = V C+e−k0 λmd −C− eikx,m x i inc k m m,n n n m 0 m n ! √ kx,m X h i in cosθ δ − i R = V C+e−k0 λmd −C− . (B.35) I inc m,0 k m m,n n n 0 n Eqs. B.34 and B.35 can be re-written into a matrix form as follows:         δ   I   WXW  C+   m,0      n    +  RTE =   , (B.36)       −  inI cosθincδm,0 −iZI VX −V Cn where I is the identity matrix, Z is a diagonal matrix with the diagonal elements composed of the terms kIz,m I k0 √ and X is a diagonal matrix with elements e−ik0 λmd. It is important to note that the elements of the matrices shown in Eq. B.36 are themselves matrices. The next step is to apply the same boundary conditions at the interface between the grating and the exiting medium composed of a material with refractive index nII, at z = d. Applying the constraint that the y-component of the electric field should be continuous across the boundary results in:

EIIy,tot = EG,y z=d z=d X XX h √ i ikx,m x + − −k0 λmd ikx,m x Tme = Wm,n Cn +Cn e e m m n X h √ i + − −k0 λmd Tm = Wm,n Cn +Cn e . (B.37) n Finally, applying the continuity of the x-component of the magnetic field across the boundary will result in the following relationship:

HIIx,tot = HG,x z=d z=d r r √ ε0 X kIIz,m ε0 XX h i − T eikx,m x = i V C+ −C−e−k0 λmd eikx,m x µ k m µ m,n n n 0 m 0 0 m n √ kIIz,m X h i i T = V C+ −C−e−k0 λmd . (B.38) k m m,n n n 0 n Similarly, Eqs. B.37 and B.38 can be rewritten into a compact matrix form:       I   WWX  C+      n   TTE =   , (B.39)     −  iZII V −VX Cn

149 where Z is a diagonal matrix with elements composed of kIIz,m , R and T are the column vectors II k0 TE TE composed of the elements Rm and Tm respectively. In the standard method of RCWA, these equations can be directly related by inverting the matrix on the right hand side of either Eq. B.36 or Eq. B.39 to solve + − for the Cn and Cn coefficients and then solving the system of equations for Rm and Tm simultaneously. Unfortunately, due to the general size and large disparity between the elements of this matrix, it introduces numerical instabilities to take the inverse of this matrix. Instead, the best method is to solve directly for the + − Cn and Cn coefficients and then use them directly in Eqs. B.36 and B.39 to solve for RTE and TTE directly.

In order to accomplish this task, Eq. B.34 is solved for Rm and this is then inserted into Eq. B.35, which results in the following matrix relationship:       C+   n  inI cosθincδm,0 + iZIδm,0 = [iZIW + V ]X [iZIW − V ]  . (B.40)  −  Cn

+ − This equation alone is not satisfactory to describe Cn and Cn , since these values must be described by both boundary conditions. Therefore, Eq. B.37 is solved for Tm and inserted into Eq. B.38, which results in the following matrix equation:     C+   n  0 = [−iZIIW + V ]X −[iZIIW + V ]X  . (B.41)  −  Cn Combining Eqs. B.40 and B.41 into a single matrix form:          +   inI cosθincδm,0 + iZIδm,0   [iZIW + V ]X [iZIW − V ]  Cn    =   , (B.42)     −  0 [−iZIIW + V ]X −[iZIIW + V ]X Cn

+ − results in a matrix that can be directly inverted to solve for the Cn and Cn coefficients that results in no instabilities. Once the Cn coefficients have been calculated they are then inserted back into Eqs. B.34 and

B.37 to solve for RTE and TTE directly.

B.3 Case 2 Transverse Magnetic Polarized Fields

The Transverse Magnetic (TM) case, considers an electromagnetic wave incident on the grating medium that has a magnetic field that is perpendicular to the plane of incidence. Correspondingly, in this section the complex-valued reflection and transmission coefficients for each diffraction order of the magnetic field will be the main result of the derivation. These reflection and transmission coefficients will be equivalent to the coefficients for the electric field, but a small correction compared to many derivations must be made to correctly compare the results from the TE and TM case. This step will be pointed out in the following

150 derivation. Due to the similarity of the TM case to the TE case, in this section only the relevent equations and descriptions will be presented. Therefore, unless a mathematical step that occurs in this section did not occur in the previous section, it will be omitted.

B.3.1 Region I

In Region I, shown as the region with index of refraction nI in Fig. B.1, the total normalized magnetic field in Region I can be expressed as: X ik0nI(sinθinc x+cosθincz) i(kx,m x−kIz,mz) HIy,tot = e − Rme , (B.43) m where HIy,tot is the total y-component of the magnetic field in Region I, k0 is the vacuum wavenumber,

θinc is angle the electromagnetic wave makes with the grating normal direction, Rm are the complex-valued reflection coefficients for the TM case, and kx,m and kIz,m are the x- and z- components of the wavevector, respectively, as described in Eqs. B.7 and B.8. There is one major difference in the form of Eq. B.9 and Eq. B.43, the negative sign before the summation of the reflection coefficients in Eq. B.43. In the formulation of Eq. B.9, the electric field vector is not assumed to change sign, i.e it does not experience a π phase shift, upon reflection from the interface. Instead, the magnetic field vector experiences the π phase shift, as shown by the negative sign in Eq. B.10. In order to ensure that the same field experiences the π phase shift, and that equivalent reflection and transmission coefficients are compared, the π phase shift has been added to the Rm term in Eq. B.43. The x-component of the electric field in Region I can be calculated using by inserting Eq. B.43 into one of Maxwell’s Equations, Eq. A.4 from Appendix A, results in the following: r   µ0  X kIz,m  n2E = n cosθ eik0nI(sinθinc x+cosθincz) + R ei(kx,m x−kIz,mz), (B.44) I Ix,tot ε  I inc k m  0 m 0 2 where the relationship between the complex dielectric constant and the complex index of refraction, εr = nI , has been used.

B.3.2 Region II

Similarly, in Region II, the total magnetic field in the y-direction can be written as: X i(kx,m x+kIIz,m(z−d)) HIIy,tot = Tme , (B.45) m where Tm are the complex-valued transmission coefficients for all of the TM diffraction orders and kIIz,m is defined according to Eq. B.13. Now the x-component of the electric field can be found by inserting Eq. B.45

151 into Eq. A.4 from Appendix A, which results in: r µ0 X kIz,m n2 E = T ei(kx,m x+kIz,m(z−d)). (B.46) II IIx,tot ε k m 0 m 0 Now that the fields in Region I and II have been defined, the fields in the Grating Region must be defined.

B.3.3 Grating Region

In the grating region, the electric and magnetic fields can be Fourier expanded and represented with the following forms: X ikx,m x HG,y = Uy,m(z)e , (B.47) m rµ X E = −i 0 S (z)eikx,m x, (B.48) G,x ε x,m 0 m rµ X E = −i 0 S (z)eikx,m x,, (B.49) G,z ε z,m 0 m where Uy,m is the complex-valued amplitude of the y-component of the magnetic field, and S x,m and S z,m are the complex-valued amplitudes of x- and z-components of electric field vector, respectively. For ease of notation, the z dependence of the field amplitudes will be dropped, but it should be noted that these functions are still z-dependent. By inserting Eqs. B.47-B.49 into Maxwell’s Equations from Appendix A, Eqs. A.4, A.5 and A.8, the following relationships between these complex-valued field amplitudes can be derived:

1 ∂Uy,m X = ε S , (B.50) k ∂z (m−p) x,p 0 p X ikx,mUy,m = −k0 ε(m−p)S z,p, (B.51) p 1 ∂S x,m kx,m = −Uy,m + i S z,m. (B.52) k0 ∂z k0 Similar to the previous section, Eqs. (B.50)-(B.52) are more easily presented in matrix form: 1 ∂ Uy,m = ΞSy,m, (B.53) k0 ∂z

−iKxUy,m = ΞUz,m, (B.54) 1 ∂ Sy,m = −IUy,m + iKxUz,m, (B.55) k0 ∂z where Kx and Ξ are defined in Eqs. B.29 and B.30, respectively, I is the identity vector, Uy,m, Sx,m and

Sz,m are arrays of size 2N + 1, i.e. one column for each diffraction order.

152 Using matrix representation, Eq. B.54 can be rewritten to find the S z,m coefficients in terms of Uy,m:

−1 Sz,m = −iΞ KxUy,m. (B.56)

Plugging Eq. B.56 into Eq. B.55, results in the following differential equation relationship between S x,m and

Uy,m: 1 ∂ h −1 i Sy,m = KxΞ Kx − I Uy,m. (B.57) k0 ∂z

A final differential equation that allows for the calculation of the complex field amplitude Uy,m directly can be derived by taking the partial derivative of Eq. B.53 with respect to z and inserting Eq. B.57 into the result: 1 ∂2 h i U = ΞK Ξ−1K − Ξ U 2 ∂z2 y,m x x y,m k0

= BUy,m. (B.58)

Eq. B.58 represents a simple eigenvalue equation where all that is required for the solution of the problem is to solve for the eigenvalues and eigenvectors of matrix B using a numerical method such that offered by MATLAB. The complex-valued amplitude of the magnetic field components are then a superposition of all possible eigenstates: X  √ √  + k0 λm(z−d) − −k0 λmz Uy,m = Wm,n Cn e +Cn e , (B.59) n √ + where Wm,n are the eigenvectors of B and λm are the corresponding eigenvalues, Cn are the complex valued − coefficients for the forward propagating waves and Cn are the complex valued coefficients for the backward propagating waves. Using Eq. B.53, the electric field amplitudes in the grating region can be shown to be: X  √ √  + k0 λm(z−d) − −k0 λmz S y,m = Vm,n Cn e +Cn e , (B.60) n −1 where V = E WQm. Note that Qm is still defined as a diagonal matrix whose diagonal components are the eigenvalues, λm of matrix B. Now that all of the field amplitudes have been defined, the fields in each region can be related using the boundary conditions.

B.3.4 Relating the Fields using Boundary Conditions

At the interface between Region I and the Grating Region, i.e. z = 0, the magnetic and electric fields tangential to the interface are continuous. This physical requirement leads to the following relationships for

153 the magnetic and electric fields respectively: X h √ i + −k0 λmd − δm,0 − Rm = Wm,n Cn e +Cn , (B.61) n   cosθ k X h √ i inc  Iz,m  + −k0 λmd − i δm,0 + i Rm = Vm,n C e −C . (B.62) n  2  n n I k0nI n Similarly, the same boundary conditions at the interface between the Grating Region and Region II, i.e. z = d, result in the following relationships: X h √ i + − −k0 λmd Tm = Wm,n Cn +Cn e , (B.63) n   √ kIIz,m  X h i i T = V C+ +C−e−k0 λmd . (B.64)  2  m m,n n n k0nII n Grouping Eqs. B.61 and B.62 and Eqs. B.63 and B.64 together respectively, it is possible to rewrite them into the following matrix formalism:         δ   I   WXW  C+   m,0      n    −  RTM =   , (B.65)  cosθinc      −   i δm,   −iZI   VX −V  C  nI 0 n       I   WWX  C+      n   TTM =   , (B.66)     −   iZII   V −VX  Cn  where RTM and TTM are vector arrays composed of the reflection and transmission coefficients for all diffractions orders from the grating, and ZI, ZII, and X have been previously defined in Section B.2.4.

Once again, Eqs. B.65 and B.66 can be solved directly for the RTM and TTM coefficients, but the direct inversion of the matrices in the equations will lead to numerical instabilities in any computational method. + − Therefore, it is recommended to once again solve for the Cn and Cn coefficients first, and then insert these values into Eqs. B.65 and B.66 to calculate the reflection and transmission coefficients. It can be shown that + − the Cn and Cn coefficients can be found by solving the following matrix equation:       cosθinc    +   i n δm,0 + iZIδm,0   [iZIW + V ]X [iZIW − V ]  Cn   I  =   . (B.67)     −   0   [−iZIIW + V ] −[iZIW + V ]X  Cn 

+ − The Cn and Cn coefficients can now be computed by taking the inverse of the matrix on the right-hand side of Eq. B.67, and then the reflection and transmission coefficients can be easily calculated, using Eqs. (B.65) and (B.66).

154 Appendix C Copyright Permissions

D. Hay, P. Bagge, I. Khaw, L. Sun, O. Wood, Y. Chen, R.-h. Kim, Z. J. Qi, and Z. Shi, “Thin absorber extreme ultraviolet photomask based on Ni–TaN nanocomposite material,” Opt. Lett., vol. 41, p. 3791, August 2016.

RE: Permission for Reprint of Articles In Dissertation

pubscopyright Fri 9/11/2020 4:23 AM To: Hay, Darrick ; pubscopyright Dear Mr. Hay,

Thank you for contacng The Opcal Society.

For the use of material from Darrick Hay, Patrick Bagge, Ian Khaw, Lei Sun, Obert Wood, Yulu Chen, Ryoung- han Kim, Zhengqing John Qi, and Zhimin Shi, "Thin absorber extreme ultraviolet photomask based on Ni–TaN nanocomposite material," Opt. Le. 41, 3791-3794 (2016) and Yuqian Ye, Darrick Hay, and Zhimin Shi, "Coherent perfect absorpon in chiral metamaterials," Opt. Le. 41, 3359-3362 (2016):

Because you are the author of the source paper from which you wish to reproduce material, OSA considers your requested use of its copyrighted materials to be permissible within the author rights granted in the Copyright Transfer Agreement submied by the requester on acceptance for publicaon of his/her manuscript. If the enre arcle is being included, it is requested that the Author Accepted Manuscript (or preprint) version be the version included within the thesis and that a complete citaon of the original material be included in any publicaon. This permission assumes that the material was not reproduced from another source when published in the original publicaon.

The Author Accepted Manuscript version is the preprint version of the arcle that was accepted for publicaon but not yet prepared and/or formaed by The Opcal Society or its vendors.

While your publisher should be able to provide addional guidance, OSA prefers the below citaon formats:

For citaons in figure capons:

[Reprinted/Adapted] with permission from [ref #] © The Opcal Society. (Please include the full citaon in your reference list)

For images without capons:

Journal Vol. #, first page (year published) An example: J. Opt. Soc. Am. B 36, 2735 (2019)

Please let me know if you have any quesons.

Kind Regards, Hannah Greenwood

Hannah Greenwood September 11, 2020 Authorized Agent, The Opcal Society

The Opcal Society (OSA) 2010 Massachuses Ave., NW Washington, DC 20036 USA www.osa.org

Reflecng a Century of Innovaon

155 Y. Ye, D. Hay, and Z. Shi, “Coherent perfect absorption in chiral metamaterials,” Opt. Lett., vol. 41, p. 3359, July 2016.

RE: Permission for Reprint of Articles In Dissertation

pubscopyright Fri 9/11/2020 4:23 AM To: Hay, Darrick ; pubscopyright Dear Mr. Hay,

Thank you for contacng The Opcal Society.

For the use of material from Darrick Hay, Patrick Bagge, Ian Khaw, Lei Sun, Obert Wood, Yulu Chen, Ryoung- han Kim, Zhengqing John Qi, and Zhimin Shi, "Thin absorber extreme ultraviolet photomask based on Ni–TaN nanocomposite material," Opt. Le. 41, 3791-3794 (2016) and Yuqian Ye, Darrick Hay, and Zhimin Shi, "Coherent perfect absorpon in chiral metamaterials," Opt. Le. 41, 3359-3362 (2016):

Because you are the author of the source paper from which you wish to reproduce material, OSA considers your requested use of its copyrighted materials to be permissible within the author rights granted in the Copyright Transfer Agreement submied by the requester on acceptance for publicaon of his/her manuscript. If the enre arcle is being included, it is requested that the Author Accepted Manuscript (or preprint) version be the version included within the thesis and that a complete citaon of the original material be included in any publicaon. This permission assumes that the material was not reproduced from another source when published in the original publicaon.

The Author Accepted Manuscript version is the preprint version of the arcle that was accepted for publicaon but not yet prepared and/or formaed by The Opcal Society or its vendors.

While your publisher should be able to provide addional guidance, OSA prefers the below citaon formats:

For citaons in figure capons:

[Reprinted/Adapted] with permission from [ref #] © The Opcal Society. (Please include the full citaon in your reference list)

For images without capons:

Journal Vol. #, first page (year published) An example: J. Opt. Soc. Am. B 36, 2735 (2019)

Please let me know if you have any quesons.

Kind Regards, Hannah Greenwood

Hannah Greenwood September 11, 2020 Authorized Agent, The Opcal Society

The Opcal Society (OSA) 2010 Massachuses Ave., NW Washington, DC 20036 USA www.osa.org

Reflecng a Century of Innovaon

156 Y. Ye, D. Hay, and Z. Shi, “Coherent active polarization control without loss,” AIP Adv., vol. 7, p. 115007, November 2017.

make multiple copies of articles–although you must contact the Copyright Clearance Center (CCC) AIP Publishing LLC at www.copyright.com (http://www.copyright.com/) to do this Your Window to Possible REUSING CONTENT PUBLISHED BY OTHERS

To request another publisher’s permission to reuse material in AIP Publishing articles, please use our Reuse of Previously Published Material form. (We require documented permission for all reused Permission to Reuse Content content.)

REUSING AIP PUBLISHING CONTENT Reuse of Previously Published Material Form (pdf (https://publishing.aip.org/wp- content/uploads/AIP_Permission_Form-1.pdf)) Permission from AIP Publishing is required to: Unless the publisher requires a specic credit line, please format yours like this: republish content (e.g., excerpts, gures, tables) if you are not the author Reproduced with permission from J. Org. Chem. 63, 99 (1998). Copyright 1998, American Chemical modify, adapt, or redraw materials for another publication Society. systematically reproduce content store or distribute content electronically You do not need permission to reuse material in the public domain, but you should still include an appropriate credit line which cites the original source. copy content for promotional purposes

To request permission to reuse AIP Publishing content, use RightsLink® for the fastest response or contact AIP Publishing directly at [email protected] (mailto:[email protected]) and we will respond within © 2020 AIP Publishing LLC | Site created by Windmill Strategy one week:

For RightsLink, use Scitation to access the article you wish to license, and click on the Reprints and Cookies Settings Permissions link under the TOOLS tab. (For assistance click the “Help” button in the top right corner of the RightsLink page.)

To send a permission request to [email protected] (mailto:[email protected]), please include the following:

Citation information for the article containing the material you wish to reuse A description of the material you wish to reuse, including gure and/or table numbers The title, authors, name of the publisher, and expected publication date of the new work The format(s) the new work will appear in (e.g., print, electronic, CD-ROM) How the new work will be distributed and whether it will be offered for sale

Authors do not need permission from AIP Publishing to:

quote from a publication (please include the material in quotation marks and provide the customary acknowledgment of the source) reuse any materials that are licensed under a Creative Commons CC BY license (please format your credit line: “Author names, Journal Titles, Vol.#, Article ID#, Year of Publication; licensed under a Creative Commons Attribution (CC BY) license.”) reuse your own AIP Publishing article in your thesis or dissertation (please format your credit line: “Reproduced from [FULL CITATION], with the permission of AIP Publishing”) reuse content that appears in an AIP Publishing journal for republication in another AIP Publishing journal (please format your credit line: “Reproduced from [FULL CITATION], with the permission of AIP Publishing”)

157 D. Hay, Y. Ye, C. Car, and Z. Shi, “Optimization of high-index-contrast metastructures for wideband active coherent polarization control,” J. Appl. Phys., vol. 125, p. 133102, April 2019.

make multiple copies of articles–although you must contact the Copyright Clearance Center (CCC) AIP Publishing LLC at www.copyright.com (http://www.copyright.com/) to do this Your Window to Possible REUSING CONTENT PUBLISHED BY OTHERS

To request another publisher’s permission to reuse material in AIP Publishing articles, please use our Reuse of Previously Published Material form. (We require documented permission for all reused Permission to Reuse Content content.)

REUSING AIP PUBLISHING CONTENT Reuse of Previously Published Material Form (pdf (https://publishing.aip.org/wp- content/uploads/AIP_Permission_Form-1.pdf)) Permission from AIP Publishing is required to: Unless the publisher requires a specic credit line, please format yours like this: republish content (e.g., excerpts, gures, tables) if you are not the author Reproduced with permission from J. Org. Chem. 63, 99 (1998). Copyright 1998, American Chemical modify, adapt, or redraw materials for another publication Society. systematically reproduce content store or distribute content electronically You do not need permission to reuse material in the public domain, but you should still include an appropriate credit line which cites the original source. copy content for promotional purposes

To request permission to reuse AIP Publishing content, use RightsLink® for the fastest response or contact AIP Publishing directly at [email protected] (mailto:[email protected]) and we will respond within © 2020 AIP Publishing LLC | Site created by Windmill Strategy one week:

For RightsLink, use Scitation to access the article you wish to license, and click on the Reprints and Cookies Settings Permissions link under the TOOLS tab. (For assistance click the “Help” button in the top right corner of the RightsLink page.)

To send a permission request to [email protected] (mailto:[email protected]), please include the following:

Citation information for the article containing the material you wish to reuse A description of the material you wish to reuse, including gure and/or table numbers The title, authors, name of the publisher, and expected publication date of the new work The format(s) the new work will appear in (e.g., print, electronic, CD-ROM) How the new work will be distributed and whether it will be offered for sale

Authors do not need permission from AIP Publishing to:

quote from a publication (please include the material in quotation marks and provide the customary acknowledgment of the source) reuse any materials that are licensed under a Creative Commons CC BY license (please format your credit line: “Author names, Journal Titles, Vol.#, Article ID#, Year of Publication; licensed under a Creative Commons Attribution (CC BY) license.”) reuse your own AIP Publishing article in your thesis or dissertation (please format your credit line: “Reproduced from [FULL CITATION], with the permission of AIP Publishing”) reuse content that appears in an AIP Publishing journal for republication in another AIP Publishing journal (please format your credit line: “Reproduced from [FULL CITATION], with the permission of AIP Publishing”)

158