<<

Laser- Sources for Extreme-

BJÖRN A. M. HANSSON

Doctoral Thesis Stockholm, Sweden 2003 TRITA FYS 2003-56 ISSN 0280-316X KTH ISRN KTH/FYS/--03:56--SE SE-100 44 Stockholm ISBN 91-7283-658-X SWEDEN

Akademisk avhandling som med tillstånd av Kungl Tekniska högskolan framlägges till offentlig granskning för avläggande av teknologie doktorsexamen fredagen den 19 december 2003 kl. 10.00 i Kollegiesalen, Administrationsbyggnaden, Kungl Tekniska högskolan, Valhallavägen 79, Stockholm.

°c Björn A. M. Hansson, november 2003

Tryck: Universitetsservice US AB iii

Abstract

This thesis describes the development and characterization of a liquid- xenon-jet laser-plasma source for extreme-ultraviolet (EUV) radiation. It is shown how this source may be suitable for production-scale EUV lithography (EUVL). EUVL is one of the main candidates to succeed deep-ultraviolet (DUV) lithography for large-scale manufacturing of integrated circuits (IC). However, a major obstacle towards the realization of EUVL is the current unavailability of a source meeting the tough requirements on especially power and clean- liness for operation in an EUVL . The liquid-xenon-jet laser-plasma concept has key advantages that may make it suitable for EUVL since, e.g., its plasma consists only of the inert noble gas xenon and since the liquid- jet target technology enables plasma operation at large distances from the source-hardware thereby reducing sputtering and to allowing for high-power operation. At the beginning of the work described in this thesis, a spatial insta- bility of the liquid-xenon-jet made stable operation of a plasma at practical distances from the nozzle orifice difficult. However, an invention of a stabiliza- tion method based on applying localized heating to the tip of the jet-forming nozzle, resulted in stable jet operation. The longitudinal droplet stability of a liquid-droplet laser-plasma source has also been investigated and improved. Continuous improvements of especially the laser-power to EUV-radiation conversion efficiency (CE) and the stability of laser-plasma operation at large distances (several centimeter) from the nozzle are reported for the liquid- xenon-jet laser plasma source. Furthermore, this source is characterized re- garding many parameters relevant for EUVL operation including, ion emission from the plasma and related sputtering of nearby components, source size and shape, the repetition-rate limit of the source and non-EUV emission from the plasma. Although the main focus of the thesis has been the development and characterization of a liquid-xenon-jet laser-plasma source for production-scale EUVL, the source may also be suitable for small field applications that ben- efit from the high potential brightness of the source. A method to scan the plasma and thus minimize the losses while maintaining the object plane uniformity was developed. Furthermore, the first operation of a liquid- tin-jet laser plasma is reported. Quantitative EUV flux measurements yield record CE, but quantitative contamination measurements also indicate that a liquid-tin-jet laser plasma is not likely to be applicable as a source for EUVL. iv Contents

Contents v

List of papers vii

Other publications ix

List of acronyms xi

1 Introduction 1 1.1 Background ...... 1 1.2 Extreme-ultraviolet radiation ...... 2 1.3 technology ...... 3

2 Microlithography 5 2.1 Overview of microlithography ...... 5 2.2 The limitations of present microlithography ...... 7 2.3 Next-generation lithography ...... 8

3 EUV lithography 11 3.1 Introduction ...... 11 3.2 Multilayer optics ...... 11 3.3 A brief history of EUV lithography ...... 13 3.4 Overview of an EUV-lithograpy stepper ...... 14 3.5 Main challenges for EUV lithography ...... 15 3.6 The level - the resist ...... 15 3.7 The projection optics ...... 16 3.8 The mask ...... 16 3.9 The collector/illuminator ...... 17 3.10 Contamination issues ...... 21

4 EUV sources 23 4.1 Introduction ...... 23 4.2 Gas-discharge plasma ...... 24

v vi CONTENTS

4.3 Laser plasma ...... 25 4.4 Synchrotron radiation ...... 25 4.5 Other sources ...... 28 4.6 Source-generated contamination ...... 28 4.7 Debris mitigation ...... 29

5 Laser plasma 31 5.1 Plasma physics ...... 31 5.2 Choice of target material ...... 32 5.3 Target geometry ...... 33

6 Liquid-xenon-jet laser plasma 37 6.1 Introduction ...... 37 6.2 Liquid-xenon-jet operation ...... 39 6.3 Laser-plasma operation ...... 40 6.4 A suitable source for EUV lithography? ...... 41

7 Summary of the papers 43

Acknowledgments 45

Bibliography 47 List of papers

Paper 1 B. A. M. Hansson, L. Rymell, M. Berglund, and H. M. Hertz, “A Liquid- Xenon-Jet Laser-Plasma X-Ray and EUV Source”, Microel. Engin. 53, 667– 670 (2000).

Paper 2 O. Hemberg, B. A. M. Hansson, M. Berglund and H. M. Hertz, “Stability of droplet-target laser-plasma soft x-ray sources”, J. Appl. Phys. 88, 5421–5425 (2000). Paper 3 B. A. M. Hansson, L. Rymell, M. Berglund, O. Hemberg, E. Janin, J. Thore- sen, and H. M. Hertz, “Liquid-Xenon-Jet Laser-Plasma Source for EUV Lithog- raphy”, SPIE 4506, 1–8 (2001).

Paper 4 B. A. M. Hansson, M. Berglund, O. Hemberg, and H. M. Hertz, “Stabi- lization of liquefied-inert-gas jets for laser-plasma generation”, submitted to J. Appl. Phys.

Paper 5 B. A. M. Hansson, S. Mosesson, and H. M. Hertz, “Improved emission unifor- mity from a liquid-jet laser-plasma EUV source”, submitted to Appl. Opt. Paper 6 P. A. C. Jansson, B. A. M. Hansson, O. Hemberg, M. Otendal, A. Holm- berg, J. de Groot, and H. M. Hertz, “Liquid-metal-jet laser-plasma extreme ultraviolet generation”, submitted to Appl. Phys. Lett. Paper 7 B. A. M. Hansson, O. Hemberg, H. M. Hertz, M. Berglund, B. Jacobsson, E. Janin, S. Mosesson, L. Rymell, J. Thoresen, and M. Wilner, “Characteriza- tion of a liquid-xenon-jet laser-plasma EUV source”, submitted to Rev. Sci. In- strum.

vii

Other publications

The following papers and patents are related to the work in the thesis but have not been included in this thesis.

Papers

• L. Rymell, M. Berglund, B. A. M. Hansson, and H. M. Hertz,"X-ray and EUV laser-plasma sources based on cryogenic liquid-jettarget", Proc. SPIE 3676, 421 (1999).

• H. M. Hertz, B. A. M. Hansson, M. Berglund, and L. Rymell,"Liquid-jet tar- get laser-plasma sources for EUV and x-ray lithography", Proc. SPIE 3767, 2 (1999) (invited).

• B. A. M. Hansson, M. Berglund, O. Hemberg, and H. M. Hertz, “Xenon liquid-jet laser-plasma source for EUV lithography”, Proc. SPIE 3997, 729 (2000).

• O. Hemberg, B. A. M. Hansson, M. Berglund, and H. M. Hertz, "Drift analysis and stabilization of laser-plasma droplet-target system", Proc. SPIE 4144, 38 (2000).

• H. M. Hertz, M. Berglund, B. A. M. Hansson, O. Hemberg, and G. A. Jo- hansson, "Liquid-jet laser-plasma source for microscopy and lithography", J. Phys. IV France 11, 389 (2001) (invited).

• B. A. M. Hansson, L. Rymell, M. Berglund, O. Hemberg, E. Janin, S. Moses- son, J. Thoresen, and H. M. Hertz, "Status of the Liquid-Xenon-Jet Laser- Plasma Source for EUV Lithography", Proc. SPIE 4688, 102 (2002)

Patent and patent application

• Hans M. Hertz, Oscar Hemberg, Lars Rymell, Magnus Berglund ,Björn A. M. Hansson, "Method and apparatus for generating x-ray or EUV radiation",

ix x OTHER PUBLICATIONS

Swedish patent SE 520 087 (2003). Published international patent application WO 02/32197.

• European Patent Application No. EP 1 365 635 List of acronyms

BW Bandwidth CoO Cost of Ownership CD Critical Dimension CE Conversion Efficiency CW continuous wave DUV Deep Ultraviolet EPL electron projection lithography ETS Engineering Test Stand EUV Extreme Ultraviolet EUV LLC Extreme Ultraviolet Limited Liability Company EUVL Extreme Ultraviolet Lithography FCII Flying Circus II FEL Free Electron Laser HCT Hollow-Cathode Triggered gas discharge IC IF Intermediate Focus ITRS International Technology Roadmap for IR LWR Line Width Roughness MET Micro Exposure Tool NA NGL Next Generation Lithography OPC Optical-Proximity Correction PSM Phase-Shifting Masks PXL Proximity X-ray Lithography SCALPEL Scattering with Angular Limitation in Projection Electron Lithography sr steradian TBD To Be Determined UV Ultraviolet VNL Virtual National Laboratory VUV ultraviolet XPS X-ray Photoelectron Spectroscopy

xi

One

Introduction

1.1 Background

The work presented in this thesis is part of the development of laser-plasma sources for extreme-ultraviolet (EUV) radiation that takes place at the Royal Institute of Technology and also took place at the former company Innolite AB. The purpose of the work has been to develop laser-plasma sources and to investigate their ap- plicability for EUV lithography (EUVL). EUVL may be the main lithographic choice for semiconductor production to- wards the end of this decade and the beginning of next. A major problem, though, is that no EUV source is available today that meets the requirements for a production- scale EUVL stepper. In particular, no source has been able to sustain the high average powers needed or prove capability to do so. Furthermore, no source has shown its ability to operate at the required power for long times without degrading the fragile optical components in its vicinity. However, laser-plasma sources based on liquid jets or liquid droplet targets have certain features such as the ability to use a liquefied inert gas as target material, and the ability to generate the plasma far from any source hardware, that indicates the possibility to meet the requirements of EUVL. Therefore, the work described in this thesis has mainly been to develop liquid jet/droplet laser plasma sources and to investigate and improve their performance from a system’s point of view for applicability in EUVL. This chapter gives a short introduction to the field of EUV radiation and briefly mentions the importance of microlithography for the development of semiconductor technology. Chapter 2 introduces microlithography in general and the limitations of today’s deep-ultraviolet (DUV) lithography, motivating the development of EUVL. Chapter 3 gives an overview to EUVL, with an emphasize on aspects relevant from a source point of view. Chapter 4 introduces the specific demands on a source for EUVL and the other source alternatives that may be applicable. Chapter 5 follows by covering laser-plasma sources in particular. Finally, Chapter 6 together with

1 2 CHAPTER 1. INTRODUCTION

Papers 1-7 describes the actual development of laser-plasma sources performed at the Royal Institute of Technology and former Innolite AB, with a clear emphasis on the liquid-xenon-jet laser-plasma that is identified as a source concept that may reach the future requirements for production scale EUVL.

1.2 Extreme-ultraviolet radiation

EUV radiation is electromagnetic radiation just like gamma rays, x-rays, ultraviolet (UV) , visible light, infrared (IR) light, and radio waves, located in a specific region of the electromagnetic spectra. The physics and applications of EUV radiation are thoroughly described by Attwood [1] and only briefly intro- duced in this section. Just like radiation from the other named spectral regions, EUV radiation interacts with matter in its characteristic way, and require its own characteristic means to generate the radiation, thus motivating the separate treat- ment of EUV. Figure 1.1 illustrates the position of EUV in the . Vis ible

Figure 1.1: A section of the electromagnetic spectrum indicating the position of extreme-ultraviolet (EUV) radiation (adapted from Attwood [1]).

The main characteristics of EUV radiation is that all matter is highly absorbing due to the presence of a multitude of atomic resonances in this region. Actually, the neighbors in the electromagnetic spectra (cf. Fig. 1.1), vacuum ul- traviolet (VUV) and soft x-rays, share basically the same characteristics, and the boundaries between them are not well defined. When generally discussing EUV in this section, VUV and soft x-rays are also considered. At longer (UV, visible, etc.), air and other gases are mainly transparent. Also transparent solid materials exist, with the lower limit of transparency around λ∼120 nm for, e.g., MgF2 or CaF2. At shorter wavelengths, x-rays are transmitted by many materials as is well known from, e.g., medical applications. Due to the high absorption of EUV in all matter, EUV applications require a vacuum environment. 1.3. SEMICONDUCTOR TECHNOLOGY 3

EUV is also characterized by the sources required to generate the radiation, or rather by the sources that are not applicable. For IR, visible and UV, the laser is a very powerful light source that has made many applications possible in these wavelength regions. Although some EUV lasers have been demonstrated, they are still not applicable for wider use. For harder x-rays, the electron impact source, commonly called the x-ray tube, is a powerful source, but its effectiveness drops with decreasing photon , and it cannot generate substantial powers at EUV wavelengths. The unavailability of EUV sources have resulted in limited exploration of this wavelength region, but today, with powerful synchrotron sources and the availability of compact sources, as those described in this thesis, more applications are emerging. A positive feature with EUV radiation is that it can be controlled by optical elements. Although refractive optics is not applicable due to the high absorbance, other solutions are available. At grazing-incidence angles, many materials have substantial reflectance of EUV, and at normal-incidence angles high reflectivity can be achieved through multilayer coatings, as discussed in Section 3.2, allowing for reflective optics. Novel microfabrication techniques have also made it possible to manufacture diffractive optics, e.g., zone-plates, for these short wavelengths. EUV radiation can be a sensitive tool for elemental and chemical character- ization due to the multitude of atomic resonances in the specific energy range. The high absorption in matter makes EUV radiation especially suitable for surface science with applications like x-ray photoelectron spectroscopy (XPS). The com- bination with the availability of reflective and diffractive optics also makes EUV astronomy using space-born possible, opening a new wavelength window into the universe. Furthermore, due to the short wavelength of EUV radiation and, thus, limited diffraction, high-resolution imaging and printing can be accomplished. An emerging application, of especially the shorter-wavelength soft x-ray radiation, is microscopy. The wavelength region λ=2.3–4.4 nm (called the water-window) is especially interesting since it is characterized by a natural contrast between water and protein, making detailed imaging of, e.g., living cells in their aquatic environ- ment possible. The main topic of this thesis is, however, the use of the short EUV wavelength to print semiconductor structures with smaller features using EUVL.

1.3 Semiconductor technology

Ever since the inventions of the transistor in 1947 and the integrated circuit (IC) in the late 1950s, the development of semiconductor technology has been amazing. Not only have we continuously gotten faster and smaller personal computers, but micro- processors, computer memories and application-specific integrated circuits (ASIC) are found in most technical products today. The impact of semiconductor technol- ogy on society in general is certainly far reaching as well, although that aspect is outside the scope of this thesis. From a very basic technical point of view, the development has been straight 4 CHAPTER 1. INTRODUCTION forward. It has mainly been a question of putting more and smaller transistors on the ICs. More transistors means increased functionality, and smaller transistors means increased switching speed and less power consumption for each transistor. The whole process has basically followed the famous "Moore’s law", formulated by Gordon Moore in 1965 [2]. Moore stated that the number of components per IC would roughly double every second year. And indeed, the number of transis- tors have, e.g., gone from 2,250 transistors on an Intel 4004 processor in 1971 to 42,000,000 on an Intel Pentium 4 in 2000. The single most important driver of Moore’s law has probably been microlithog- raphy. Lithography is derived from the Greek words "lithos" meaning stone, and "graphia" meaning to write, and "stone writing" is not a bad expression for the process of defining circuits on a silicon wafer. Made out of sand, as it is, one can very well look upon the silicon wafer as an artificial stone. However, microlithography is facing major challenges in the future to allow the semiconductor industry to continue following Moore’s law. In general, many tech- nological developments are needed for each step to smaller dimensions, and in an attempt to keep the semiconductor industry along the same path, the industry collaborate on a document called the International Technology Roadmap for Semi- conductors (ITRS) [3]. This is a document that tries to predict the main trends in the semiconductor industry and the areas where specific efforts are needed, looking 15 years into the future. Next generation lithography is identified as one of the ar- eas of concern, an area to which EUVL belongs. The work described in this thesis has been aimed at making a contribution to this specific area. Two

Microlithography

2.1 Overview of microlithography

The lithographic process generates a semiconductor wafer partially covered with so that the uncovered regions are available for different kinds of pro- cessing, e.g., etching, ion implantation or metallization [4]. This is schematically illustrated in Fig. 2.1, describing the process of opening up contact holes in the in- sulating oxide covering a transistor, thereby allowing for the formation of electrical interconnects through filling of these holes with metal [5].

Figure 2.1: A lithographic process defines structures in the resist to allow for etching of the insulating layer. Electrical interconnects can now be made by filling the contacts holes with metal (from Levinson [5]).

5 6 CHAPTER 2. MICROLITHOGRAPHY

The most important steps of the lithographic process are [5]: (1) Resist coat, when the wafer is coated with a light sensitive chemical called photoresist. This is typically done through dispensing a small volume of liquid resist onto the wafer and then spinning it at high velocity to fling off excess liquid and leave behind a thin (∼1-2 µm) resist film. (2) Soft bake is then performed at an elevated temperature to drive off residual solvent in the resist and increase the density of the resist layer. (3) Exposure, when light is shone on selective parts of the resist, a step that will be covered further below. (4) Development, the step when the exposed positive resist, or non-exposed negative resist, is removed through immersion in a liquid developer. The wafer can now be processed for a specific purpose over the areas that are not covered by the remaining resist. After that, the remaining resist pattern is removed and the process repeated again for another purpose. Approximately 25 such lithographic layers are required to produce contemporary semiconductor devices [6]. The simplest form of exposure, and the technology used in the infancy of mi- crolithography, is 1× contact printing where the mask is in contact with the resist- coated wafer as illustrated in Fig. 2.2a [7]. Collimated light is shone through the

Figure 2.2: Different principles of optical microlithography: (a) contact printing, (b) proximity printing and (c) projection printing (from Sze ed. [8]).

mask to expose the resist. To reduce wear and tear of the masks, proximity printing as illustrated in Fig. 2.2b was further applied. Since the early days of contact printing, microlithography has undergone sub- stantial development in order to print continuously finer structures [9]. Today, projection lithography, as illustrated in Fig. 2.2c, is mainly used. 2.2. THE LIMITATIONS OF PRESENT MICROLITHOGRAPHY 7

2.2 The limitations of present microlithography

The resolution of an optical system, like a projection-lithography system, is tradi- tionally determined by the Rayleigh criterion,

λ resolution = 0.61 , (2.1) NA where λ is the wavelength of the light and NA1 the numerical aperture of the optical system. However, the Rayleigh criterion treats the ability to resolve two point sources and not the printability of semiconductor structures. Instead the equation λ smallestfeature = k (2.2) 1 NA is used, where k1, usually referred to as the "k-factor", is introduced [5]. k1 is not only dependant on the optical system, but also on the lithographic process in general and the resist characteristics in particular. From Eq. 2.2 it is obvious that there are three ways to decrease the obtainable minimum feature size: to increase the NA or to decrease the wavelength or k1. As is illustrated in Table 2.1, there has been a continuous increase in NA and decrease in wavelength over the years toward today’s DUV lithography using λ=193 nm ArF eximer lasers and NA=0.85 [10]. k1 was steady at 0.8 until about 1993-1994

Table 2.1: The development of stepper optics (from Levinson [5]). Wavelength NA Year of first use Light source 436 nm 0.30 1982 Hg arc lamp (g-line) 365 nm 0.45 1990 Hg arc lamp (i-line) 365 nm 0.60 1994 Hg arc lamp (i-line) 248 nm 0.50 1994 Hg arc lamp or KrF eximer laser 248 nm 0.60 1997 KrF eximer laser 248 nm 0.70 1999 KrF eximer laser 193 nm 0.60 1999 ArF eximer laser 193 nm 0.75 2001 ArF eximer laser and has since then decreased to almost 0.4 using only binary masks [9]. Several methods, partly used already today, such as off-axis illumination, optical-proximity correction (OPC) and phase-shifting masks (PSM) can be used to decrease k1 even futher [5]. However, the physical or economical limit for 193-nm lithography is approaching and alternatives are needed. These future alternatives are popularly referred to as next-generation lithography (NGL).

1 In vacuum, NA = sin θmax, where θmax is the half-angle of the maximum cone of light picked up by, e.g., a lens. 8 CHAPTER 2. MICROLITHOGRAPHY

2.3 Next-generation lithography

157 nm lithography

Optical lithography at 157 nm is possible using F2 sources [11]. One may argue if 157-nm lithography classifies as NGL, but significant developments are needed to operate at this wavelength. A major difficulty for 157-nm lithography is that fused silica, normally used for lens manufacturing in , is semi opaque at this wavelength. Instead CaF2 is likely to be used [11]. However, good-quality CaF2 is difficult to manufacture in large amounts, and it has lately been shown that CaF2 suffers from intrinsic bifringence that requires lens-design workarounds [12]. Furthermore, the optical path must be purged with, e.g., high-purity nitrogen or helium since 157 nm radiation is strongly absorbed in water. The availability of high-performance single-layer resists is also a problem [9].

Immersion lithography The idea of is to have a liquid medium of high index of refraction, n, between the objective and the wafer. Since the effective wavelength in a medium, λeff , is

λeff = λ0/n, (2.3) where λ0 is the vacuum wavelength, Eq. 2.2 for the minimum feature size can instead be written as λ /n smallestfeature = k 0 , (2.4) 1 NA leading to an n× improvement compared to dry lithography. Immersion lithography is not a new idea [13, 14], but it has gained renewed interest lately as a mean to push DUV lithography further. However, several issues remain to be solved including finding suitable immersion liquids with the right properties. Water looks as a realistic candidate at 193 nm, with n∼1.47 [15] corresponding to λeff =131 nm. Immersion lithography at 193 nm would therefore be usable for smaller features than dry 157 nm lithography. As for the possibility of 157 nm immersion lithography, finding a suitable liquid with high transparency remains a challenge [15]. In general, major issues that remain for immersion lithography include, development of wafer- stages incorporating the liquid, effects of, e.g., temperature or pressure-gradient induced variations in the liquid’s index of refraction and micro bubbles, etc.[15]

EUV lithography EUVL is a major development of optical lithography since it incorporates a radical decrease in wavelength to λ∼13.5 nm and a switch to all-reflective optics. EUVL is the main topic of this thesis and is discussed in Chapter 3. 2.3. NEXT-GENERATION LITHOGRAPHY 9

Proximity x-ray lithography Proximity x-ray lithography (PXL) [16] is basically an extension of the proximity- printing technique of Fig. 2.2b. The optimal wavelength for PXL is in the λ∼1 nm region where the balance between diffractive effects and photoelectron blur is op- timal [17, 18]. A major difficulty for PXL is the manufacturing of 1× defect-free membrane masks and the fact that they have to be operated at gaps less than 10 µm to obtain <100 nm resolution [5]. PXL has traditionally operated with synchrotron radiation [19], but point sources, especially laser-plasma sources, are also applicable [20–22].

Electron-projection lithography A non-photon lithography alternative is electron-projection lithography (EPL) [5]. This is a mask-based lithography using electrons instead of and electron optics instead of lenses or mirrors. The principle of EPL is illustrated in Fig. 2.3. A key feature is the concept that the mask does not absorb electrons in the opaque

Figure 2.3: The principle of electron-projection lithography with a scattering mask (from Levinson [5]). regions, but rather scatter them so that they are absorbed in an aperture in a focal plane instead [23]. This concept was given the acronym SCALPEL for SCattering with Angular Limitation in Projection Electron Lithography. SCALPEL limits the heating and subsequent distortion of the mask that would result from absorption of a high election current [23]. A key advantage with EPL is the short wavelength of the electrons that limit diffraction effects. However, a major problem is the Coulomb interaction between the electrons that blur the images as the beam current 10 CHAPTER 2. MICROLITHOGRAPHY is increased in order to reach high throughput [5]. An EPL stepper is only expected to print 30–40 wafers per hour [24]. Today, mainly the Japanese company is developing an EPL stepper [25].

Other lithography techniques Other lithographic technologies that will not be mentioned in more detail include different maskless technologies [26], ion-projection lithography [27] and imprint lithography [28, 29].

Next-generation-lithography workshops When discussing future lithography it is hard not to mention a series of 5 workshops that were organized by International Sematech to evaluate the different future op- tions [30]. At each conference, the participating companies were asked, e.g., what lithography solution they would chose for a certain technology node if they had to chose at that moment. It is especially interesting to see the historical development of the surveys where the general trend is that the existing technologies are extended longer than first expected. The last workshop in 2001 [31] downselected the NGL alternatives to EUVL and EPL, abandoning ion-projection lithography and PXL. EUVL was the technology receiving by far most votes for the 45 nm node, however, today EUVL rather seems targeted towards the 32 nm node as, e.g., presented by Harned [32]. Three

EUV lithography

3.1 Introduction

Conventional optical lithography have continuously improved the resolution by stretching all the factors of the equation determining the smallest printable fea- ture size, introduced in Chapter 2, λ smallestfeature = k . (2.2) 1 NA

The numerical aperture has been increased, the k1-factor and the wavelength have been decreased. However, there will eventually be a limit to how far these factors can be stretched. The wavelength reaches its lower limit when all materials become highly absorbing and opaque, making manufacturing of refractive lenses impossible. A natural step is then to switch to reflective optics, but the problem is, as will be discussed below, that a reduced freedom of optical design will limit the achievable NA. However if the reduction in wavelength is greater than the loss in NA, there is still a gain in resolution according to Eq. 2.2. Thanks to the development of multilayer mirrors, sufficient reflectivities can be obtained at an order of magnitude shorter wavelength than DUV wavelengths, i.e., EUV wavelengths, making EUVL possible. Before discussing EUVL in more detail, a brief introduction of these mirrors is therefore appropriate.

3.2 Multilayer optics

Unfortunately no surface in itself show any substantial reflectivity of EUV radiation at near-normal incidence. The reason for this is that the complex index of refraction, n, is very close to unity for all matter at EUV wavelengths, and the normal incidence reflectivity, R, in vacuum is given by [33] |1 − n|2 R = . (3.1) |1 + n|2

11 12 CHAPTER 3. EUV LITHOGRAPHY

Improved reflectivity in the EUV wavelength range can, however, be obtained by coating the mirrors with a large number of alternating layers of materials with dif- ferent indexes of refraction. If the periodicity of the double layers is approximately λ = 1/2, constructive interference between small reflections in individual interfaces can increase the total reflectivity to substantial levels [34]. The highest peak reflectivities have been obtained in the rather narrow wave- length band 11.4–14 nm with Mo/Be or Mo/Si [35] multilayers [36]. The availability of these mirrors defines the possible operating wavelength band for EUVL. Today, it is anticipated that EUVL steppers will have Mo/Si optics, and the desired wave- length is λ = 13.5 nm [37]. Lately, it has been shown that interface-engineered multilayers with thin buffer layers of boron carbide between the Mo and Si can achieve increased reflectivity by limiting the intermixing of Mo and Si in the interface [38]. 70.0% peak reflectivity at λ = 13.5 nm and 71.0% at λ = 12.7 nm has been achieved as shown in Fig. 3.1.

Figure 3.1: Example of near-normal-incidence-reflectivity curves from interface- engineered Mo/Si multilayers with boron carbide buffer layers (from Bajt et al. [38]).

High peak reflectivity is important since the optical system of a stepper must have as high total transmission as possible. However, peak reflectivity is not the only important factor. The power delivered to the wafer, Pw, is given by the integral of the spectral power of the source (into the collectable angle), Ps(λ), and the multiplied reflectivities, R(λ), of the n mirrors, Z Yn Pw = Ps(λ) Rk(λ)dλ. (3.2) k=1 3.3. A BRIEF HISTORY OF EUV LITHOGRAPHY 13

Therefore, the bandwidth of each mirror, the center-wavelength match of the differ- ent mirrors and the match of the source’s spectra are equally important to achieve high flux on the wafer and in that way high wafer throughput.

3.3 A brief history of EUV lithography

After early multilayer-based optical system designs and experiments mainly for as- tronomy and microscopy [39, 40], the idea of EUVL was presented, starting in 1985, in several publications [41–44]. The first experimental results, achieving 0.5 µm res- olution, were then shown in 1989 by Kinoshita et al. using a Schwarzschild demagni- fying optics and synchrotron radiation as the source [45]. The optical arrangement and the result from that article is shown in Fig. 3.2. Basically diffraction-limited

(a) (b)

Figure 3.2: (a) The experimental arrangement for the first demonstration of EUVL and (b) the result obtained, demonstrating 0.5 µm resolution (from Kinoshita et al. [45])

performance was shown in 1990 by Bjorkholm et al. resolving sub 0.1 µm structures also using a Schwarzschild objective and synchrotron radiation [46]. Later those results were repeated with a laser-plasma source by Tichenor et al. [47]. Since then, a large number of EUVL tools have been developed world wide. Today, the first full-field scanned EUV stepper has been constructed and operated. The engineering test stand (ETS), shown in Fig. 3.3a, is the main physical result of a large US EUVL effort (VNL / EUV LLC) [48]. The ETS has lately managed to successfully print 70 nm features over a large 24×32.6 mm2 field through scanning operation as illustrated in Fig. 3.3b [49]. Currently, a small-field, high-NA micro stepper, NA=0.3, the Micro Exposure Tool (MET), is being developed, and it is the first EUVL tool to be "commercially available" [50]. Its application will mainly be in resist development apart from general learning on EUVL. A similar tool, the HiNA, is developed in Japan [51]. 14 CHAPTER 3. EUV LITHOGRAPHY

Drive las er beam Reticle s tage

C4 element C1 collector Projection optics Xenon s pray jet as s e mbly Las er- produced plas ma Wafer s tage

C2, C3 pupil optics

Spectral purity filter (a) (b)

Figure 3.3: The Engineering Test Stand (ETS) (a) is a full-field scanning EUVL stepper. It has successfully printed 70 nn features (b). (Courtesy of Sandia National Laboratories)

ASML, a Dutch stepper manufacturer, is currently developing what they call an α-tool, i.e., a demonstration stepper [52, 53]. This is a full-field, NA=0.25 tool that should achieve 50 nm resolution but only print ∼1 wafer/h. The α-tool was originally scheduled for year 2003 [53] but appears to be delayed. Canon and Nikon of Japan jointly develop what they call a β-tool [54]. This is a full-field, NA=0.25 tool that should print ∼7 wafer/h and it is scheduled for year 2005. Although the specifications and timings of these future tools tend to change over time, with the world economy etc., at least the fact that all three major stepper manufacturers are involved in the development of EUVL indicate strong industry interest.

3.4 Overview of an EUV-lithograpy stepper

A very brief overview of the function of an EUVL stepper, as shown schematically in Fig. 3.4, is as follows: (1) the EUV radiation is generated by an EUV source and is collected by a collector mirror. (2) Illumination optics shape and transfer the EUV beam to achieve the desired illumination properties at the reticle (mask). (3) The reticle stage scans synchronously with the wafer during exposure. (4) The projection optics transfers a demagnified image of the reticle to the resist- coated wafer (5). The wafer stage performs a step motion after each scan. Since EUV radiation is absorbed by air or any other gas, the EUV stepper must be in vacuum. The different components of an EUVL stepper will be covered one by one below, starting at the wafer and moving backward through the system towards the source, although the source will be covered in next chapter. However, first a brief 3.5. MAIN CHALLENGES FOR EUV LITHOGRAPHY 15

reticle stage 3 4 2 EUV source system 1 Vacuum illumination optics pump lens

5 wafer s tage uum Vac pump

Figure 3.4: Overview of an EUVL stepper (adopted from Mailing et al. [52]).

introduction to the challenges of EUVL.

3.5 Main challenges for EUV lithography

An EUVL stepper is a very complicated system and several technologies have to be advanced significantly in order for the technology to become an industrial reality. The following is a list of critical issues that were identified and prioritized by the steering committee of the 1st international EUV symposium in Dallas, October 2002 [55]. Most of the issues in the list will be covered in the following review of the components of an EUVL stepper. 1. Source output 2. Defect-free multilayer coated mask blank manufacturing, including inspection 3. Source & condenser optics reliability 4. Cost of ownership of EUV lithography 5. Defect-free patterned mask manufacturing/commercial availability 6. Reticle defect protection (from inspection through exposure) 7. Effective contamination control of optical path (lifetime) 8. High NA optics manufacturing 9. Thermal management of reticle & projection optics at high throughput 10. Resist - high sensitivity at low power with low line-edge roughness

3.6 The wafer level - the resist

The wafer level include the scanning stage, the wafer and the resist. As for the scanning stage the main difference from conventional lithography is that the stage have to operate in vacuum. This is not anticipated to be a problem. As for the resist, on the other hand, several issues have to be solved. However, not all issues are related to EUVL specifically, but rather to the fact that EUVL might not be 16 CHAPTER 3. EUV LITHOGRAPHY inserted until the 32 nm node, posing tough requirements on resolution and line width roughness (LWR) etc. [56]. Specific problems for EUV resists are that they must have very low outgassing, also when illuminated by EUV and out-of-band radiation, not to contaminate the optics. Furthermore, reasonable transmittance of EUV radiation is required to obtain good resist side-wall profiles [56]. Two issues of the resists are related strongly to the source. First, the power requirement for the source is inversely proportional to the resist sensitivity. The current goal for the resist sensitivity is 2-5 mJ/cm2, where certainly the lower number is preferable from the source point of view. Unfortunately studies indicate that the LWR values increase for resists with higher sensitivities [57]. Second, the response of the resist for non-EUV radiation will influence the out-of band requirements of the source (cf. Paper 7). In particular, DUV radiation may expose the resist [58]. Actually, many EUV resists are based on resists for 248 nm lithography, and are therefore especially sensitive at that wavelength [59].

3.7 The projection optics

The role of the projection optics is to demagnify and transfer the image of the mask to the resist-coated wafer. It has to resolve the smallest features of the chip design with high contrast over a large field. In conventional DUV objectives, a great number of lenses can be used to obtain the desired performance as illustrated in Fig. 3.5a. However, in an all-reflective design only few mirrors can be used due to two main reasons. First, the effect of obscuration, i.e., one mirror cannot obscure the beam-path between other mirrors, making the design with a great number of mirrors very difficult. Second, the reflectivity of each mirror is limited to ∼70%, meaning that the use of many mirrors will drastically lower the total transmission of the optical system, requiring more power from the source. Since only few mirrors can be used, they instead require aspheric surfaces to achieve the imaging requirements [62]. Figure 3.5b illustrates one suggested optical design for EUVL projection optics. Six mirror systems will be needed in production- scale steppers [63]. Common for different designs of EUV projection optics is that aberrations are well corrected over a ring field centered on the optical axis [61, 64] as illustrated in Fig. 3.6a. This ring-field therefore have to be scanned over the mask pattern as illustrated in Fig. 3.6b.

3.8 The mask

As can be seen in the list of main challenges for EUVL in Section 3.5, many of the items of concern are related to the mask. However, the mask will only be discussed briefly here since its performance is little related to the source. A review of requirements and potential solutions regarding EUVL masks is given in a paper by Hector [65]. 3.9. THE COLLECTOR/ILLUMINATOR 17

(a)

(b)

Figure 3.5: (a) Example of a projection optics system for a current DUV stepper (from Schuster et al. [60]) and (b) one suggested optical design for the projection optics of an EUVL stepper (from Hudyma [61]).

The EUVL mask is a multilayer substrate coated with a patterned absorbing top layer. The general mask problem is that it must be manufactured and kept free from printable defects over its full area of ∼106×132 mm2. A difficult issue is the manufacturing of a defect-free multilayer substrate. Substrates with 0.05 added defects/cm2 can be achieved, but another factor of 10 improvement is needed [66]. A further problem, as discussed by Meiling et al. [53] is to keep the mask defect free during operation and handling, especially since no pellicle1 can be used due to that no material sufficiently transparent to EUV is available.

3.9 The collector/illuminator

The role of the collector/illuminator optics is to capture as much light as possible of the radiation emitted by the source and to condition it to illuminate the ring- field on the mask correctly. As illustrated in Fig. 3.7, each point on the ring field should be illuminated with an identical cone of light from the illuminator

1A pellicle is a thin transparent film that protects the surface of a from particles. The particles instead attach to the pellicle surface that is not in the image plane. 18 CHAPTER 3. EUV LITHOGRAPHY

(a) (b)

Figure 3.6: Although only for a 1× projection system, the concept of a well corrected ring field is illustrated in (a). The scanning principle is further illustrated in (b) (from Hoh et al. [42]).

[67]. Typically, the setup is that schematically illustrated in Fig. 3.4 where a

Figure 3.7: Illustration of how each point on the ring field should be illuminated with an identical cone of light from the illuminator (from Murphy et al. [67]). collector mirror collects as much radiation as possible from the plasma, transferring it further to the illumination optics that transforms the radiation to achieve the desired illumination characteristics. Starting with the collector, it should collect the largest possible solid angle to minimize the photon losses from the source. However, the physical attributes of the source will influence the design possibilities available for the collector. For laser- plasmas with solid bulk targets or discharge sources, only the forward direction is available, limiting the collector design to, e.g., grazing-incidence collectors or off-axis mirrors (cf. Fig. 3.8). Laser plasmas based on free-standing targets, 3.9. THE COLLECTOR/ILLUMINATOR 19

Figure 3.8: Discharge sources are limited to collect radiation in the forward direction with, e.g., grazing incidence collectors (from Fomenkov et al. [68]).

e.g., gas, spray, droplets or jets, have a larger degree of freedom and can collect in the backward direction, as the ETS collector illustrated in Fig. 3.3a, or even, theoretically, in both directions as illustrated in Fig. 3.9

Nozzle

Illumination Plasma optics

Condenser mirror

Xe jet

Figure 3.9: Illustration of how the liquid-jet-target concept in principle could allow for collection in both the forward and the backward direction (from Paper 3).

However, not only the geometrical access to the source limits the available col- lection angle. There is a more fundamental limit to how much radiation can be collected. In a classical optical system, the product of field, A, and NA2 is in- variant [69]. For unobscured and circular pupils this invariant, the étendue or Helmholtz-Lagrange-Invariant, can be written as

etendue = A π NA2. (3.3)

A and NA can represent the field size and the NA of the objective but also the projected source area and the NA of the collector. If this source/collector étendue 20 CHAPTER 3. EUV LITHOGRAPHY is larger than the étendue of the objective, only a fraction of the power emitted from the source is usable. Either the collection angle has to be limited or only a smaller effective area of the source can be collected. However, since a plasma source is three-dimensional in its nature, a more detailed analysis is required compared to Eq. 3.3. Derra et al. [70] perform such an analysis when investigating how much useful power can be collected from a gas discharge plasma. In general, two main methods of illumination are possible, as discussed by, e.g., Chapman et al. [71], critical illumination where the source is directly imaged onto the object, or Köhler illumination where the source is imaged in the back focal plane of the objective and instead the collector pupil is imaged onto the object. However, a problem discussed by Antoni et al. [69] is that the shape of most sources is basically symmetric, but the arc field has a very high aspect ratio. The illumination system therefore has to transform the étendue in the space domain without altering the angular domain. One way to achieve the transformation of étendue is through the fly’s eye concept with segmented optics [69, 72] as illustrated in Fig. 3.10a with a refractive setup. This is basically Köhler illumination, however, instead of imaging the collector pupil onto the mask, several pupil facets image their corresponding field facets onto the mask. If the field facets are arc shaped, as illustrated in Fig. 3.10b, the arc-shaped field will be obtained. However, rectangular field facets can be transformed by, e.g., a toroidal field lens [69]. Another method to transform the étendue is the ripple-plate concept described by Chapman et al. [71]. Alternatively, if the source had the same aspect ratio as the field, critical illumi- nation could in principle be applied. One possible solution is to scan the position of a circular source and in that way obtain a time-integrated source of high aspect ratio [73]. However, since a production-scale stepper will require exposure of the full arc-shaped field with a repetition-rate exceeding 7 kHz [37], a scanning concept may require too high total repetition rate to be feasible. For development tools without such high repetition-rate demands, the method might, on the other hand, be applicable as suggested in Paper 5. Instead of scanning, one could imagine a shaped source through, e.g., a capillary discharge source with a shaped capillary [74] or through driving a liquid-jet laser plasma with a line focus [75]. Finally, a hybrid collector/illuminator design is used in the ETS that is critical in the scanning direction and Köhler-type perpendicular to the scanning direction [76]. It creates the ring-field by a primary aspheric collector. A further component might have to be included with the collection/illumination optics, and that is a spectral purity filter. Only a certain amount of non-EUV radiation is acceptable [58] after the collector. As discussed in section 3.6 above, the DUV radiation may expose the resist, but also other wavelengths may lead to especially thermal problems. Primarily, this is a source problem, and the out-of- band emission from the source should be minimized. However, if the ratio out- of-band/in-band radiation is too large, an additional component have to be added that filters out the non-EUV radiation. This may be a grating as described by Naulleau et al. [77] or a thin membrane as in the ETS [78] (cf. Fig. 3.3a). Although 3.10. CONTAMINATION ISSUES 21

(a)

Field Facets Pupil Facets (b)

Figure 3.10: (a) Illustration of the fly’s eye concept (from Antoni et al. [69]). (b) Arc-shaped field facets will generate an arc-shaped field since the field facets are imaged to the object field by the pupil facets (from Komatsuda et al. [72]).

a grating-based spectral purity filter might reach as high as 70% transmission [77], it is still preferable if this extra component can be avoided since it directly raises the required source power.

3.10 Contamination issues

Contamination of the optical path is one of the obstacles for EUVL to overcome since it will limit the lifetime of the optical system. Meiling et al. [53] talk about a lifetime target of 30,000 hours of exposures where the lifetime is defined as when an irreversible transmission loss of ∼10% is reached. From the source point of view, the problem is mainly connected to debris and ions destroying the first collector mirror. That will, however, be discussed in the next chapter about EUV sources. Here the other sources of contamination will briefly be mentioned. Two major issues of contamination exist in the optical path: oxidation and 22 CHAPTER 3. EUV LITHOGRAPHY carbon growth on the mirror surfaces during EUV exposures [79]. The mirror surfaces emit secondary electrons under EUV irradiation, and these electrons are responsible for dissociation of hydrocarbons adsorbed to the mirror surface [80] and similar for adsorbed water [79]. Several experiments have been performed, both with EUV illumination and by simulating EUV exposure with an e-beam, all indicating unacceptable contamination rates [79, 81, 82]. Carbon contaminations seems, however, possible to remove through in-situ cleaning [83, 84] but oxidation appears to be an irreversible process. Several methods are proposed to limit contaminations. The obvious is certainly to improve the vacuum conditions, but this may complicate the mechanical design to an unacceptable level [53]. Instead, other methods have to be used. Klebanoff [83] have, e.g., shown how an ethanol background can stop oxidation. A promising approach is to introduce oxidation resistant capping layers to the multilayer mirrors. Both ruthenium [38] and carbon [85] capping layers have proven effective to limit the oxidation. Four

EUV sources

4.1 Introduction

An EUV source has to meet very demanding requirements to be suitable for oper- ation in production-scale EUVL steppers. An estimation of the final requirements on an EUVL-stepper source is given in a list of requirements jointly published by the three major stepper manufacturers: ASML, Canon and Nikon (cf. Table 4.1) [37].

Table 4.1: The Sep. 2003 EUVL source requirements as jointly agreed by ASML, Canon and Nikon (from Franken et al. [37]). Source characteristic Requirement Wavelength 13.5 nm EUV Power (in-band) 115 W ∗ Repetition >7–10 kHz ∗∗∗ Integrated Energy Stability ±0.3%, 3σ over 50 pulses ∗∗ Source Cleanliness ≥30,000 hours ∗∗ Etendue of Source Output max 1–3.3 mm2sr ∗∗∗ Max. solid angle input to illuminator 0.03–0.2 sr ∗∗∗ Spectral Purity: 130-400 nm (DUV/UV) ≤7% ∗∗∗ ≥400 nm (IRVis) at Wafer TBD ∗∗∗ ∗ At intermediate focus (IF) ∗∗ After IF ∗∗∗ Design dependant

The desired wavelength is currently 13.5 nm. Banine et al. [86] discuss the wavelength and conclude that 13.5 nm is desirable since another wavelength would rule out the possible use of a lithium dense-plasma-focus source. However, today

23 24 CHAPTER 4. EUV SOURCES the use of lithium is little considered which may allow for a change in wavelength. On the other hand spectra from xenon discharge sources [87–89] have a spectral peak at ∼13.5 nm that would still motivate this wavelength. The required in-band (2%BW around 13.5 nm) power is 115 W into the inter- mediate focus (IF). The IF is defined as an imaginary focus after the first collector mirror and a possible spectral-purity filter as illustrated in Fig. 4.1. With this

Figure 4.1: The definition of the intermediate focus (IF) (from Franken et al. [37]). power definition it is possible to compare different sources even if they have dif- ferent étendue and different geometrical collection ability etc. (cf. Section 3.9). A background to the power demand can be found in the typical throughput model also jointly published by ASML, Canon and Nikon [90]. This shows how the power demand is calculated from several assumptions including resist sensitivity of 5 mJ/cm2 (cf. Section 3.6), throughput of 100 wafers/hour, peak mirror reflectivity of 67.5% (cf. Section 3.2), illuminator transmission of 8.1% and (stage overhead time)/(exposure time)=3. The source power requirement will change with these assumptions accordingly. The repetition frequency and the integrated source stability influence the dose repeatability and, thus, the critical dimension (CD) control, as discussed by Ban- ine et al. [86]. The integrated dose is the important factor, and the highest possible repetition rate is therefore obviously desirable. The source cleanliness is discussed further in Section 4.6 and Paper 7. The étendue output of the source limits the collectable power as discussed further in Section 3.9 and Paper 5, and the maximum solid-angle input to the illuminator will be determined by the illuminator design. Finally, the out-of-band emission will determine if a spectral purity filter is needed or not (cf. Sections 3.6 & 3.9 and Paper 7).

4.2 Gas-discharge plasma

Gas-discharge-plasma sources constitute a straightforward way of generating a plasma. Although, there are several different types of gas-discharge plasmas, the 4.3. LASER PLASMA 25 general operating principle is common for all. By running a current between an anode and a cathode, the current will induce a magnetic field that compresses the ionized gas, forming a plasma hot enough to emit EUV radiation as discussed in Chapter 5 [91]. Figure 4.2 is an overview of the main gas-discharge types used for EUV generation. Gas-discharge sources have a clear advantage in their direct electrical to EUV conversion. Furthermore their complexity is lower compared to the electron-storage rings for synchrotron radiation or laser plasmas that require high-average-power short-pulse lasers. During the last couple of years, the EUV-in-band power available from gas-discharge sources have increased quickly and today, e.g., ∼7 W/2%BW is available during continuous operation into a calculated IF from a xenon z-pinch gas-discharge source [87]. A disadvantage for gas-discharge-plasma sources is that the plasma typically is operated in the close vicinity of the electrodes. Although increased minimum- operating distances to ∼15 mm has been reported for a xenon hollow-cathode trig- gered (HCT) source by Pankert et al. [88] and ∼10 mm by McGeoch et al. [92] for a xenon star pinch, Pankert et al. [94] still see about 30 kW input power as the thermal limit for a xenon HCT source, resulting in an EUV performance of ∼30 W/2%BW into the IF. Furthermore, the operation of the plasma in close vicinity of the electrodes will lead to erosion of the electrodes, resulting in debris that has to be mitigated [89, 95]. Lately, the use of tin vapor instead of xenon gas has been proposed and evaluated [87, 94], demonstrating ∼ 2.4× better conversion efficiency (CE) compared to xenon [87], which is not surprising in accordance to the discussion in Section 5.2. However, the use of tin will require sophisticated mitigation techniques since tin, unlike xenon, will deposit on surfaces including the collector [88]. Gas-discharge sources are further characterized by rather large and elongated plasma shapes, typically several 100 µm in diameter and several millimeters in length [95]. This leads to difficulties in achieving large collection angles according to the étendue discussion in Section 3.9, as presented in detail by Derra et al. [70].

4.3 Laser plasma

The laser plasma is covered in Chapters 5 and 6.

4.4 Synchrotron radiation

The traditional high-power source for EUV radiation is synchrotron radiation from electron storage rings. A detailed description of this radiation source can, e.g., be found in Attwood [96]. Synchrotron radiation is generally obtained from electrons circulating at relativistic speeds in a storage ring. The radiation is emitted when the electrons undergo a change of direction, i.e., they are accelerated, which naturally 26 CHAPTER 4. EUV SOURCES

(a) (b)

Step 1 Step 2

(c)

(d) (e)

Figure 4.2: Different gas-discharge geometries and operating principles: (a) hollow- cathode triggered gas discharge (HCT) (from Pankert et al. [88]), (b) dense plasma focus (from Fomenkov et al. [68]), (c) star pinch also describing it’s two steps of operation (from McGeoch et al. [92]), (d) z-pinch (from Stamm et al. [87]) and (e) capillary discharge (from Fornaciari et al. [93]). 4.4. SYNCHROTRON RADIATION 27 occurs in the bending magnets keeping the electrons in the ring. Actually, syn- chrotron radiation was first observed as a parasitic energy loss in electron storage rings. Furthermore, periodic magnetic structures (undulators and wigglers) may be introduced in the straight sections between the bending magnets. Undulators have rather weak magnetic fields and generate radiation with narrow frequency spread that can be partially coherent. Wigglers have stronger magnetic fields and gen- erate higher total photon flux at higher photon compared to undulators. However, the brightness is higher from undulators due to the narrower frequency spread and the narrower radiation cone. Figure 4.3 illustrates the tree methods to generate synchrotron radiation and their characteristic photon output.

Bending magnet Undulator Wiggler radiation radiation radiation

Photons Photons Photons

hω hω hω

Figure 4.3: Generation of synchrotron radiation through bending magnets, undu- lators and wigglers (Courtesy of G. Johansson, KTH [97]).

The main advantages of synchrotron radiation as an EUVL source is that it is inherently clean and effectively continuous wave (CW). However, one synchrotron- source study, performed by Oxford Instruments, concluded that the most optimistic EUV-in-band output power from a synchrotron would be ∼30 W/2%BW [98]. Fur- thermore, the study points out the problem of installing a synchrotron into an existing fab, especially given the required radiation shielding weighing at least 100- 200 tons. Figure 4.4 illustrates a possible arrangement of the storage rings, steppers and shielding in a fab. A further study, published by Dattoli et al. [100], con- firms that a traditional synchrotron source cannot meet the power requirement for EUV lithography, but that a free electron laser (FEL) would produce enough power. However, an EUV FEL as, e.g., under construction at the TESLA Test Fa- cility at DESY, Germany, is a very large facility hardly suitable for semiconductor manufacturing. Although, the use of storage rings as sources for production scale EUVL steppers is not considered today, much development tools for EUVL depend and benefit from synchrotron radiation. Tools and applications at synchrotron facilities include the HiNA exposure tool discussed in Section 3.3 [51], at-wavelength EUV interferometry [101] and lithographic characterization of EUVL-stepper optics [102]. 28 CHAPTER 4. EUV SOURCES

Figure 4.4: Illustration of a possible arrangement of storage rings, steppers and shielding (from Ockwell et al. [99]).

4.5 Other sources

A conventional electron impact source, normally used to obtain hard x-rays, can also generate EUV. However, the integrated CE, η, from electrons to continuum x-ray energy is given by [103],

η = 1.1 · 10−9 ZV, (4.1) where Z is the atomic number of the target material and V is the accelerating voltage. With a voltage, V ∼ 100 V, suitable for EUV generation, it is clear that the CE is very low. Other means to generate EUV radiation, that will not be discussed further here, include high [104, 105] and table-top soft x-ray lasers [106].

4.6 Source-generated contamination

As discussed by Banine et al., [107] a major factor which determines whether a source is suitable for an exposure tool is its cleanliness. Especially if the collector has to be replaced frequently due to contamination from the source, this will increase the cost of ownership (CoO) of the source significantly [86]. The source-generated contaminants (considering plasma sources) can roughly be divided in two groups: (I) atoms, ions or particles from the source that are harmful only when energetic or highly charged, and (II) atoms, ions or particles that are harmful also when thermal and neutral. The second group can, furthermore, be divided in two subgroups, (IIa) material from the plasma itself, and (IIb) material 4.7. DEBRIS MITIGATION 29 that is emitted from the source hardware due to some ablation process. As an example, the sources mainly under consideration for EUVL will emit contaminants belonging to the different groups as:

• A tin gas-discharge plasma emits type I, IIa and IIb contaminants.

• A xenon gas-discharge plasma emits type I and IIb contaminants.

• A tin liquid-jet/liquid-droplet laser plasma emits type I and IIa contaminants given that the plasma can be operated far enough from the source hardware to eliminate source-hardware sputtering that would result in type IIb con- taminants.

• A xenon gas/cluster/spray laser plasma emits type I and IIb contaminants since the plasma has to be operated close to the nozzle, leading to nozzle erosion [108].

• A xenon liquid-jet laser plasma ideally only emits type I contaminants given that the plasma can be operated far enough from the source hardware to eliminate sputtering.

4.7 Debris mitigation

Depending on if the contaminants belong to group I or II, different approaches to the contamination reduction can be applied. A background buffer gas may be used as a mean for debris mitigation. In Paper 7 it is shown how a xenon-background pressure of >1 mbar will eliminate sputtering of silicon substrates facing a liquid-xenon-jet laser plasma. However, this xenon pressure effectively absorbs almost 100% of the in-band EUV, so in- termediate pressures and other background gases should be investigated. Other experimental results have been shown for, e.g., helium backgrounds [109–111] and backgrounds [112, 113] although the use of krypton as background would require the operating wavelength to be λ>14.2 nm in order to obtain high EUV transmission through the krypton [113]. Furthermore, Ginter et al. [109] note that effective mitigating effect of a <100 mTorr helium background may be due to cou- pling of the expanding debris with a stationary plasma of helium ions photoionized by the radiation from the plasma. A gas background should mainly be effective against type I contaminants since repeated collisions between the contaminant and the background gas mainly will thermalize the contaminant. The contaminant may still reach the collector mirror making the static gas background less suitable for class II contaminants. An exception is if a localized gas flow is applied since it can transport the contaminants away from the collector optics [114, 115]. Another efficient addition to the background buffer gas is the foil trap technique introduced by Shmaenok et al. [116]. It is based on an optically transparent assembly of foils in the buffer gas as illustrated in Fig. 4.5. Particles that collide 30 CHAPTER 4. EUV SOURCES and scatter with the buffer gas have a large probability of depositing on the foils rather than being transmitted through the arrangement.

Figure 4.5: The foil-trap debris mitigation technique (from Shmaenok et al. [116]).

Further debris-mitigation methods proposed include fast shutters [117], secondary- plasma-based mitigation [118], electrostatic repeller field [119] and magnetic-field shield [120]. Five

Laser plasma

5.1 Plasma physics

All matter at a temperature above absolute zero will spontaneously emit electro- magnetic radiation. Although the specific spectral features will differ from sub- stance to substance, the overall wavelength distribution will be given by its tem- perature through the theory of blackbody radiation. The relation between peak- emission wavelength, λmax, and temperature, T , for a blackbody is given by Wien’s displacement law, 2.898 · 10−3m · K λ = . (5.1) max T By inserting the wavelength of interest for EUVL, λ ∼ 13.5 nm, one finds that the temperature of the matter should be ∼200,000 K. At these temperatures, matter is in the plasma state, sometimes considered the fourth state of matter. In a plasma, atoms are free as in a gas and partly or completely ionized. The plasma definition cover states ranging from the dilute plasmas of, e.g., earth’s , to hot dense plasmas found, e.g., in the stars. A laser plasma is a hot dense plasma although at a small scale. This section gives a very brief introduction to plasma physics. More detailed descriptions of especially the hot dense laser plasmas are given by Turco et al. [121] and Attwood [1]. On the particle level, several processes take place, transferring energy within the plasma, and generating radiation eventually escaping the plasma. When a free electron collides with an atom/ion, it can transfer a part of its kinetic energy to a bound electron in the atom/ion. This will lead either to collisional excitation where the bound electron is excited to a higher state or, if the energy of the free electron is higher than the binding energy of the bound electron, to collisional . The reverse processes can also take place. In collisional de-excitation, the colliding electron will gain energy from the de-exitation of a bound electron or collisional recombination (also called three-body recombination) where one electron recombines while another collides and gain energy from the recombining electron.

31 32 CHAPTER 5. LASER PLASMA

In analogy with the electron case, an incoming photon can be absorbed by the atom/ion leading to photo-excitation or photo-ionization. The reverse processes are photo-de-excitation and photo-recombination where a photon is emitted. A free electron can also be de-accelerated through the interaction with an ion, emitting a photon with an energy corresponding to the lost kinetic energy. This is called bremsstrahlung. In the reverse process, inverse bremsstrahlung, an electron in the vicinity of an ion gain energy from an incoming photon absorbed by the ion. This inverse bremsstrahlung is the main process involved in heating the plasma in laser- plasma generation as discussed below. The characteristics of the radiation emitted from the plasma depends on the atomic processes involved. Photo-recombination is bound-bound transitions be- tween discrete energy levels and thus yield discrete spectral lines. Photo recombi- nation is free-bound transitions and bremsstrahlung is free-free transitions. Both will therefore generate spectrally continuous emission. Apart from the individual atomic events described above, collective processes are very important in a plasma. Especially the highly mobile, low-mass electrons will behave in a collective fashion against the background of less mobile heavy ions. The plasma will have an electron density, ne, of free electrons given by the general density of the plasma and the average state of ionization. This electron cloud can oscillate collectively and has a resonant frequency, the electron plasma frequency,

2 e ne ωp = ( ), (5.2) ²0m where e is the electron charge, m is the electron mass and ²0 is the permittivity in vacuum. An important fact in laser-plasma operation is that an electro-magnetic wave can only propagate in the plasma if its frequency is higher than the electron plasma frequency, otherwise it is reflected. The electro-magnetic wave that can propagate in the plasma will in its turn be attenuated as it penetrates the plasma. It will cause the free electrons to oscillate, and some of them will loose energy through electron-ion collisions transferring their oscillation energy to random energy, heat. This process is the inverse bremsstrahlung discussed above and is the main heating process in laser-produced plasmas.

5.2 Choice of target material

The choice of proper target material for laser-plasma EUV generation is based on many different factors. The most obvious is the spectral output of the material, but of equal importance is the contamination characteristics of the substance. Figure 5.1 illustrates EUV spectra from three materials basically neighbors in the periodic system, 50Sn from a liquid-tin-jet target (cf. Paper 6), 53I from a liquid-jet target of sodium iodine solved in water (cf. Paper 6) and 54Xe from a liquid-xenon-jet target (cf. Paper 3). The spectra show similar narrow emission peaks for all materials although the peaks are at shorter wavelengths for the heavier 5.3. TARGET GEOMETRY 33

1 Xe

0.5

0 NaI+H O 1 2

0.5 Photon flux [a.u.] 0 1 Sn

0.5

0 10 11 12 13 14 15 16 17 18 λ [nm]

Figure 5.1: EUV emission spectra from xenon, iodine and tin. Shaded area depicts the 2% bandwidth region around λ=13.5 nm with high reflectivity of a Mo/Si multilayer system (data from Papers 3 & 6)

substances. Such peaks have been observed and explained in laser-plasmas from elements ranging from 50Sn to 82Pb [122–125]. The figure also illustrates the 2% bandwidth region around λ=13.5 nm interesting for EUV lithography. From only the spectral-emission point, tin is the optimal substance. And indeed, a CE of 2.5 %/(2%BW 2πsr) at λ=13.45 nm has been obtained for a liquid-tin-jet laser plasma (Paper 6), compared to 0.95 % for a liquid-xenon-jet laser plasma (Paper 7). However, as was discussed in Section 4.6, the demands on cleanliness on a source for EUVL makes the operation of any target that might condense on or react with especially the collector mirror difficult. Therefore, it is preferable to use a noble gas as target material [126]. So, although xenon does not have optimal spectral emission, it may still be the best target from a total system’s perspective.

5.3 Target geometry

For each new laser shot, fresh target material has to be available at the laser- focus position. Since a source for an EUVL stepper is anticipated to operate at a repetition rate >7 kHz with 25% duty cycle basically 24 hours a day, this puts high 34 CHAPTER 5. LASER PLASMA demands on the target delivery system. Traditionally solid bulk targets have been employed for laser plasma generation. They are normally translated between each shot to provide a fresh target surface and can therefore only operate for a very limited time until the whole surface is filled with craters. In addition, large fractions of molten material will be ejected due to the shock wave reflected in the bulk material [121]. An alternative is to use a thin tape as a target as illustrated in Fig. 5.2a [127]. The tape target limits

Figure 5.2: Different target geometries for laser-plasma generation: (a) tape target, (b) gas/cluster target, (c) liquid-spray target, (d) liquid-jet target and (e) liquid- droplet target. the debris by allowing the shock wave to escape through the tape rather than be reflected back to the surface [121]. However, the debris emission is still substantial from a tape target [128]. In addition, tape targets are limited to materials that can be processed into a tape, and the tape will eventually run out during prolonged high-repetition rate operation. For gaseous target materials, the gas-puff target is an alternative as illustrated in Fig. 5.2b [129]. The clear advantage is that no solid debris is created directly from the plasma target. However, the laser has to be focused close to the nozzle in order for the target gas to have sufficient density for effective laser-plasma generation. This may lead to sputtering of nozzle material that in its turn may coat sensitive 5.3. TARGET GEOMETRY 35 components [108]. Under the right operating conditions, the gas will form clusters enhancing the EUV production from the gas target [130–132]. A similar target is the liquid-spray target (cf. Fig. 5.2c) , that can be applied to liquids or condensed gases, where larger droplets are formed. Compared to the gas/cluster target, this target has the advantage of higher target density, leading to improved EUV generation, and better collimation of the target flow allowing for slightly longer working distances, although still in the few millimeter range [133, 134]. The preferred target type of this thesis is, however, the liquid-jet [135, 136] (cf. Fig. 5.2d) or liquid-droplet target [137] (cf. Fig. 5.2e). It is formed by urging a liquid through a small nozzle orifice, thereby creating a collimated jet. The jet will naturally break up into droplets after travelling some distance. If a periodic perturbation is added, this droplet formation can be stimulated to a certain fre- quency. The laser pulse can be focused to either the jet portion closer to the nozzle or the droplet portion further away. The jet has an advantage of not requiring temporal synchronization of the laser pulses to the droplets. However, such syn- chronization is achievable as described in Paper 2. The drawback with the liquid jet concept is that the plasma normally have to be operated closer to the nozzle than a droplet plasma. This could lead to unwanted heating and sputtering of the nozzle. An important exception is, however, jets of liquefied gases that may freeze before forming droplets, thereby staying in the jet shape. This is described for es- pecially liquid-xenon-jets in Paper 4 and will be further discussed in next chapter. The liquid-droplet target was shown to limit the debris deposition compared to a tape target by a factor of 200–300 [137], and the liquid-jet target has equally low deposition as the droplet target [135]. A disadvantage with these target types is that not all substances can be used, but many are available apart from natural liquids through different techniques, e.g., liquefied gases as in Berglund et al. [138], Wieland et al. [139] and Papers 1, 3–5 & 7, molten metals as in Korn et al. [140] and Paper 6 and solutions as in Rymell et al. [141], Tompkins et al. [142] and Paper 6.

Six

Liquid-xenon-jet laser plasma

6.1 Introduction

The source primarily considered in this thesis is the liquid-xenon-jet laser plasma. The motivation for mainly working with this source is that it appears to be one of the few source concepts that theoretically could meet the tough requirements for operation in an EUVL stepper. From the source overview of Chapters 4 & 5 it seems clear that only a plasma-based source can meet the power-requirements of a production-scale EUV stepper. Furthermore, assuming that no debris mitiga- tion technique can mitigate the type II contaminants discussed in Section 4.6 with sufficient efficiency, the plasma material has to be a non-reactive, non-condensing material, i.e., a noble gas. In addition, this plasma should be operated far enough from any source hardware to eliminate the generation of type-IIb contaminants and to handle the thermal load from tens of kilowatt of drive power. In short, the preferred source type is a noble-gas plasma located several centimeters from any source hardware. A laser plasma is suitable since lasers can deliver the energy needed to drive the plasma without requiring physical proximity to the plasma. Furthermore, the target material has to be transported to the point of laser interaction. As described in Section 5.3, liquid-droplet or liquid-jet target types appear to be the most suit- able target technologies for transportation of target material in a collimated fashion to a point in space several centimeters from any source hardware. However, as is demonstrated in Paper 4 (cf. Fig. 6.1), liquid-droplet operation using xenon is diffi- cult since the xenon jet will freeze before the theoretical minimum drop-formation distance due to the evaporation-induced cooling of the jet. In order to obtain droplets, the jet would have to be injected into an environment where the pressure is closer to the xenon vapor pressure at the temperature of the jet. However, the required xenon pressure is much too high for laser-plasma EUV generation purposes since the emitted radiation would rapidly be absorbed in the surrounding xenon gas. The droplets could be injected into high vacuum through a pinhole, but it

37 38 CHAPTER 6. LIQUID-XENON-JET LASER PLASMA

170 Theoretical minimum 160 drop-formation distance 150 Freezing 140 T [K] T

130

120

110 0 0.5 1 1.5 2 2.5 x [mm] (a)

1 mm (b)

Figure 6.1: (a) The calculated cooling process of a 10 µm xenon jet injected into vacuum at 30 bar corresponding to ∼40 m/s. The theoretical model indicates that the jet freezes well before the droplet formation point, thereby inhibiting the formation of droplets. (b) A ten-nanosecond-flash photograph of a xenon jet several centimeters from the nozzle orifice. The jet is broken at several locations but no signs of general droplet formation are visible (from Paper 4).

has been shown that the gas drag through the pinhole would deflect the droplets making stable operation at large distances difficult [143]. Therefore, the liquid-jet target geometry is the preferred, although if a stable xenon-droplet source could be developed, it should be equally suitable as the liquid-xenon-jet source.

The argument above only gives an indication that a liquid-xenon-jet laser- plasma source could be applicable for production scale EUVL. Detailed studies have to be performed regarding all aspects of the relation between source and step- per to conclude if the requirements can finally be met. The work described in this thesis has attempted to study some of those aspects through the development and evaluation of a liquid-xenon-jet laser-plasma source. 6.2. LIQUID-XENON-JET OPERATION 39

6.2 Liquid-xenon-jet operation

The most recent arrangement for operation of a liquid-xenon-jet laser-plasma target is illustrated in Fig. 6.2. The liquid-jet is formed by forcing xenon gas under high

Xe recycling, High-pressure purification and xenon pressurization system Quartz filter

Cold reservoir Zr filters

Low-pressure xenon Tur molecularbo- bo- pump Tur molecular pump

Figure 6.2: The general experimental arrangement for liquid-xenon-jet laser-plasma generation and EUV-in-band-emission monitoring. pressure into a reservoir cooled to liquefy the xenon. A tapered glass capillary nozzle with an orifice diameter of typically 10–30 µm is attached to the reservoir, producing a microscopic liquid jet into an ultra-high-vacuum compatible chamber. Vacuum is maintained by two ∼2000 l/s turbomolecular pumps resulting in pressures of 10−4– 10−3 mbar during operation. The base pressure of the system before operation is typically in the 10−8–10−7 mbar range but could be further reduced through, e.g., baking of the system. To reduce the load on the turbomolecular pumps during operation, the non-evaporated part of the xenon jet is extracted from the chamber through a differential-pumping scheme. The xenon evacuated through both the turbomolecular pumps and the jet-extraction system is further collected by an in- house-built recycling, purification and pressurization system allowing for closed- loop usage of xenon. Before the construction of this recycling system, the high cost of xenon was strongly limiting the experimental time. As is reported in Paper 1, during the initial experiments with a liquid-xenon-jet laser-plasma, a directional instability of the liquid-xenon jet made stable laser- 40 CHAPTER 6. LIQUID-XENON-JET LASER PLASMA plasma operation difficult. Paper 4 contain a detailed discussion regarding the stability of of liquefied-inert-gas jets and also presents a method to stabilize the jets [144]. It is shown how heating of the nozzle tip can increase the directional stability of the jet significantly. Most likely, this heating is effective since the heavy evaporation of the liquefied-inert-gas jets in vacuum otherwise will cool the nozzle tip so that some substance, most probably the liquefied gas itself, freezes in the tip, thereby changing the flow characteristics of the jet.

6.3 Laser-plasma operation

The plasma is typically generated by focusing Nd:YAG-laser pulses of λ = 1064 nm, ∼5 ns pulse length and up to 350 mJ pulse energy onto the jet through a focussing system theoretically capable of obtaining a FWHM ∼10 µm spot. Figure 6.3 illus- trates a plasma operated 50 mm from the nozzle.

Figure 6.3: Picture of the liquid-xenon-jet laser-plasma source during operation with a nozzle-to-plasma distance of 50 mm (from Hansson et al. [145]).

The EUV emission is normally monitored by a flying-circus tool [146] (FCII) as illustrated in Fig. 6.2. This tool, allowing for absolute-calibrated pulse-to-pulse measurements, is further described in Paper 3. During the work described in this thesis, the highest measured CE from laser to in-band-EUV (at λ∼13.5 nm) has increased from the first reported preliminary measurement of 0.1 %/(2%BW 2πsr) [147] using a spectrograph, through the first FCII measurements of 0.55 %/(2%BW 2πsr) reported in Paper 3, to 0.95 %/(2%BW 2πsr) reported in Paper 7. The pulse- to-pulse stability at 50 mm from the nozzle has been measured to ±8.4% (3σ) [145]. 6.4. A SUITABLE SOURCE FOR EUV LITHOGRAPHY? 41

6.4 A suitable source for EUV lithography?

This final section will try to answer the question if the liquid-xenon-jet laser-plasma source may be applicable as a source in a production-scale EUVL stepper. This will be done by looking back at the source requirements discussed in Section 4.1. A main question is if 115 W into the IF can be obtained. The following is one example of how this could be achieved.

CE 1.2%/(2%BW 2πsr) Laser pulse energy 1 J Repetition rate 20 kHz Laser power 20 kW Power into 2πsr 240 W Collector transmission 45 % No spectral purity filter – Power into IF 108 W

Is this realistic for a liquid-xenon-jet laser-plasma source? The CE of 1.2% is higher than the 0.95% (cf. Paper 7) that has been shown with this source. However, 1.2% has been demonstrated for a solid xenon target [148] and could probably be reached with further optimization of the liquid-xenon-jet laser plasma as well. A pulse energy of 1 J has not been investigated in this work since higher pulse energies than 350 mJ has not been available, but so far highest CE was observed for the highest pulse energy indicating that CE at least should not scale inversely with the laser-pulse energy. Another study actually indicates increasing CE with increasing pulse energy [149]. The ability to operate at 17 kHz was simulated successfully in Paper 7 and an increase to 20 kHz should be feasible with slightly higher jet speed. Furthermore, a preliminary theoretical and experimental study [150] have shown that the thermal load from >10 kW laser power is manageable at 50 mm working distance from the nozzle. At somewhat longer distance, 20 kW should therefore be manageable as well. The collector transmission of 45 % is for 5 steradian collection [149]. Even at such high collection angles, the étendue of the source is lower than the maximum allowed as discussed in Paper 7, and the plasma is geometrically accessible over that solid angle as discussed in Paper 3. Finally, Paper 7 reports that the spectral purity specification may be reachable, and this is further supported by another study [151]. According to above, the repetition rate specification of >10 kHz can be met. Furthermore, the specification for integrated dose stability is defined for 50 pulses, but if the source is operated at 20 kHz, double the specified minimum repetition rate, the dose stability should instead be defined for N=100 pulses. The reported pulse-to-pulse (p2p)√ stability at 50 mm, sp2p = ±8.4% (3σ) [145], would correspond to sN = sp2p/ N = ±0.84% (3σ) which is within a factor of three from the specification. It should therefore not be impossible to reach the final specification of sN = ±0.3% (3σ). 42 CHAPTER 6. LIQUID-XENON-JET LASER PLASMA

The source cleanliness is specified as >30,000 hours for components after the secondary focus. As discussed in Paper 7, the xenon plasma emits multi-keV ions. If the energy of these ions can be reduced to under the sputtering threshold of any material in the vicinity of the plasma, then the liquid-xenon-jet laser-plasma has the potential of being a ∼100% clean source. If no sputtering takes place, then no contaminating material should be created and no contamination should occur that is source induced. However, it should be emphasized that it is a major task to show that ions from the plasma can be stopped. A first positive gas- background experiment was reported in Paper 7, but further experiments at realistic gas pressures have to be performed. The conclusion of the arguments above is that it may be physically possible for the liquid-xenon-jet laser-plasma source to meet the specifications of a production scale EUVL stepper. However, many key experiments still have to be performed to verify this. Furthermore it must be mentioned that the anticipated high cost of suitable high-power lasers is a major disadvantage for the liquid-xenon-jet concept. However, this may be the only way to meet the tough requirements on an EUVL source. Finally it is noted that the liquid-xenon-jet laser plasma concept today is developed by several commercial source suppliers [148, 152, 153] indicating a strong belief in the concept. Seven

Summary of the papers

This thesis is based on the following seven papers which are all directed towards the development of sources for EUV lithography.

Paper 1 presents the first quantitative photon-flux measurement from a liquid- xenon-jet laser plasma in the λ = 1 − 2 nm wavelength range and the first spectral measurement in the λ = 9 − 15 nm range interesting for EUV lithography.

Paper 2 describes an investigation on longitudinal droplet stability for liquid- droplet laser-plasma sources. A long-term drift in longitudinal drop position was explained by an evaporation-induced thermal effect. This long-term drift was suc- cessfully compensated for by an automatic control system, allowing for long-term unattended stable source operation.

Paper 3 presents the first quantitative in-band EUV flux measurement from a liquid-xenon-jet laser-plasma source together with a detailed description of the mea- surement technique. The paper further contains the first discussion on the liquid- xenon-jet source characteristics in relation to the requirements for EUV lithography.

Paper 4 introduces a method to spatially stabilize a liquid-xenon-jet in vacuum by heating the tip of the nozzle. This method significantly increases pulse-to-pulse laser-plasma emission stability, which is of significant importance for lithography.

Paper 5 introduces a method to scan a small laser-plasma to obtain increased illumination uniformity while minimizing photon losses. The method is especially applicable for small-field EUV tools.

Paper 6 demonstrates the first liquid-tin-jet laser plasma EUV source. Quanti- tative photon-flux and debris measurements are presented.

43 44 CHAPTER 7. SUMMARY OF THE PAPERS

Paper 7 describes in-depth quantitative characterization of a liquid-xenon-jet laser-plasma source based on the requirements for an EUV lithography source. The paper reports on non-EUV emission from the plasma, size and shape of the in-band EUV-emitting plasma including size scalability, maximum-repetition-rate estimation and quantitative ion-emission and sputtering measurements. The paper also report on improved in-band EUV performance.

The work in this thesis is fully directed towards the development of laser-plasma sources for EUV lithography although many results also are applicable to laser- plasma generation for other wavelengths. The author has been the main respon- sible for papers 1, 3–5 and 7. However, in paper 7, the author only performed the maximum-repetition-rate experiment personally, but was actively involved in the planning and performance of all other experiments. In paper 2, the author contributed to the experimental part concerning the laser-plasma source and in the preparation of the manuscript. The author was mainly responsible for the quantitative measurements of the EUV flux in paper 6, and also contributed to the general setup and performance of the experiment as well as the preparation of the manuscript. The author was, however, not involved in the development of the liquid-tin-jet system. Acknowledgments

The last five years of my life have been a very rich and enjoyable time. A lot has certainly been due to the interesting and unique technology I have gotten the chance to work with, but even more is due to the people that have been there during this time. A big thanks goes to my Professor, Hans Hertz, for sharing his straightforward and uncomplicated approach to physics and to the fact that one can count on him. His door IS always open and so is his mind. I have never regretted my decision to pursue my PhD under his wings. Many thanks to my ex boss, Hee-June Choi for sharing his experience and for being a true mentor. Working for him was demanding but rewarding and a great time. For his hard work, amazing enthusiasm, and for all the fun it has been, I want to thank Jalmar Thoresen. Since he first stepped into the lab he has always been there. A big thanks goes to Oscar Hemberg for all the times we have shared one mind and for the inspiration he is. I would do any project with him any time, for the fun and the good chance of success. I would also like to thank: Lars Rymell and Magnus Berglund for sharing their wisdom, introducing me to a wonderful technology and for the long journey we did together. Emmanuelle Janin, Sofia Mosesson, Kira Mannerblom, Björn Jacobsson and Martin Wilner for their hard work at Innolite and the best christmas party ever. Göran Johansson for sharing the fascination for soft x-rays and EUV and for making the normal working day such a pleasant experience. Martin Wiklund for not sharing the fascination for soft x-rays and EUV and for making the normal working day such a pleasant experience (and for finding Jalmar). The rest of my colleagues at the department: Anders Holmberg, Anders Lilje- borg, Göran Manneberg, Heide Stollberg, Jaco de Groot, Jessica Hultström, Kjell Carlsson, Klaus Biedermann, Linda Lundström, Mats Gustafsson, Mikael Otendal,

45 46 CHAPTER 7. SUMMARY OF THE PAPERS

Milan Pokorny, Nils Åslund, Per Jansson, Peter Unsbo, Stefan Rehbein, Thomas Koch and Tomi Tuohimaa for joint work, interesting discussions and making the department a great workplace. Kjell Hammarström and Rolf Helg at the KTH mechanical workshop for making many of the experiments possible. ITACT, Martin Gren, Magnus Ryde and Ed Schneider for their support of Innolite. Sven-Ingmar Ragnarsson, Vinnova, for his continuous support of the technology. Tor Björn Sandström, Micronic, and Tommy Skoog, ACREO (formerly with Scan- ditronix), for their initial involvement in the project. Caspar Bruineman of Scientec Engineering for good collaboration in developing characterization equipment. René de Bruijn for a fun week in the lab. All the people, too many to mention anybody in particular, in the EUV lithography community. I have really enjoyed the interaction with all of you. And finally, but of course most important, friends and family! Bibliography

[1] D. Attwood, Soft X-Rays and Extreme Ultraviolet Radiation (Cambridge Uni- versity Press, New York, 1999).

[2] G. E. Moore, Electronics 38(8) (1965).

[3] International Technology Roadmap for Semiconductors (ITRS), available at http://public.itrs.net/.

[4] S. M. Sze, Semiconductor devices, physics and technology (John Wiley & Sons, New York, 1985).

[5] H. J. Levinson, Principles of Lithography (SPIE PRESS, Bellingham, Wash- ington, USA, 2001).

[6] P. J. Silverman, Intel Technology Journal 06(02), 55 (2002), http://developer.intel.com/technology/itj/index.htm.

[7] P. Rai-Choudhury, ed., Handbook of microlithography, micromachining & mi- crofabrication, vol. 1 (SPIE – The International Society for Optical Engineer- ing, Bellingham, Washington, USA, 1997).

[8] S. M. Sze, ed., VLSI technology (McGraw-Hill, New York, 1983).

[9] B. Fay, Microelectron. Eng. 61–62, 11 (2002).

[10] See, e.g., ASML’s latest 193 nm stepper TWINSCAN XT:1250 at www.asml.com.

[11] T. M. Bloomstein, M. W. Horn, M. Rothschild, R. R. Kunz, S. Palmacci, and R. Goodman, J. Vac. Sci. Technol. B 15(6), 2112 (1997).

[12] J. Mulkens, T. J. Fahey, J. A. McClay, J. M. Stoeldraijer, P. Wong, M. Brunotte, and B. Mecking, in Optical Microlithography XV, edited by A. Yen (2002), vol. 4691 of Proceedings of SPIE, pp. 613–625.

47 48 BIBLIOGRAPHY

[13] A. Takanashi, T. Harada, M. Akeyama, Y. Kondo, T. Kurosaki, S. Kuniyoshi, S. Hosaka, and Y. Kawamura, Pattern forming apparatus (1984), US Patent No. 4,480,910.

[14] B. J. Lin, Microelectron. Eng. 6(1–4), 31 (1987).

[15] M. Switkes, M. Rothschild, R. R. Kunz, S.-Y. Baek, D. Cole, and M. Yeung, Microlithography World 12(2), 4 (2003).

[16] D. L. Spears and H. I. Smith, Electron. Lett. 8(4), 102 (1972).

[17] H. I. Smith and M. L. Schattenburg, IBM J. Res. Develop. 37(3), 319 (1993).

[18] M. Khan, L. Mohammad, J. Xiao, L. Ocola, and F. Cerrina, J. Vac. Sci. Technol. B 12(6), 3930 (1994).

[19] L. G. Lesoine and J. A. Leavey, Solid State Technol. 41(7), 101 (1988).

[20] D. J. Nagel, R. R. Whitlock, J. R. Grieg, R. E. Pechacek, and P. M. C., in Developments in Semiconductor Microlithography III, edited by D. R. Ciarlo, J. W. Dey, and K. Hoeppner (1978), vol. 135 of Proceedings of SPIE, pp. 46–53.

[21] L. Malmqvist, A. L. Bogdanov, L. Montelius, and H. Hertz, J. Vac. Sci. Technol. B 15(4), 814 (1997).

[22] C. J. Gaeta, H. Rieger, I. C. E. Turcu, R. A. Forber, K. L. Cassidy, S. M. Campeau, M. F. Powers, J. R. Maldonado, J. H. Morris, R. M. Foster, H. I. Smith, and M. H. Lim, in Emerging Lithographic Technologies VI, edited by R. L. Engelstad (2002), vol. 4688 of Proceedings of SPIE, pp. 818–833.

[23] S. D. Berger and J. M. Gibson, Appl. Phys. Lett. 57(2), 153 (1990).

[24] T. Yamaguchi, Nikon Electron Beam Projection Lithography Program (2001), Next Generation Lithography (NGL) Workshop, Pasadena, California, avail- able at http://www.sematech.org.

[25] N. Hirayanagi, T. Fujiwara, K. Hada, T. Shimoda, and K. Suzuki, in Emerging Lithographic Technologies VII, edited by R. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp. 504–511.

[26] Maskless Lithography Workshop, Pasadena, California, available at www.sematech.org (2001).

[27] S. Hirscher, M. Kümmel, O. Kirch, W.-D. Domke, A. Wolter, R. Käsmaier, H. Buschbeck, E. Cekan, A. Chalupka, A. Chylik, S. Eder, C. Horner, et al., Microelectron. Eng. 61–62, 301 (2002). 49

[28] S. Y. Chou, P. R. Krauss, and P. J. Renstrom, Appl. Phys. Lett. 67(21), 3114 (1995).

[29] D. J. Resnick, W. J. Dauksher, D. P. Mancini, K. J. Nordquist, T. C. Bailey, S. C. Johnson, N. A. Stacey, J. G. Ekerdt, C. G. Willson, S. V. Sreenivasan, and N. E. Schumaker, in Emerging Lithographic Technologies VII, edited by R. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp. 12–23.

[30] Reports from the 4:th and the 5:th Next Generation Lithography (NGL) Workshops are available at http://www.sematech.org.

[31] Next Generation Lithography (NGL) Workshop, Pasadena, California, avail- able at http://www.sematech.org (2001).

[32] N. Harned, ASML’s EUVL Program Progress Update (2003), presented at EUVL Source Workshop in Antwerpen, Belgium. To be published at http://www.sematech.org.

[33] D. Attwood, Soft X-Rays and Extreme Ultraviolet Radiation, chap. 3, in [1] (1999).

[34] D. Attwood, Soft X-Rays and Extreme Ultraviolet Radiation, chap. 4, in [1] (1999).

[35] T. W. Barbee Jr., S. Mrowka, and M. C. Hettrick, Appl. Opt. 24(6), 883 (1985).

[36] Center for X-Ray Optics (CXRO) - X-Ray Multilayer Results. http://www- cxro.lbl.gov/multilayer/survey.html.

[37] H. Franken, Y. Watanabe, and K. Ota, Joint spec ASML, Canon, Nikon (2003), presented at EUVL Source Workshop in Antwerpen, Belgium. To be published at http://www.sematech.org.

[38] S. Bajt, J. Alameda, T. Barbee, W. Clift, J. Folta, B. Kaufmann, and E. Spiller, Opt. Eng. 41(8), 1797 (2002).

[39] J. Underwood, T. Barbee, and D. Shealy, in High Resolution Soft X-Ray Optics, edited by E. Spiller (1981), vol. 316 of Proceedings of SPIE, pp. 79– 89.

[40] I. Lovas, W. Santy, E. Spiller, R. Tibbetts, and J. Wilczynski, in High Reso- lution Soft X-Ray Optics, edited by E. Spiller (1981), vol. 316 of Proceedings of SPIE, pp. 90–97.

[41] B. Lai, F. Cerrina, and J. H. Underwood, in Applications of Thin-Film Multi- layered Structures to Figured X-Ray Optics, edited by G. F. Marshall (1985), vol. 563 of Proceedings of SPIE, pp. 174–179. 50 BIBLIOGRAPHY

[42] K. Hoh and H. Tanino, Bull. Electrotech. Lab. 49(12), 47 (1985).

[43] A. V. Vinogradov and N. N. Zorev, Sov. Phys.–Doklady 33(9), 682 (1988).

[44] A. M. Hawryluk and L. G. Seppala, J. Vac. Sci. Technol. B 6(6), 2162 (1988).

[45] H. Kinoshita, K. Kurihara, Y. Ishii, and Y. Torii, J. Vac. Sci. Technol. B 7(6), 1648 (1989).

[46] J. E. Bjorkholm, J. Bokor, L. Eichner, R. R. Freeman, J. Gregus, T. E. Jewell, W. M. Mansfield, A. A. M. Dowell, E. L. Raab, W. T. Silfvast, L. H. Szeto, D. M. Tennant, et al., J. Vac. Sci. Technol. B 8(6), 1509 (1990).

[47] D. A. Tichenor, G. D. Kubiak, M. E. Malinowski, R. H. Stulen, S. J. Haney, K. W. Berger, L. A. , R. R. Freeman, W. M. Mansfield, O. R. Wood II, D. M. Tennant, J. E. Bjorkholm, et al., Opt. Lett. 16(20), 1557 (1991).

[48] C. W. Gwyn, R. Stulen, D. Sweeney, and D. Attwood, J. Vac. Sci. Technol. B 16(6), 3142 (1998).

[49] D. J. O’Connell, S. H. Lee, W. P. Ballard, D. A. Tichenor, L. J. Bernardez II, S. J. Haney, T. A. Johnson, P. K. Barr, A. H. Leung, K. L. Jefferson, W. C. Replogle, J. E. Goldsmith, et al., in Emerging Lithographic Technologies VII, edited by R. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp. 83–94.

[50] D. Stark, K. Dean, P. Gabella, J. Meute, J. Cashmore, M. Whitfield, A. Brun- ton, P. Gruenewald, and M. Gower, in 1st International EUV Lithography Symposium, Dallas (2002), available at http://www.sematech.org.

[51] T. Oshino, M. Shiraishi, N. Kandaka, K. Sugisaki, H. Kondo, K. Ota, K. Mashima, K. Murakami, H. Oizumi, I. Nishiyama, and S. Okazaki, in Emerging Lithographic Technologies VII, edited by R. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp. 75–82.

[52] H. Meiling, J. P. Benschop, U. Dinger, and P. Kuerz, in Emerging Lithographic Technologies V, edited by E. A. Dobisz (2001), vol. 4343 of Proceedings of SPIE, pp. 38–50.

[53] H. Meiling, V. Banine, P. Kuerz, B. D. Blum, G. J. Heerens, and N. Harned, in Emerging Lithographic Technologies VII, edited by R. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp. 24–35.

[54] Y. Horiike, Present Status and Issues of Japan EUVL Development, available at www.euva.or.jp.

[55] K. Kemp, in 1st International EUV Lithography Symposium, Dallas (2002), available at http://www.sematech.org. 51

[56] H. Cao, W. Yueh, M. Chandhok, S. Lee, G. Cardinale, D. O’Connell, M. Shumway, and J. Bokor, Patterning Capabilities of EUV Resists (2003), presented at EUVL Source Workshop in Antwerpen, Belgium. To be pub- lished at http://www.sematech.org.

[57] H. B. Cao, J. M. Roberts, J. Dalin, M. Chandhok, R. P. Meagley, E. M. Panning, M. K. Shell, and B. J. Rice, in Advances in Resist Technology and Processing XX, edited by T. H. Fedynyshyn (2003), vol. 5039 of Proceedings of SPIE, pp. 484–491.

[58] J. Benschop, R. Gontin, V. Banine, and N. Harned, in EUV lithography source workshop, Matsue, Japan (2001), available at http://www.sematech.org.

[59] H. B. Cao, personal communication (many EUV resists are based on 248 nm resists and therefore sensitive to that wavelength).

[60] K.-H. Schuster and H. Beierl, Microlithographic reduction objective, projection exposure equipment and process (2002), US Patent No. 6,349,005 B1.

[61] R. Hudyma, High Numerical Aperture Ring Field Projection System for Ex- treme Ultraviolet Lithography (2000), US Patent No. 6,033,079.

[62] T. E. Jewell, J. M. Rodgers, and K. P. Thompson, J. Vac. Sci. Technol. B 8(6), 1519 (1990).

[63] Y. Watanabe (2001), presented at 3rd InternationalWorkshop on EUV Lithography, Matsue, Japan.

[64] D. W. Sweeney, R. M. Hudyma, H. N. Chapman, and D. R. Shafer, in Emerg- ing Lithographic Technologies II, edited by Y. Vladimirsky (1998), vol. 3331 of Proceedings of SPIE, pp. 2–10.

[65] S. D. Hector, in Emerging Lithographic Technologies VI, edited by R. L. Engelstad (2002), vol. 4688 of Proceedings of SPIE, pp. 134–149.

[66] J. A. Folta, J. C. Davidson, C. C. Larson, C. C. Walton, and P. A. Kearney, in Emerging Lithographic Technologies VI, edited by R. L. Engelstad (2002), vol. 4688 of Proceedings of SPIE, pp. 173–181.

[67] J. B. Murphy, D. L. White, A. A. MacDowell, and O. R. Wood II, Appl. Opt. 32(34), 6920 (1993).

[68] I. Fomenkov, R. Oliver, S. Melnychuk, N. Böwering, R. Ness, O. Khodykin, C. Rettig, and J. Hoffman, Performance and Scaling of a Dense Plasma Fo- cus Light Source for EUV Lithography, EUV Source Workshop, Santa Clara, California (2003), available at http://www.sematech.org. 52 BIBLIOGRAPHY

[69] M. Antoni, W. Singer, J. Schultz, J. Wangler, I. Escudero-Sanz, and B. Kruizinga, in Soft X-Ray and EUV Imaging Systems, edited by W. M. Kaiser and R. H. Stulen (2000), vol. 4146 of Proceedings of SPIE, pp. 25–34. [70] G. H. Derra and W. Singer, in Emerging Lithographic Technologies VII, edited by R. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp. 728–741. [71] H. N. Chapman and K. A. Nugent, in Soft X-Ray and EUV Imaging Systems, edited by C. A. MacDonald, K. A. Goldberg, J. R. Maldonado, H. H. Chen- Mayer, and S. P. Vernon (1999), vol. 3767 of Proceedings of SPIE, pp. 225–236. [72] H. Komatsuda, in Emerging Lithographic Technologies IV, edited by E. A. Dobisz (2000), vol. 3997 of Proceedings of SPIE, pp. 765–776. [73] G. Sommargren and L. Seppala, Appl. Opt. 32(34), 6938 (1993). [74] G. D. Kubiak and W. C. Sweatt, Radiation Source with Shaped Emission (2003), US Patent No. 6563907, filed Dec. 7, 2001. [75] B. A. M. Hansson, M. Berglund, O. Hemberg, and H. M. Hertz, in 2nd annual international workshop on EUV lithography (2000), available at http://www.sematech.org. [76] W. C. Sweatt (1993), vol. 18 of OSA proceedings on Soft X-Ray projection Lithography, pp. 70–72. [77] P. P. Naulleau, W. C. Sweatt, and D. A. Tichenor, Opt. Commun. 214(1–6), 31 (2002). [78] F. R. Powell and T. A. Johnson, in Emerging Lithographic Technologies V, edited by E. A. Dobisz (2001), vol. 4343 of Proceedings of SPIE, pp. 585–589. [79] N. Koster, B. Mertensa, R. Jansena, A. van de Runstraata, F. Stietzb, M. We- dowskib, H. Meilingc, R. Kleind, A. Gottwaldd, F. Scholzed, M. Vissere, R. Kurte, et al., Microelectron. Eng. 61–62, 65 (2002). [80] K. Boller, R.-P. Haelbich, H. Hogrefe, W. Jark, and C. Kunz, Nucl. Inst. & Meth. in Phys. Res. 208, 273 (1983). [81] M. Wedowski, S. Bajt, J. Folta, E. Gullikson, U. Kleineberg, L. Klebanoff, M. Malinowski, and W. Clift, in EUV, X-Ray, and Neutron Optics and Sources, edited by C. A. MacDonald, K. A. Goldberg, J. R. Maldonado, H. H. Chen-Mayer, and S. P. Vernon (1999), vol. 3767 of Proceedings of SPIE, pp. 217–224. [82] B. Mertens, N. Koster, R. Jansen, A. van de Runstraat, H. Werij, F. Stietz, M. Wedowski, H. Meiling, R. Klein, R. Thornagel, F. Scholze, G. Ulm, et al., Mitigation of molecular mitigation of molecular contamination of EUV con- tamination of EUV optics (2001), presented at 3rd InternationalWorkshop on EUV Lithography, Matsue, Japan. 53

[83] L. E. Klebanoff, in 2nd annual international workshop on EUV lithography (2000), available at http://www.sematech.org.

[84] M. Malinowski, L. Klebanoff, P. Grunow, C. Steinhaus, and M. Clift, in 2nd annual international workshop on EUV lithography (2000), available at http://www.sematech.org.

[85] A. E. Yakshin, E. Louis, E. Maas, F. Bijkerk, R. Klein, F. Scholze, P. Zalm, F. Stietz, M. Wedowski, S. Müllender, B. Mertens, and H. Meiling (2001), pre- sented at 3rd InternationalWorkshop on EUV Lithography, Matsue, Japan.

[86] V. Banine, J. P. Benschop, M. Leenders, and R. Moors, in Emerging Litho- graphic Technologies IV, edited by E. A. Dobisz (2000), vol. 3997 of Proceed- ings of SPIE, pp. 126–135.

[87] U. Stamm, I. Ahmad, I. Balogh, H. Birner, D. Bolshukhin, J. Brudermann, S. Enke, F. Flohrer, K. Gäbel, S. Götze, G. Hergenhan, J. Kleinschmidt, et al., in Emerging Lithographic Technologies VII, edited by R. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp. 119–129.

[88] J. Pankert, K. Bergmann, J. Klein, W. Neff, O. Rosier, S. Seiwert, C. Smith, S. Probst, D. Vaudrevange, G. Siemons, R. Apetz, J. Jonkers, et al., in Emerg- ing Lithographic Technologies VII, edited by R. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp. 112–118.

[89] I. V. Fomenkov, R. M. Ness, I. R. Oliver, S. T. Melnychuk, O. V. Khodykin, N. R. Bowering, C. L. Rettig, and J. R. Hoffman, in Emerging Lithographic Technologies VII, edited by R. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp. 807–821.

[90] K. Ota, in EUVL Source Workshop Feb. 23, Santa Clara, USA (2003), avail- able at www.sematech.org.

[91] A. P. Thorne, Spectrophysics (Chapman and Hall Ltd, London, 1974).

[92] M. McGeoch and C. Pike, Star Pinch Scalable EUV Source, EUV Source Workshop, Santa Clara, California (2003), available at http://www.sematech.org.

[93] N. R. Fornaciari, H. Bender, D. Buchenauer, M. P. Kanouff, S. Karim, G. D. Kubiak, C. D. Moen, G. M. Shimkaveg, W. T. Silfvast, and K. D. Stewart, in Emerging Lithographic Technologies V, edited by E. A. Dobisz (2001), vol. 4343 of Proceedings of SPIE, pp. 226–231.

[94] J. Pankert, Update of Philips’ EUV source performance, EUV Source Work- shop, Santa Clara, California (2003), available at http://www.sematech.org. 54 BIBLIOGRAPHY

[95] R. Lebert, K. Bergmann, L. Juschkin, O. Rosier, and W. Neff, in Emerging Lithographic Technologies V, edited by E. A. Dobisz (2001), vol. 4343 of Proceedings of SPIE, pp. 215–225. [96] D. Attwood, Soft X-Rays and Extreme Ultraviolet Radiation, chap. 5, in [1] (1999). [97] G. Johansson, Compact Soft X-Ray Microscopy, Ph.D. thesis, Royal. Inst. of Tech., Stockholm, Sweden (2003). [98] J. P. Benschop, U. Dinger, and D. C. Ockwell, in Emerging Lithographic Technologies IV, edited by E. A. Dobisz (2000), vol. 3997 of Proceedings of SPIE, pp. 34–47. [99] D. C. Ockwell, N. C. E. Crosland, and V. C. Kempson, J. Vac. Sci. Technol. B 17(6), 3043 (1999). [100] G. Dattoli, A. Doria, G. P. Gallerano, L. Giannessi, K. Hesch, H. O. Moser, P. L. Ottaviani, E. Pellegrin, R. Rossmanith, R. Steininger, V. Saile, and J. Wust, Nucl. Instum. Methods Phys. Res. A 474(3), 259 (2001). [101] E. Tejnil, K. A. Goldberg, S. Lee, H. Medecki, P. J. Batson, P. E. Denham, A. A. MacDowell, J. Bokor, and D. Attwood, J. Vac. Sci. Technol. B 15(6), 2455 (1997). [102] P. P. Naulleau, K. A. Goldberg, E. H. Anderson, J. Bokor, B. D. Harteneck, K. H. Jackson, D. L. Olynick, F. Salmassi, S. L. Baker, P. B. Mirkarimi, E. A. Spiller, C. C. Walton, et al., in Emerging Lithographic Technologies VII, edited by R. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp. 36–46. [103] A. H. Compton and S. K. Allision, X-rays in Theory and Experiment (Prince- ton, Van Nostrand, 1935), 2 ed. [104] A. Rundquist, C. G. Durfee III, Z. Chang, C. Herne, S. Backus, M. M. Mur- nane, and H. C. Kapteyn, Science 280, 1412 (1998). [105] R. A. Bartels, A. Paul, H. , H. C. Kapteyn, M. M. Murnane, S. Backus, I. P. Christov, Y. Liu, D. Attwood, and C. Jacobsen, Science 297(5580), 376 (2002). [106] J. J. Rocca, Rev. Sci. Instrum. 70(10), 3799 (1999). [107] V. Y. Banine, J. P. H. Benschop, and H. G. C. Werij, Microelectron. Eng. 53(1–4), 681 (2000). [108] G. D. Kubiak, L. Bernardez, and K. Krenz, in Emerging Lithographic Tech- nologies II, edited by Y. Vladimirsky (1998), vol. 3331 of Proceedings of SPIE, pp. 81–89. 55

[109] M. L. Ginter and T. J. McIlrath, Appl. Opt. 27(5), 885 (1988).

[110] F. Bijkerk, E. Louis, J. Van Der Wiel, E. C. I. Turcu, G. J. Tallents, and D. Batani, J. X-Ray Sci. Technol. 3(2), 133 (1992).

[111] M. Richardson, W. T. Silfvast, H. A. Bender, A. Hanzo, V. P. Yanovsky, J. Feng, and J. Thorpe, Appl. Opt. 32(34), 6901 (1993).

[112] L. Shmaenok, F. Bijkerk, E. Louis, A. van Honk, M. J. van der Wiel, Y. Platonov, S. A., A. Mitrofanov, H. Frowein, B. Nicolaus, F. Voss, and R. Desor, Microelectron. Eng. 23(1–4), 211 (1994).

[113] F. Flora, L. Mezi, S. Bollanti, F. Bonfigli, P. D. Lazzaro, T. Letardi, and C. E. Zheng, in Applications of X Rays Generated from Lasers and Other Bright Sources II, edited by G. A. Kyrala and J.-C. J. Gauthier (2001), vol. 4504 of Proceedings of SPIE, pp. 77–86.

[114] L. Rymell and H. M. Hertz, Rev. Sci. Instrum. 66(10), 4916 (1995).

[115] N. R. Fornaciari, H. Bender, D. Buchenauer, J. L. Dimkoff, M. P. Kanouff, S. Karim, C. Romeo, G. M. Shimkaveg, W. T. Silfvast, and K. D. Stewart, in Emerging Lithographic Technologies VI, edited by R. L. Engelstad (2002), vol. 4688 of Proceedings of SPIE, pp. 110–121.

[116] L. A. Shmaenok, C. C. de Bruijn, H. Fledderus, R. Stuik, A. A. Schmidt, D. M. Simanovskii, A. A. Sorokin, T. A. Andreeva, and F. Bijkerk, in Emerg- ing Lithographic Technologies II, edited by Y. Vladimirsky (1998), vol. 3331 of Proceedings of SPIE, pp. 90–94.

[117] A. L. Hoffman, G. F. Albrecht, and E. A. Crawford, J. Vac. Sci. Technol. B 3(1), 258 (1985).

[118] D. N. Ruzic, B. E. Jurczyk, M. J. Williams, E.-V. Lopez, M. J. Neumann, and M. A. Jaworski, Secondary-Plasma-Based Debris Mitigation for Next Genera- tion EUVL Sources (2003), presented at EUVL Source Workshop in Antwer- pen, Belgium. To be published at http://www.sematech.org.

[119] K. Takenoshita, C.-S. Koay, M. C. Richardson, and I. C. E. Turcu, in Emerg- ing Lithographic Technologies VII, edited by R. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp. 792–800.

[120] G. Niimi, Y. Ueno, K. Nishigori, T. Aota, H. Yashiro, and T. Tomie, in Emerging Lithographic Technologies VII, edited by R. L. Engelstad (2003), vol. 5037 of Proceedings of SPIE, pp. 370–377.

[121] I. C. E. Turco and J. B. Dance, X-Rays From Laser Plasmas (John Wiley & Sons, Chichester, England, 1999), ISBN 0 471 98397 7. 56 BIBLIOGRAPHY

[122] P. K. Carroll and G. O’Sullivan, Phys. Rev. A 25(1), 275 (1982).

[123] P. Mandelbaum, M. Finkenthal, J. Schwob, and M. Klapisch, Phys. Rev. A 35(12), 5051 (1987).

[124] G. M. Zeng, H. Daido, T. Nishikawa, H. Takabe, S. Nakayama, H. Aritome, K. Murai, Y. Kato, M. Nakatsuka, and S. Nakai, J. Appl. Phys. 75(4), 1923 (1994).

[125] W. Svendsen and G. O’Sullivan, Phys. Rev. A 50(5), 3710 (1994).

[126] T. Mochizuki and C. Yamanaka, in Soft X-Ray Optics and Technology (1987), vol. 733 of Proceedings of SPIE, pp. 23–27.

[127] M. S. Schulz, A. G. Michette, and R. E. Burge, in X-Ray Microscopy III, edited by A. G. Michette, G. R. Morrison, and C. J. Buckley (Springer, Berlin, 1992), vol. 67 of Springer Series in Optical Sciences, pp. 58–61.

[128] R. Bobkowski and R. Fedosejevs, J. Vac. Sci. Technol. B 14(4), 1973 (1996).

[129] H. Fiedorowicz, A. Bartnik, Z. Patron, and P. Parys, Appl. Phys. Lett. 62(22), 2778 (1993).

[130] H. Fiedorowicz, A. Bartnik, Z. Patron, and P. Parys, Laser and Particle Beams 12(3), 471 (1994).

[131] A. McPherson, T. S. Luk, B. D. Thompson, A. B. Borisov, O. B. Shiryaev, X. Chen, and C. K. Boyer, K. Rhodes, Phys. Rev. Lett. 72(12), 1810 (1994).

[132] G. D. Kubiak, L. J. Bernardez, K. D. Krenz, D. J. O’Connell, R. Gutowski, and A. M. M. Todd, in Extreme Ultraviolet Lithography, edited by G. D. Ku- biak and D. R. Kania (1996), vol. 4 of OSA Trends in Optics and Photonics, pp. 66–71.

[133] R. H. Moyer, H. Shields, A. Martos, S. W. Fornaca, R. J. St. Pierre, and M. B. Petach, in Emerging Lithographic Technologies V, edited by E. A. Dobisz (2001), vol. 4343 of Proceedings of SPIE, pp. 249–254.

[134] M. Segers, M. Bougeard, E. Caprin, T. Ceccotti, D. Normand, M. Schmidt, and O. Sublemontier, Microelectron. Eng. 61–62, 139 (2002).

[135] L. Malmqvist, L. Rymell, M. Berglund, and H. M. Hertz, Rev. Sci. Instrum. 67(12), 4150 (1996).

[136] H. M. Hertz, L. Malmqvist, L. Rymell, and M. Berglund, Method and Appara- tus for Generating X-Ray or EUV Radiation (1999), US Patent No. 6,002,744.

[137] L. Rymell and H. M. Hertz, Opt. Commun. 103(1–2), 105 (1993). 57

[138] M. Berglund, L. Rymell, H. M. Hertz, and T. Wilhein, Rev. Sci. Instrum. 69(6), 2361 (1998). [139] M. Wieland, T. Wilhein, M. Faubel, C. Ellert, M. Schmidt, and O. Suble- montier, Appl. Phys. B 72(5), 591 (2001). [140] G. Korn, A. Thoss, H. Stiel, U. Vogt, M. Richardson, T. Elsaesser, and M. Faubel, Opt. Lett. 27(10), 866 (2002). [141] L. Rymell, M. Berglund, and H. M. Hertz, Appl. Phys. Lett. 66(20), 2625 (1995). [142] R. J. Tompkins, I. P. Mercer, M. Fettweis, C. J. Barnett, D. R. Klug, L. G. Porter, I. Clark, S. Jackson, P. Matousek, A. W. Parker, and M. Towrie, Rev. Sci. Instrum. 69(9), 3113 (1998). [143] B. Trostell, Nucl. Inst. & Meth. in Phys. Res. A 362, 41 (1995). [144] Hans M. Hertz and Oscar Hemberg and Lars Rymell and Magnus Berglund and Björn A. M. Hansson, Method and Apparatus for Generating X-Ray or EUV Radiation (2000), swedish patent SE 520 087. Published international patent application WO 02/32197. [145] B. A. M. Hansson, R. Lars, M. Berglund, O. E. Hemberg, E. Janin, J. Thore- sen, S. Mosesson, J. Wallin, and H. M. Hertz, in Emerging Lithographic Tech- nologies VI, edited by R. L. Engelstad (2002), vol. 4688 of Proceedings of SPIE, pp. 102–109. [146] R. Stuik, R. C. Constantinescu, P. Hegeman, J. Jonkers, H. F. Fledderus, V. Banine, and F. Bijkerk, in Soft X-Ray and EUV Imaging Systems, edited by W. M. Kaiser and R. H. Stulen (2000), vol. 4146 of Proceedings of SPIE, pp. 121–127. [147] Björn A. M. Hansson and Magnus Berglund and Oscar Hemberg and Hans M. Hertz, in Emerging Lithographic Technologies IV, edited by E. A. Dobisz (2000), vol. 3997 of Proceedings of SPIE, pp. 729–732. [148] H. Shields, S. W. Fornaca, M. B. Petach, M. Michaelian, R. D. McGregor, R. H. Moyer, and R. J. St. Pierre, in Emerging Lithographic Technologies VI, edited by R. L. Engelstad (2002), vol. 4688 of Proceedings of SPIE, pp. 94–101. [149] S. McNaught, NGST/CEO presentation - Laser-Produced Plasma EUV Source Program, EUV Source Workshop, Santa Clara, California (2003), available at http://www.sematech.org. [150] Björn A. M. Hansson, Innolite Update - Status of the Liquid-Xenon-Jet Laser- Plasma EUV Source, EUV Source Workshop, Dallas, USA (2002), available at http://www.sematech.org. 58 BIBLIOGRAPHY

[151] TRW / Cutting Edge Optronics Laser-Produced Plasma EUV Source Program, EUV Source Workshop, Dallas, USA (2002), available at http://www.sematech.org.

[152] U. Stamm, I. Ahmad, V. M. Borisov, F. Flohrer, K. Gaebel, S. Goetze, A. S. Ivanov, O. B. Khristoforov, D. Kloepfel, P. Koehler, J. Kleinschmidt, V. Ko- robotchko, et al., in Emerging Lithographic Technologies VI, edited by R. L. Engelstad (2002), vol. 4688 of Proceedings of SPIE, pp. 122–133.

[153] A. Endo, H. Sato, H. Komori, T. Abe, H. Mizoguchi, K. Toyoda, and Y. Horiike, in EUVL Source Workshop Feb. 23, 2003 (2003), available at http://www.sematech.org.