NNNANOANOMMMETERETER Newsletter for Cornell Nanofabrication Facility

Volume 10 July 1999 Number 2 The Director’s Corner The number of new projects starting The 1999 CNF Annual at Cornell Nanofabrication Facility has The 1999 CNF Annual been increasing at a fairly fast rate. The breadth and scope of this new work is a MeetingMeeting andand CareerCareer FairFair constant source of surprise and pleasure. One particular area showing a large surge in interest is work related to biology. This The CNF Annual Meeting and Career issue highlights Andrea Perez, a graduate Fair will be held on Thursday and student in Applied and Engineering Friday, September 16th and 17th at the Physics; it is exciting to see her work and Statler Hotel on Cornell campus. This the interesting ideas that underlay it, as year’s opening speaker will be Dr. Mark well as to watch the scope of work from T. Bohr from Intel Corporation, and the other external and internal users reaching after-dinner speaker will be Dr. Gregory down to molecular dimensions. Galvin from Kionix, Inc., Ithaca, NY. We all take great pride in being helpers If you would like to attend the annual in such endeavors and are delighted to meeting and / or the career fair, please see it rapidly approaching a critical mass. contact Ms. Denise Budinger at: 607- The history of the facility has several 255-2329 or [email protected]. examples of such periods of changes where the moment is just right; nearly all of this past work went on to influence The CNF Annual Meeting and Career Fair is: many areas of modern technology in a • Oral and Poster Presentations which allow CNF's students and users to show you their significant way. CNF’s openness, recent research and discoveries. embrace, and this help in execution has • Informal lunches which offer additional one-on-one time with students and faculty been its traditional strength. CNF is a members. melting pot of science and engineering. • Thursday night dinner: a more formal occasion to meet, and hear an invited speaker. To biology, CNF brings its knowledge of microelectronics and micromechanical • An opportunity for CNF and engineering students to learn about your career opportunities in engineering or related industries. processing, as well as the ability to make structures in the 10-30 nm range through • A proactive event designed to help you the work in nanostructure physics. These illustrate the benefits of employment in are biological molecular dimensions. your company, including internships or In This Issue . . . Greg Baxter, who joined us last year after full-time experiences, to a focused group of engineering students. a number of years working with The CNF Annual Mtg & Career Fair .. 1 biological applications, helps build the • A chance to showcase your commitment New Equipment ...... 2 to diversity by providing our students bridges between the work and techniques New Staff at CNF ...... 3 with access to recent hires, minority of the electronic and biological executives and substantive information User Profile ...... 4 communities. If you have interests or about entry-level positions in your CNF REU Update ...... 5 ideas you would like to pursue, but are not organization. Publications ...... 6 sure where to start, I encourage you to call • An opportunity to complete on-campus him. interviews with experienced nano- Sandip Tiwari fabricators. This issue was formatted by Melanie-Claire Mallison

Cornell Nanofabrication Facility 1 www.cnf.cornell.edu

New Equipment at the CNF

○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○

The VB-6 has a thermal field emission also added an automated resist coat/ electron source running at 100 kV develop system from Brewer Scientific; providing the high brightness and small the Cee 6000. This provides source size required for nanolithography. reproducible resist coating and Minimum feature sizes < 0.03 µm are development of large batches of wafers. possible over field sizes up to 655 µm. µ The addition of the VB6 places CNF For 0.1 m lithography, beam currents as at the forefront in nanolithography high as 10 nA are possible. These capability. This new e-beam system is features, combined with the precise the result of many years of effort by the addressability of the 16 bit field, make µ CNF staff and management. It was made high resolution lithography of 0.1 m possible, in part, by funds from the NSF The Cornell Nanofabrication Facility features routine. Academic Research Infrastucture (CNF) houses a Leica VB-6HR, the The system has a large precision stage program, with significant additional newest in its series of electron beam which will handle 8" wafers. CNF is support from Cornell University and the lithography tools. The VB6 is one of the equipped to routinely handle 3, 4, 6, and semiconductor industry. We are excited most advanced electron beam 8" wafers, as well as small pieces and 5 about this new capability and look lithography tools in the world. or 6" masks. Stage positioning is forward to an exciting future. The VB6 has replaced the JEOL monitored by a laser interferometer with λ 5DIIU which served our nanofabrication /1024 = 0.6 nm precision. The VB6 is Of note: needs for over 9 years. The JEOL joins also equipped with a height sensor for 100 KeV Field Emission the CNF Leica EBMF10.5 in providing dynamic field size corrections, and 25 MHz Advanced Pattern Generator complementary electron beam dynamic focus / astigmatism corrections. The stage handles 8" wafers lithography capability extending from To support the VB6 and lithography Minimum feature size is under 30 nm above 1 µm to below 0.03 µm.

on batches of larger wafers, CNF has The stage is precise to lambda/1024

○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○○ At right:

“Nanofabrication using a Stencil Mask.” CNF Project # 598-96 User: Mandar M. Deshmukh PI: Dan Ralph

We have developed nm-scale stencil masks to use for direct deposition of nanostructures without employing a resist layer.

Fig. 1a : STEM (Scanning Transmission Electron Microscope) image of a 5-nm-diameter hole in the silicon nitride membrane. Fig.1b: STEM image of a dot fabricated by evaporating metal through a hole similar to one shown in Fig. 1a. Fig. 1c: STEM image of a 15-20 nm wide line shaped orifice etched in a silicon nitride membrane. Fig. 1d: STEM image of two lines made by depositing material through a line shaped orifice.

Cornell Nanofabrication Facility 2 www.cnf.cornell.edu New Faces at the CNF

FROM LEFT TO RIGHT:

Michael Deeb is a native of Ithaca and 1996. Currently, John is working to complete diagnosis of semiconductor materials and went to college here in the area. He is our his M.S. in Engineering Science from LSU optical devices. Since December, Gabor has research equipment and clean room while serving on the CNF technical staff. been responsible for CNF processing technician. John’s position is primarily dedicated to involving electron beam lithography with the device fabrication and processing for the EBMF and LEO-NPGS systems, as well MEMS Exchange. This multi-site foundry scanning electron microscopy. Sandip Tiwari is the Lester B. Knight provides low cost processing to a variety of Director of CNF. Tiwari, who earned his researchers in an effort to expand the doctorate in electrical engineering at Cornell industrialization of MEMS. Shijie Yang, below, received his B.S. in in 1980, is also a Cornell professor of computer science in 1984, and his M.S. in electrical engineering. The new director was computer science in 1987, both from the born in India and received his undergraduate After receiving his Ph.D. in biochemistry Northeast University, P. R. . He has degree at the Indian Institute of Technology, and molecular biology from UCSB, Gregory worked for the Wearnes Technology Co. both Kanpur. He earned his master’s degree in Baxter worked for Syntex Research in Palo in P. R. China and San Jose, CA. He has also electrical and systems engineering at Alto, CA in the department of Cancer and worked at Appalachian State University, Rensselaer Polytechnic Institute, Troy, N.Y., Developmental Biology. His research Compucel Services, Laurel, MD, and before coming to Cornell for his Ph.D. He entailed elucidating the signal transduction UTStarcom, Inc. Iselin, NJ. Here at CNF, joined IBM’s Watson Center as a research mechanisms involved in growth factor Shijie maintains and improves the local area staff member in 1982 and became a manager stimulation of proliferation in small cell lung network to campus-wide area network for exploratory memory and device modeling carcinoma cells. Greg then worked for interface, keeps the CNF phone system in 1989. Molecular Devices Corp., where he was ringing, maintains the DEC cluster system involved with developing a cell-based high- and our desktop computers, all the while throughput screening device for drug supporting CNF staff on hardware/software- Marsha Appleby previously worked for six discovery applications. After leaving related issues and web development. years at Bethel Grove Bible Church. She Molecular Devices, he worked for the went to Florida on a mission for youth, and National Cancer Institute’s Chemoprevention returned to Ithaca to assist at the Ithaca Branch. Greg joined the staff at CNF in June, Pregnancy Center, working in administration 1998. His primary function is to provide and also counseling young women in crisis special attention to the needs of biology users pregnancies. Marsha joined the CNF staff and increase the visibility of CNF within the this past January as receptionist and feels like biology and biomedical communities. she’s fitting right in. (Especially the crisis part!) Gabor Nagy did his undergraduate studies in physics at Cornell, and his graduate and John Williams received his B.S. in Physics Ph.D. work at Clarkson University (also in from Louisiana State University while physics), where he researched the nonlinear working on Al Josephson junctions in 1996. optical response of interfacial reactions for He joined the MEMS field as a research his thesis. Gabor’s postdoctoral research was associate at the Center for Advanced carried out at Columbia University, where he Microstructures and Devices at LSU in late was involved with the processing and

Cornell Nanofabrication Facility 3 www.cnf.cornell.edu User Profile -- Andrea Perez

it would be desirable if neurons could make intimate contact with the surface of the probe while astrocytes remained nearby. Thus, it is crucial to study the response of both astrocytes and neurons to different substrates. Since topography can have a tremendous effect on the attachment and growth of cells, arrays of silicon pillars were fabricated to serve as the substrates for the most recent studies. Results indicate that astrocytes prefer to grow on the tops of pillars rather than smooth silicon and that neurons can send their processes down between pillars if the separation between adjacent pillars is big enough. These observations indicate that topographical modifications such as micron-sized pillars might be useful to regulate the growth of different cells on Pioneering in the Field of the surface of devices such as neural Nano-Biotechnology probes. *** More on Andrea’s research can be Andrea Perez is currently a graduate arrays for the study of cellular found in the Cornell Nanofabrication student in Applied and Engineering electrophysiology. Andrea knew that this Facility 20th Anniversary Edition of the Physics. She came to Cornell in 1997 was the group for her and that the Research Accomplishments, page 23. and has been working in the lab of Harold opportunities presented by the CNF for And Dr. Harold Craighead’s many Craighead since that time. Born in exploring biotechnological applications research projects are also covered in this Flushing, Queens and raised in were innumerable. publication. Montgomery, New York, Andrea One of the projects with which Andrea received her B.S. in Physics from is currently involved is the study of Michigan State University in 1996. Her astroglial and neuronal cell attachment interest in the field of neural prosthetics and growth on micropatterned silicon began in her freshman year in college, surfaces. The body has a way of rejecting long before coming to Cornell. foreign objects and one task in the effort While visiting Cornell as a prospective to overcome such rejection is to study student, Andrea discovered that cellular responses to materials that have Professor Craighead was involved in been chemically modified and/or pioneering the field of nano- topographically patterned. biotechnology. The Craighead group’s In studies involving the insertion of research involved the use of the Cornell neural probes into the brain tissue of rats, Nanofabrication Facility to fabricate it has been determined that astrocytes, a devices like neural probes for the type of cell found in neural tissue, are potential restoration of neural function, responsible for forming a sheath around patterned surfaces to study cell the shafts of probes preventing neurons attachment and growth, and electrode from making electrical contact. Ideally,

Cornell Nanofabrication Facility 4 www.cnf.cornell.edu The 1999 NNUN CNF REU Program The next installment of the National interns represent ten universities from ten students are now free to get to know their Nanofabrication Users Network different states. Electrical Engineering mentors and dive into their research (NNUN) Research Experience for dominates the majors, but Chemical and projects. The scope of expertise they’ll Undergraduates Program is underway! Mechanical Engineering are also gain covers CAD, to photolithography Our twelve interns are training on CNF’s represented, along with Physics. and electron-beam lithography, right excellent nanofabrication equipment, This year’s main challenge seems to through to using the microscopes to see and learning their way around a research be the fact that our usual dormitory and what they have created. And in August, project that will introduce them to a cafeteria are closed for renovations this we’ll fly them all to Stanford, CA, to whole new, small world. summer, so the interns are in a building meet with the rest of the NNUN REUers This summer marks my third year that is new to me, and I’m not really sure for the network-wide REU Convocation. working with this program, and each year where they are eating! Each suite of There, they will all present their findings, brings new challenges and great dorm rooms has a kitchen, but it’s too hear from guest speakers and enjoy the satisfaction. This year is no different -- hot to cook and they’re all in the beauty and warmth of California. It will even though it has barely begun! For cleanroom anyway. However, if this is be a fine ending to great introduction into the first time, we have interns from the most complicated it gets, we are sure nanotechnology. Puerto Rico and Hawaii, so my usual to have a great summer. Melanie-Claire Mallison, NNUN REU Coordinator United States map will not work as a Having attended the three-week graphic representation of where our session of the CNF Nanocourses, the The REU Program is funded by the NSF, students hail from. Even so, our twelve the NNUN sites, and Industry Users.

1999 CNF REU INTERN SCHOOL AFFILIATION FIELD OF STUDY PRINCIPAL INVESTIGATOR PROJECT TITLE Ms. Amber Bullington Cornell University, Ithaca, NY EE Norm Tien Micro-Circuit Breakers Mr. Johnathan Carlson Tennessee Tech University, Cookeville, TN EE Dustin Carr Dynamic Behavior of Large Arrays of Coupled Oscillators Ms. Liang-Yu Chen University of Hawaii/Manoa, Honolulu, HI EE Herc Neves Integration of Millimeter-Wave MEMS Phase Shifters for Phased-Array Antenna Applications Mr. Keith Green University of Arizona, Tucson, AZ ChemEngr Mike Thompson Determining the Multi-Phase Processing Window for Amorphous Silicon Ms. Renee Munoz-Verdejo University of Puerto Rico, Carolina, PR EE Jack Blakely Imprinting of Periodic Nano-Structures on Glass and Measurement of Viscous Flow Ms. Vanessa Ortiz Pagan University of Puerto Rico, Mayaguez, PR MechEngr Melissa Hines Chemical Control of Surface Morphology Mr. S. Kumar Ravula Duke University, Durham, NC EE Sandip Tiwari & Lynn Rathbun Damascene Tungsten and Poly-Silicon Structures Mr. Adam Siegel University of Michigan, Ann Arbor, MI EE Harold Craighead Drug Delivery with Microfluidic Channels for Microelectrode Studies of Cells Ms. Ruth Stritsman Cornell University, Ithaca, NY ChemEngr Chris Ober Lithographically Defined “Smart” Surfaces Mr. Ethan Swint Baylor University, Waco, TX Engr Garry Bordonaro & Mike Skvarla Characterization of Photolithographic Lift-Off Process Mr. John Vrakas Illinois Wesleyan Univ, Bloomington, IL Physics Sandip Tiwari & Richard Tiberio Transistor Gates and Short Gate Lengths Mr. Daron Westly University of South Florida, Tampa, FL EE Lynn Rathbun & David Spencer Auger Analysis

The background photo is part of the research from 1998’s CNF REU intern Cadet John Jochum.

Nanometer is published periodically by the Cornell Nanofabrication Facility at Cornell University. Comments and future article ideas can be sent to: Nanometer, c/o Knight Laboratory, CNF - CU, Ithaca, New York 14853-5403. Phone (607) 255-2329, Fax (607) 255-8601, e-mail “[email protected]” Your comments are welcome!

Cornell Nanofabrication Facility 5 www.cnf.cornell.edu CNF Publications “Femtosecond Ultraviolet Autocorrelation “Observation of “Phonon-Assisted” Shot Noise in Measurements Based on Two-Phonon a Phase-Coherent Conductor,” R.J. Schoelkopf, “Applications of Bicrystal Geometry,” S.Y. Kim, Conductivity in Fused Silica,” A.Streltsov, J. A.A.Kozhevnikov, D.E. Prober, and M. Rooks, R. DiSabella, L. Hartsuyker, and S.L. Sass, Senior Ranka, and A.Gaeta, Optics Letters 23/10, 1998 Phys Rev Let 80/11, p.2437, 1998 Thesis, 1998 “Growth and Properties of YSr2Cu2.75Mo0.25O7-delta,” “Optimal Oxygenation of YSr2Cu2.75Mo0.25Oz Thin “Ballistic Electron Emission Microscopy and J.P. Sydow, D. Chamberlain, F. Ronnig, Y.Xu, and Films,” J.P. Sydow, D. Chamberlain, F. Ronnig, Metallic Band Structure Effects,” M.K. Weilmeier, R.A. Buhrman, Unknown, 1998 Y.Xu, and R.A. Buhrman, Physica C 299, 1998 Ph.D. Thesis, 1998 “Lithography with a Mask of Block Copolymer “Phonon Scattering in Thin Silica Films Below “Ballistic Electron Emission Microscopy Studies Microstructures,” C. Harrison, M. Park, R.A. 1K,” P. Vu, J. Olson, and R. Pohl, unknown, 1998 of Lateral Variation in Schottky Barrier Heights,” Register, D.H. Adamson, and P.M. Chaikin, J Vac “Point Contact Spectroscopy with Nanofabricated F.D. Pardo, Ph.D. Thesis, Cornell Univ., 1998 Sci B 16/2, p. 544, 1998 Junctions and Energy-Dependent Transport in “Basal Plane Oxygen Vapor Pressure of Co-Doped “Low Temperature Vibrational Properties of Heterogeneous Ferromagnetic Systems,”

YBa2Cu3O7-delta,” J.P. Sydow, B.H. Moeckly, and Amorphous Silicon,” R.S. Crandall, E. Iwaniczko, R.N.Louie, Ph.D. Thesis, 1998 R.A. Buhrman, Appl Phys Let 72/26, p.3512, 1998 A.H.Mahan, X. Liu, and R.O. Pohl, unknown, 1998 “Semiconducting YBaCuO as Infrared Detecting “Boron Diffusion in Silicon Oxides and “Low-Energy Excitations in Amorphous Films of Bolometers,” J. Gray, Z. Celik-Butler, D.P. Butler, Oxynitrides,” K.A. Ellis and R.A. Buhrman, Silicon and Germanium,” Z. Liu and R.O. Pohl, and M. Almasri, Presentation at PSIE Infrared J Electrochem Soc. 145/6, p.2068, 1998 unknown, 1998 Technology and Its Applications XXIV, July 19- “Depth Profiling Block Copolymer Micro- “Low-Energy Excitations in Disordered Crystalline 24, 1998, San Diego, CA, 1998 domains,” C. Harrison, M. Park, R.A. Register, and Amorphous Silicon,” X. Liu, P.D. Vu, and R.O. “Spectrum of Thermal Fluctuation Noise in D.H. Adamson, N. Yao, and P. Chaikin, Pohl, unknown, 1998 Diffusion & Phonon Cooled Hot-Electron Mixers,” Macromolecules 31/7, p.2185, 1998 “Magnetoresistance due to Domain Walls in P.Burke, R.Schoelkopf, D.Prober, A. Skalare, “Dielectric and Pyroelectric Response in Nb/ Micron Scale Fe Wires with Stripe Domains,” A.D. B.Karasik, M.Gaidis, W.McGrath, B. Bumble, and semiconducting Y-Ba-Cu-O/Nb Structures,” J.E. Kent, U. Ruediger, J. Yu, S. Zhang, P.M. Levy, and H.LeDuc, Appl Phys Let 72/12, p.1516, 1998 Gray, Z. Celik-Butler, D.P. Butler, and A.Jahanzeb, S.S.P. Parkin, IEEE Trans on Magnetics, 1998 “Spin-Polarized Transport in Cobalt/Silicon Ferroelectrics 209, p. 517, 1998 “Micromachined YBaCuO Capacitor Structures As Heterostructures,” T. Ladd, Senior Thesis, 1998 “Etched-Angled-Facet Superluninescent Diodes Uncooled Pyroelectric Infrared Detectors,” D.P. “The Growth and Properties of Silicon Oxide and for Improved Mode Locking,” S.F. Pesarcik, Ph.D. Butler, Z. Celik-Butler, A. Jahanzeb, J.E. Gray, and Oxynitride Gate Dielectrics,” K. Ellis, Ph.D. Thesis, Cornell Univ., 1998 C.M. Travers, J Appl Phys 84/3, p.1680, 1998 Thesis, 1998 “Extracting Site-Specific Reaction Rates from “Negative Domain Wall Contribution to the “The Radio-Frequency Single-Electron Transistor Steady State Surface Morphologies: Kinetic Monte Resistivity of Microfabricated Fe Wires,” U. RF-SET: A Fast and Ultrasensitive Electrometer,”

Carlo Simulations of Aqueous Si111 Etching,” J. Ruediger, J. Yu, S. Zhang, S. Parkin, and A. Kent, R. Schoelkopf, P. Wahlgren, A. Kozhevnikov, Flidr, Y.-C. Huang, T. Newton, and M. Hines, J PRL, 1998 P. Delsing, and D. Prober, Science Reprint Series Chem Phys 108/13, p.5542, 1998 280, p.1238, 1998

Cornell Nanofabrication Facility