A Platform-Based Approach to Communication Synthesis for Embedded Systems

Total Page:16

File Type:pdf, Size:1020Kb

A Platform-Based Approach to Communication Synthesis for Embedded Systems A Platform-Based Approach to Communication Synthesis for Embedded Systems Alessandro Pinto Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2008-54 http://www.eecs.berkeley.edu/Pubs/TechRpts/2008/EECS-2008-54.html May 19, 2008 Copyright © 2008, by the author(s). All rights reserved. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. To copy otherwise, to republish, to post on servers or to redistribute to lists, requires prior specific permission. A Platform-Based Approach to Communication Synthesis for Embedded Systems by Alessandro Pinto Laurea (University of Rome “La Sapienza”) 1999 M.S. (University of California at Berkeley) 2003 A dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy in Engineering – Electrical Engineering and Computer Sciences in the GRADUATE DIVISION of the UNIVERSITY OF CALIFORNIA, BERKELEY Committee in charge: Professor Alberto L. Sangiovanni Vincentelli, Chair Professor Robert K. Brayton Professor Zuo-Jun Shen Spring 2008 The dissertation of Alessandro Pinto is approved: Chair Date Date Date University of California, Berkeley Spring 2008 A Platform-Based Approach to Communication Synthesis for Embedded Systems Copyright 2008 by Alessandro Pinto 1 Abstract A Platform-Based Approach to Communication Synthesis for Embedded Systems by Alessandro Pinto Doctor of Philosophy in Engineering – Electrical Engineering and Computer Sciences University of California, Berkeley Professor Alberto L. Sangiovanni Vincentelli, Chair As the complexity of electronic systems increases, designers adopt a re-use methodology where new products are assembled out of components. This is a common trend in many application domains. In consumer electronics, Systems-on-Chips (SoCs) integrate many different cores to provide tens of different functions. In automotive, modern cars rely on a distributed, networked embedded system that comprises hundreds of processors to provide comfort, fuel efficiency and entertainment. In large scale systems, such as avionics and building automation, networked distributed controllers are used to provide comfort, safety and energy efficiency. Since the system behavior depends not only on the components, but also on the way in which they interact, architecting their interconnection is a critical step in the overall design flow. Be- ing subject to tight performance and cost constraints, the design of the interconnection architecture needs to be tailored to the specific system application. This task is too complex to be done by hand, considering also the heterogeneous nature of these systems. Therefore, there is a need for com- 2 munication synthesis tools that, starting from a characterization of the communication constraints among the components and the library of available communication building blocks, automatically derive an optimal interconnection architecture. In this thesis I argue that the essence of the communication synthesis problem is invariant to the application domain. I introduce a formal framework to capture the communication constraints, the library of communication building blocks, and the rules to compose them. Using this framework, I formulate a general communication synthesis problem. I show the generality of the approach by formulating and solving the problem in two different domains: system-on-chips and building automation systems. Professor Alberto L. Sangiovanni Vincentelli Dissertation Committee Chair i To my mother who raised me and let me go to follow my dreams. ii Contents List of Figures iv List of Tables vi I Introduction1 1 Trends in Electronics2 1.1 System Complexity..................................4 1.2 Time-To-Market and Productivity..........................9 1.3 Re-Use........................................ 10 2 Design Methodologies 14 2.1 System-Level Design................................. 16 2.2 Platform-Based Design................................ 20 2.2.1 Formalizing Platform-Based Design..................... 22 2.2.2 Example................................... 31 3 Communication Synthesis 38 3.1 Flows......................................... 39 3.1.1 Maximum Flow................................ 40 3.1.2 Minimum-Cost Flow............................. 41 3.1.3 Minimum-Cost Flow with End-To-End Constraints............. 43 3.2 Optimal Network Design............................... 44 3.3 Concluding Remarks on Communication Synthesis................. 46 II Theoretical Background 48 4 Communication Structures 49 4.1 Quantities....................................... 50 4.2 Communication Structures.............................. 52 iii 5 Building Complex Communication Architectures from Components 59 5.1 Composition...................................... 60 5.2 Platforms....................................... 63 6 Communication Synthesis for Networked Systems 68 6.1 Relations Among Communication Structures.................... 69 6.2 A General Optimization Problem........................... 76 III Applications 80 7 On-Chip Communications 81 7.1 Design Flow...................................... 84 7.2 Specification..................................... 92 7.3 Library and Composition Rules............................ 93 7.4 Optimization Algorithm................................ 97 7.5 Results......................................... 104 7.5.1 Impact of the Application Characteristics.................. 105 7.5.2 Effect of Technology Scaling......................... 107 7.5.3 Quality of the Solution............................ 109 8 Building Automation Networks 115 8.1 Specification..................................... 118 8.2 Capturing the Building Geometry.......................... 121 8.3 Wired Networks.................................... 125 8.3.1 Library of Communication Components................... 127 8.3.2 Communication Platform and Implementation................ 133 8.3.3 Optimization Algorithm........................... 134 8.3.4 Results.................................... 141 8.4 Wireless Networks.................................. 146 8.4.1 Library of Communication Components: Modeling ZigBee Networks... 147 8.4.2 Formulation of the Optimization Problem.................. 155 8.4.3 Results.................................... 158 9 Conclusions and Future Work 161 Bibliography 166 iv List of Figures 1.1 System complexity: Number or transistors in Intel microprocessors as a function of time, also known as Moore’s law (Source: Intel), and number of lines of code for avionic products [111].................................5 1.2 Hardware design productivity expressed in number of gates per designer per year. 11 2.1 System-level design flows presented in early papers on this topic........... 18 2.2 Pictorial representation of a platform-based design flow................ 21 2.3 Architecture and Function Platforms......................... 29 2.4 Mapping of function and architecture......................... 30 2.5 Platform-based design flow for communication synthesis.............. 37 4.1 Hasse diagrams relative to the domains of three quantities: a) bandwidth, b) latency, c) the set containing both bandwidth and latency................... 51 4.2 Hasse diagram of the partial order for subset of communication structures... 55 ≤(b;l) 4.3 The system-level specification of a simplified Set-Top Box. Each core in the spec- ification is annotated with and area in mm2 and each arrow is annotated with a bandwidth constraint in MB=s............................. 56 5.1 Example of parallel composition of networks: the set-top box is expanded by adding a video channel and an extra off-chip memory bank.................. 61 5.2 Example of a library L for on-chip communication and two alternative implemen- tations for the set-top box example based on composing elements instanced from L ............................................ 66 6.1 Example of communication implementation for the set-top box........... 71 6.2 Summary of the procedure to define problem PR2................... 79 7.1 COSI-OCC open software infrastructure........................ 85 7.2 Specification of the set-top-box example as given to COSI-OCC(a), and, Chip floor- plan after elaboration from PARQUET(b)....................... 93 7.3 Modeling the NoC components............................ 95 7.4 Slicing method to find the available area for NoC implementation.......... 97 7.5 High-level description of the heuristic algorithm................... 99 v 7.6 Procedure for adding a new router to the NoC implementation. For an expression exp, we denote by exp(x y) the same expression where variable x has been replaced n by y........................................... 101 7.7 Properties of the synthesized NoCs for the MWD, MPEG4, VOPD, dVOPD and tVOPD applications. Power is expressed in Watts, area in mm2 and latency in ns= f lit. We used the following notation: R for routers, W for wires, B for sequential buffers. Latency is reported on a logarithmic scale.................. 108 7.8 Properties of the synthesized NoCs for the VProc applications............ 110 8.1 A distributed embedded control system: (a) controller specification and (b) net- worked execution platform............................... 116 8.2 Example of gateway zone associated to a building floor................ 121 8.3 Representation of a
Recommended publications
  • Prostep Ivip CPO Statement Template
    CPO Statement of Mentor Graphics For Questa SIM Date: 17 June, 2015 CPO Statement of Mentor Graphics Following the prerequisites of ProSTEP iViP’s Code of PLM Openness (CPO) IT vendors shall determine and provide a list of their relevant products and the degree of fulfillment as a “CPO Statement” (cf. CPO Chapter 2.8). This CPO Statement refers to: Product Name Questa SIM Product Version Version 10 Contact Ellie Burns [email protected] This CPO Statement was created and published by Mentor Graphics in form of a self-assessment with regard to the CPO. Publication Date of this CPO Statement: 17 June 2015 Content 1 Executive Summary ______________________________________________________________________________ 2 2 Details of Self-Assessment ________________________________________________________________________ 3 2.1 CPO Chapter 2.1: Interoperability ________________________________________________________________ 3 2.2 CPO Chapter 2.2: Infrastructure _________________________________________________________________ 4 2.3 CPO Chapter 2.5: Standards ____________________________________________________________________ 4 2.4 CPO Chapter 2.6: Architecture __________________________________________________________________ 5 2.5 CPO Chapter 2.7: Partnership ___________________________________________________________________ 6 2.5.1 Data Generated by Users ___________________________________________________________________ 6 2.5.2 Partnership Models _______________________________________________________________________ 6 2.5.3 Support of
    [Show full text]
  • Powerpoint Template
    Accellera Overview February 27, 2017 Lu Dai | Accellera Chairman Welcome Agenda . About Accellera . Current news . Technical activities . IEEE collaboration 2 © 2017 Accellera Systems Initiative, Inc. February 2017 Accellera Systems Initiative Our Mission To provide a platform in which the electronics industry can collaborate to innovate and deliver global standards that improve design and verification productivity for electronics products. 3 © 2017 Accellera Systems Initiative, Inc. February 2017 Broad Industry Support Corporate Members 4 © 2017 Accellera Systems Initiative, Inc. February 2017 Broad Industry Support Associate Members 5 © 2017 Accellera Systems Initiative, Inc. February 2017 Global Presence SystemC Evolution Day DVCon Europe DVCon U.S. SystemC Japan Design Automation Conference DVCon China Verification & ESL Forum DVCon India 6 © 2017 Accellera Systems Initiative, Inc. February 2017 Agenda . About Accellera . Current news . Technical activities . IEEE collaboration 7 © 2017 Accellera Systems Initiative, Inc. February 2017 Accellera News . Standards - IEEE Approves UVM 1.2 as IEEE 1800.2-2017 - Accellera relicenses SystemC reference implementation under Apache 2.0 . Outreach - First DVCon China to be held April 19, 2017 - Get IEEE free standards program extended 10 years/10 standards . Awards - Thomas Alsop receives 2017 Technical Excellence Award for his leadership of the UVM Working Group - Shrenik Mehta receives 2016 Accellera Leadership Award for his role as Accellera chair from 2005-2010 8 © 2017 Accellera Systems Initiative, Inc. February 2017 DVCon – Global Presence 29th Annual DVCon U.S. 4th Annual DVCon Europe www.dvcon-us.org 4th Annual DVCon India www.dvcon-europe.org 1st DVCon China www.dvcon-india.org www.dvcon-china.org 9 © 2017 Accellera Systems Initiative, Inc.
    [Show full text]
  • Co-Simulation Between Cλash and Traditional Hdls
    MASTER THESIS CO-SIMULATION BETWEEN CλASH AND TRADITIONAL HDLS Author: John Verheij Faculty of Electrical Engineering, Mathematics and Computer Science (EEMCS) Computer Architecture for Embedded Systems (CAES) Exam committee: Dr. Ir. C.P.R. Baaij Dr. Ir. J. Kuper Dr. Ir. J.F. Broenink Ir. E. Molenkamp August 19, 2016 Abstract CλaSH is a functional hardware description language (HDL) developed at the CAES group of the University of Twente. CλaSH borrows both the syntax and semantics from the general-purpose functional programming language Haskell, meaning that circuit de- signers can define their circuits with regular Haskell syntax. CλaSH contains a compiler for compiling circuits to traditional hardware description languages, like VHDL, Verilog, and SystemVerilog. Currently, compiling to traditional HDLs is one-way, meaning that CλaSH has no simulation options with the traditional HDLs. Co-simulation could be used to simulate designs which are defined in multiple lan- guages. With co-simulation it should be possible to use CλaSH as a verification language (test-bench) for traditional HDLs. Furthermore, circuits defined in traditional HDLs, can be used and simulated within CλaSH. In this thesis, research is done on the co-simulation of CλaSH and traditional HDLs. Traditional hardware description languages are standardized and include an interface to communicate with foreign languages. This interface can be used to include foreign func- tions, or to make verification and co-simulation possible. Because CλaSH also has possibilities to communicate with foreign languages, through Haskell foreign function interface (FFI), it is possible to set up co-simulation. The Verilog Procedural Interface (VPI), as defined in the IEEE 1364 standard, is used to set-up the communication and to control a Verilog simulator.
    [Show full text]
  • Development of Systemc Modules from HDL for System-On-Chip Applications
    University of Tennessee, Knoxville TRACE: Tennessee Research and Creative Exchange Masters Theses Graduate School 8-2004 Development of SystemC Modules from HDL for System-on-Chip Applications Siddhartha Devalapalli University of Tennessee - Knoxville Follow this and additional works at: https://trace.tennessee.edu/utk_gradthes Part of the Electrical and Computer Engineering Commons Recommended Citation Devalapalli, Siddhartha, "Development of SystemC Modules from HDL for System-on-Chip Applications. " Master's Thesis, University of Tennessee, 2004. https://trace.tennessee.edu/utk_gradthes/2119 This Thesis is brought to you for free and open access by the Graduate School at TRACE: Tennessee Research and Creative Exchange. It has been accepted for inclusion in Masters Theses by an authorized administrator of TRACE: Tennessee Research and Creative Exchange. For more information, please contact [email protected]. To the Graduate Council: I am submitting herewith a thesis written by Siddhartha Devalapalli entitled "Development of SystemC Modules from HDL for System-on-Chip Applications." I have examined the final electronic copy of this thesis for form and content and recommend that it be accepted in partial fulfillment of the equirr ements for the degree of Master of Science, with a major in Electrical Engineering. Dr. Donald W. Bouldin, Major Professor We have read this thesis and recommend its acceptance: Dr. Gregory D. Peterson, Dr. Chandra Tan Accepted for the Council: Carolyn R. Hodges Vice Provost and Dean of the Graduate School (Original signatures are on file with official studentecor r ds.) To the Graduate Council: I am submitting herewith a thesis written by Siddhartha Devalapalli entitled "Development of SystemC Modules from HDL for System-on-Chip Applications".
    [Show full text]
  • UNIVERSITY of CALIFORNIA RIVERSIDE Emulation of Systemc
    UNIVERSITY OF CALIFORNIA RIVERSIDE Emulation of SystemC Applications for Portable FPGA Binaries A Dissertation submitted in partial satisfaction of the requirements for the degree of Doctor of Philosophy in Computer Science by Scott Spencer Sirowy June 2010 Dissertation Committee: Dr. Frank Vahid, Chairperson Dr. Tony Givargis Dr. Sheldon X.-D. Tan Copyright by Scott Spencer Sirowy 2010 The Dissertation of Scott Spencer Sirowy is approved: Committee Chairperson University of California, Riverside ABSTRACT OF THE DISSERTATION Emulation of SystemC Applications for Portable FPGA Binaries by Scott Spencer Sirowy Doctor of Philosophy, Graduate Program in Computer Science University of California, Riverside, June 2010 Dr. Frank Vahid, Chairperson As FPGAs become more common in mainstream general-purpose computing platforms, capturing and distributing high-performance implementations of applications on FPGAs will become increasingly important. Even in the presence of C-based synthesis tools for FPGAs, designers continue to implement applications as circuits, due in large part to allow for capture of clever spatial, circuit-level implementation features leading to superior performance and efficiency. We demonstrate the feasibility of a spatial form of FPGA application capture that offers portability advantages for FPGA applications unseen with current FPGA binary formats. We demonstrate the portability of such a distribution by developing a fast on-chip emulation framework that performs transparent optimizations, allowing spatially-captured FPGA applications to immediately run on FPGA platforms without costly and hard-to-use synthesis/mapping tool flows, and sometimes faster than PC-based execution. We develop several dynamic and transparent optimization techniques, including just-in-time compilation , bytecode acceleration , and just-in-time synthesis that take advantage of a platform’s available resources, resulting in iv orders of magnitude performance improvement over normal emulation techniques and PC-based execution.
    [Show full text]
  • Hardware Description Languages Compared: Verilog and Systemc
    Hardware Description Languages Compared: Verilog and SystemC Gianfranco Bonanome Columbia University Department of Computer Science New York, NY Abstract This library encompasses all of the necessary components required to transform C++ into a As the complexity of modern digital systems hardware description language. Such additions increases, engineers are now more than ever include constructs for concurrency, time notion, integrating component modeling by means of communication, reactivity and hardware data hardware description languages (HDLs) in the types. design process. The recent addition of SystemC to As described by Edwards [1], VLSI an already competitive arena of HDLs dominated verification involves an initial simulation done in by Verilog and VHDL, calls for a direct C or C++, usually for proof of concept purposes, comparison to expose potential advantages and followed by translation into an HDL, simulation of flaws of this newcomer. This paper presents such the model, applying appropriate corrections, differences and similarities, specifically between hardware synthesization and further iterative Verilog and SystemC, in effort to better categorize refinement. SystemC is able to shorten this the scopes of the two languages. Results are based process by combining the first two steps. on simulation conducted in both languages, for a Consequently, this also decreases time to market model with equal specifications. for a manufacturer. Generally a comparison between two computer languages is based on the number of Introduction lines of code and execution time required to achieve a specific task, using the two languages. A Continuous advances in circuit fabrication number of additional parameters can be observed, technology have augmented chip density, such as features, existence or absence of constructs consequently increasing device complexity.
    [Show full text]
  • Real-Time Operating System Modelling and Simulation Using Systemc
    Real-Time Operating System Modelling and Simulation Using SystemC Ke Yu Submitted for the degree of Doctor of Philosophy Department of Computer Science June 2010 Abstract Increasing system complexity and stringent time-to-market pressure bring chal- lenges to the design productivity of real-time embedded systems. Various System- Level Design (SLD), System-Level Design Languages (SLDL) and Transaction- Level Modelling (TLM) approaches have been proposed as enabling tools for real-time embedded system specification, simulation, implementation and verifi- cation. SLDL-based Real-Time Operating System (RTOS) modelling and simula- tion are key methods to understand dynamic scheduling and timing issues in real- time software behavioural simulation during SLD. However, current SLDL-based RTOS simulation approaches do not support real-time software simulation ade- quately in terms of both functionality and accuracy, e.g., simplistic RTOS func- tionality or annotation-dependent software time advance. This thesis is concerned with SystemC-based behavioural modelling and simu- lation of real-time embedded software, focusing upon RTOSs. The RTOS-centric simulation approach can support flexible, fast and accurate real-time software tim- ing and functional simulation. They can help software designers to undertake real- time software prototyping at early design phases. The contributions in this thesis are fourfold. Firstly, we propose a mixed timing real-time software modelling and simula- tion approach with various timing related techniques, which are suitable for early software modelling and simulation. We show that this approach not only avoids the accuracy drawback in some existing methods but also maintains a high simu- lation performance. Secondly, we propose a Live CPU Model to assist software behavioural timing modelling and simulation.
    [Show full text]
  • Integrating Systemc Models with Verilog Using the Systemverilog
    Integrating SystemC Models with Verilog and SystemVerilog Models Using the SystemVerilog Direct Programming Interface Stuart Sutherland Sutherland HDL, Inc. [email protected] ABSTRACT The Verilog Programming Language Interface (PLI) provides a mechanism for Verilog simulators to invoke C programs. One of the primary applications of the Verilog PLI is to integrate C- language and SystemC models into Verilog simulations. But, Verilog's PLI is a complex interface that can be daunting to learn, and often slows down simulation performance. SystemVerilog includes a new generation of a Verilog to C interface, called the “Direct Programming Interface” or “DPI”. The DPI replaces the complex Verilog PLI with a simple and straight forward import/ export methodology. • How does the SystemVerilog DPI work, and is it really easier to use than the Verilog PLI? • Can the SystemVerilog DPI replace the Verilog PLI for integrating C and SystemC models with Verilog models? • Is the SystemVerilog DPI more efficient (for faster simulations) that the Verilog PLI? • Is there anything the SystemVerilog DPI cannot do that the Verilog PLI can? This paper addresses all of these questions. The paper shows that if specific guidelines are followed, the SystemVerilog DPI does indeed simplify integrating SystemC models with Verilog models. Table of Contents 1.0 What is SystemVerilog? ........................................................................................................2 2.0 Why integrate SystemC models with Verilog models? .........................................................2
    [Show full text]
  • A Mixed Language Fault Simulation of VHDL and Systemc
    A Mixed Language Fault Simulation of VHDL and SystemC Silvio Misera, Heinrich Theodor Vierhaus, Lars Breitenfeld, André Sieber Brandenburg University of Technology Cottbus, Computer Engineering Department <sm, htv, lars.breitenfeld, [email protected]> Abstract instead, which typically have only a limited capability to handle effective and realistic fault models [7, 10]. Fault simulation technology is essential key not This is the reason why we implemented FIT [1] as a only to the validation of test patterns for ICs and SoCs, hierarchical fault simulation environment, which uses a but also to the analysis of system behavior under fault mixture of structural VHDL model at the gate level transient and intermittent faults. For this purpose, we and C++-models for large-size macros. Furthermore, developed a hierarchical fault simulation environment FIT supports non-trivial fault models such as single- that uses structural VHDL models at the gate level, but event upsets (SEUs). is able to model embedded blocks in C++. With While C++ is relatively effective in some cases, it SystemC becoming a de-facto standard in high-level cannot handle typical properties of hardware such as modeling, a simulation approach had to be developed concurrency. SystemC [3] has recently emerged as a which makes effective use of SystemC technology by C++-compatible extension, which is able to model encapsulating such “threads” into the fault simulation such effects. For a real system-level fault simulation, environment. Furthermore, it can be shown that concurrency becomes a must. Therefore the SystemC allows the modeling of complex transistor- compatibility of SystemC concepts and structures with level structures, for which equivalent gate-level the basic approach followed with FIT had to be representations are not adequate.
    [Show full text]
  • Parallel Systemc Simulation for Electronic System Level Design
    Parallel SystemC Simulation for Electronic System Level Design Von der Fakultät für Elektrotechnik und Informationstechnik der Rheinisch–Westfälischen Technischen Hochschule Aachen zur Erlangung des akademischen Grades eines Doktors der Ingenieurwissenschaften genehmigte Dissertation vorgelegt von Diplom–Ingenieur Jan Henrik Weinstock aus Göttingen Berichter: Universitätsprofessor Dr. rer. nat. Rainer Leupers Universitätsprofessor Dr.-Ing. Diana Göhringer Tag der mündlichen Prüfung: 19.06.2018 Diese Dissertation ist auf den Internetseiten der Hochschulbibliothek online verfügbar. Abstract Over the past decade, Virtual Platforms (VPs) have established themselves as essential tools for embedded system design. Their application fields range from rapid proto- typing over design space exploration to early software development. This makes VPs a core enabler for concurrent HW/SW design – an indispensable design approach for meeting today’s aggressive marketing schedules. VPs are essentially a simulation of a complete microprocessor system, detailed enough to run unmodified target binary code. During simulation, VPs provide non-intrusive debugging access as well as re- porting on non-functional system parameters, such as execution timing and estimated power and energy consumption. To accelerate the construction of a VP for new systems, developers typically rely on pre-existing simulation environments. SystemC is a popular example for this and has become the de-facto reference for VP design since it became an official IEEE stan- dard in 2005. Since then, however, SystemC has failed to keep pace with its user’s demands for high simulation speed, especially when embedded multi-core systems are concerned. Because SystemC only utilizes a single processor of the host computer, the underlying sequential discrete event simulation algorithm becomes a performance bottleneck when simulating multiple virtual processors.
    [Show full text]
  • An Extension to Systemc-A to Support Mixed-Technology Systems with Distributed Components
    An extension to SystemC-A to support mixed-technology systems with distributed components Chenxu Zhao and Tom J. Kazmierski School of Electronics and Computer Science University of Southampton, UK Email: cz05r,[email protected] Abstract— This contribution proposes syntax extensions to VHDL-AMSP) has been presented [10]. Pending the develop- SystemC-A that support mixed-technology system modelling ment of a new standard, a preprocessor has been developed to where components might exhibit distributed behaviour modelled convert VHDL-AMSP into the existing VHDL-AMS 1076.1 by partial differential equations. The important need for such extensions arises from the well known modelling difficulties in standard automatically which can be simulated using currently hardware description languages where complex electronics in a available simulators. In this paper, we propose the first full mixed-technology system interfaces with distributed components implementation of the PDE extension to SystemC-A where from different physical domains, e.g. mechanical, magnetic or no preprocessor is required. thermal. A digital MEMS accelerometer with distributed me- The proposed extension has particular advantages in mixed chanical sensing element is used as a case study to illustrate modelling capabilities offered by the proposed extended syntax physical technology systems that exhibit distributed physical of SystemC-A. effects. For example, electromechanical Sigma-Delta MEMS sensor designs, e.g. accelerometers and gyroscopes, which I. INTRODUCTION are based on incorporation of mechanical sensing elements into Σ∆ modulator control loops, have attracted great re- SystemC-A [1] is a superset of SystemC intended to extend search interest [12]. The mechanical sensing element, which is the modelling capabilities of SystemC [2] to the analogue usually modeled by the lumped mass-spring-damper model(a domain.
    [Show full text]
  • High-Speed Data Acquisition and Optimal Filtering Based on Programmable Logic for Single-Photoelectron (SPE) Measurement Setup
    High-speed data acquisition and optimal filtering based on programmable logic for single-photoelectron (SPE) measurement setup Experiment #7 Herman P. Lima Jr (CBPF), Rafael Nobrega (UFJF) [email protected], [email protected] Challenge library ieee; use ieee.std_logic_1164.all; entity logica is port (A,B,C : in std_logic; D,E,F : in std_logic; SAIDA : out std_logic); end logica; architecture v_1 of logica is begin SAIDA <= (A and B) or (C and D) or (E and F); end v_1; Herman Lima Jr References • Fundamentals of Digital Logic with VHDL Design, Stephen Brown, Zvonko Vranesic, McGraw-Hill, 2000. • The Designer’s Guide to VHDL, Peter Ashenden, 2nd Edition, Morgan Kaufmann, 2002. • VHDL Coding Styles and Methodologies, Ben Cohen, 2nd Edition, Kluwer Academic Publishers, 1999. • Digital Systems Design with VHDL and Synthesis: An Integrated Approach, K. C. Chang, Wiley-IEEE Computer Society Press, 1999. • Application-Specific Integrated Circuits, Michael Smith, Addison-Wesley, 1997. • www.altera.com (datasheets, application notes, reference designs) • www.xilinx.com (datasheets, application notes, reference designs) • www.doulos.com/knowhow/vhdl_designers_guide (The Designer’s Guide to VHDL) • www.acc-eda.com/vhdlref/index.html (VHDL Language Guide) • www.vhdl.org Herman Lima Jr Background required Digital Electronics: logic gates flip-flops multiplexers comparators counters ... Herman Lima Jr Agenda Digital electronics: evolution, current technologies Programmable Logic Introduction to VHDL (for synthesis) Herman Lima Jr Digital Electronics:
    [Show full text]