Accellera Overview February 27, 2017

Lu Dai | Chairman Welcome

Agenda

. About Accellera . Current news . Technical activities . IEEE collaboration

2 © 2017 Accellera Systems Initiative, Inc. February 2017 Accellera Systems Initiative

Our Mission

To provide a platform in which the electronics industry can collaborate to innovate and deliver global standards that improve design and verification productivity for electronics products.

3 © 2017 Accellera Systems Initiative, Inc. February 2017 Broad Industry Support

Corporate Members

4 © 2017 Accellera Systems Initiative, Inc. February 2017 Broad Industry Support Associate Members

5 © 2017 Accellera Systems Initiative, Inc. February 2017 Global Presence

SystemC Evolution Day DVCon Europe DVCon U.S. SystemC Japan Design Automation Conference DVCon China Verification & ESL Forum DVCon India

6 © 2017 Accellera Systems Initiative, Inc. February 2017 Agenda

. About Accellera . Current news . Technical activities . IEEE collaboration

7 © 2017 Accellera Systems Initiative, Inc. February 2017 Accellera News

. Standards - IEEE Approves UVM 1.2 as IEEE 1800.2-2017 - Accellera relicenses SystemC reference implementation under Apache 2.0 . Outreach - First DVCon China to be held April 19, 2017 - Get IEEE free standards program extended 10 years/10 standards . Awards - Thomas Alsop receives 2017 Technical Excellence Award for his leadership of the UVM Working Group - Shrenik Mehta receives 2016 Accellera Leadership Award for his role as Accellera chair from 2005-2010

8 © 2017 Accellera Systems Initiative, Inc. February 2017 DVCon – Global Presence 29th Annual DVCon U.S.

4th Annual DVCon Europe

www.dvcon-us.org

4th Annual DVCon India www.dvcon-europe.org

1st DVCon China

www.dvcon-india.org

www.dvcon-china.org

9 © 2017 Accellera Systems Initiative, Inc. February 2017 Agenda

. About Accellera . Current news . Technical activities . IEEE collaboration

10 © 2017 Accellera Systems Initiative, Inc. February 2017 Accellera Systems Initiative Board of Directors

Promotions Committee Technical Committee Administration

SystemVerilog- SystemC Interface OVL IP-XACT SystemC AMS AMS Language

Schema WG SC/TLM Evolution SystemC Multi Language IP Tagging UVM Synthesis SC Datatypes Registers OCP SystemRDL UCIS SystemC TLM SystemC CCI Extensions SystemC Portable User Group Stimulus Verification Supported IEEE Working Groups Compliance

1076 1801 1685 VHDL UPF IP-XACT

1800 1666 SystemVerilog SystemC

1800.2 1666.1 UVM SystemC AMS 11 © 2017 Accellera Systems Initiative, Inc. February 2017 Ongoing Technical Activities Current Standards and Activities • Open Verification Library (OVL) 2.8.1 • -AMS (V-AMS) 2.4 • Standard Co-Emulation Modeling Interface (SCE-MI) 2.3 • Unified Coverage Interoperability Standard (UCIS) 1.0 • IP-XACT - Update of IEEE 1685 Issues and Vendor Extensions • Intellectual Property (IP) Tagging 1.0 • Multi-Language (ongoing) • Portable Stimulus (ongoing) • SystemVerilog-AMS (ongoing) • SystemC Synthesis 1.4.7 • SystemC Analog Mixed-Signal (AMS) 2.0 • SystemC LRM and Examples 2.3.1 (includes TLM 2.0) • SystemRDL 1.0 • Open Source Companions: - UVM Reference Implementation 1.2 - SystemC Proof of Concept Library 2.3.1 - SystemC Verification Library (SCV) 2.0 - UVM-SystemC 1.0-alpha version

12 © 2017 Accellera Systems Initiative, Inc. Agenda

. About Accellera . Current news . Technical activities . IEEE collaboration

13 © 2017 Accellera Systems Initiative, Inc. February 2017 Strong Partnership with IEEE

. IEEE Get program allows access to EDA & IP standards worldwide . Download for free - 1666 SystemC - 1666.1 SystemC AMS - 1685 IP-XACT - 1800 SystemVerilog - 1800.2 UVM coming soon! - 1801 UPF . Ongoing collaboration with the IEEE Standards Association - 1666 SystemC Language - 1666.1 SystemC Analog Mixed-Signal (AMS) - 1685 IP-XACT - 1800 SystemVerilog - 1800.2 Universal Verification Methodology (UVM) - 1801 (UPF) - 1850 Property Specification Language (PSL)

14 © 2017 Accellera Systems Initiative, Inc. February 2017 IEEE Standards Access at No Charge . Accellera relationship with the IEEE-SA . Accellera will release 10 standards for 10 years under an extended Get IEEE program . More than 83,000 downloads to date! Cumulative Downloads 2010-2017 40000 35000 30000 25000 20000 37879 37191 34761

15000 33963 33123 32270 31422 30601 29585 28604 27521 26746 26115 25538 24967 24360

10000 23583 22779 22073 21515 21403 21320 21273 21122 21003 20844 20711 20712 20530 20338 20147 20091 19941 19765 19585 19462 19371 19190 18998 18792 18766 18548 18383 18259 18170 17916 17750 17682 17567 17304 17094 16864

5000 13885 13317 13207 13120 12994 12873 12752 12626 12510 12383 12256 12103 11965 11812 11665 763 11559 942 894 11435 855 11303 599 805 11174 750 11079 713 710 10970 656 10859 598 9474 9461 549 10745 499 10621 9262 10500 421 10495 9115 10378 354 10254 292 8892 272 8843 8715 152 8470 55 1967 4229 4410 4606 4806 5034 5217 5441 5636 5820 5997 6238 6409 6553 6777 6997 7220 7550 7783 8013 8243 1495 4429 6691 0

1666 1685 1800 1801 1735 1666.1

http://standards.ieee.org/about/get/

15 © 2017 Accellera Systems Initiative, Inc. February 2017 Advancing Standards Together

. Share your experiences - Visit www.accellera.org and register to post on forums at forums.accellera.org . Show your support - Record your adoption of standards . Become an Accellera member - Join working groups

16 © 2017 Accellera Systems Initiative, Inc. February 2017 THANK YOU

Download a copy of this presentation at www.accellera.org/about

Thank you to our Global Sponsors

17 © 2017 Accellera Systems Initiative, Inc. February 2017