SURFACE AND INTERFACE CHARACTERIZATION OF 2D MATERIALS:

TRANSITION METAL DICHALCOGENIDE AND BLACK PHOSPHOROUS

by

Hui Zhu

APPROVED BY SUPERVISORY COMMITTEE:

______Dr. Robert M. Wallace, Chair

______Dr. Christopher L. Hinkle

______Dr. Jiyoung Kim

______Dr. Kyeongjae Cho

Copyright 2017

Hui Zhu

All Rights Reserved

Dedicated to my husband and my parents

SURFACE AND INTERFACE CHARACTERIZATION OF 2D MATERIALS:

TRANSITION METAL DICHALCOGENIDE AND BLACK PHOSPHOROUS

by

HUI ZHU, BS, MS

DISSERTATION

Presented to the Faculty of

The University of Texas at Dallas

in Partial Fulfillment

of the Requirements

for the Degree of

DOCTOR OF PHILOSOPHY IN

MATERIALS SCIENCE AND ENGINEERING

THE UNIVERSITY OF TEXAS AT DALLAS

December 2017

ACKNOWLEDGMENTS

First of all, I’d like to thank my supervisor, Dr. Robert M. Wallace, for his continuous and tremendous support of my master’s and Ph.D. research, for his patience, motivation, and immense knowledge. His diligent working attitude and enthusiasm for science establish a great standard of learning for every researcher in our group, including me. An open, friendly, and positive working environment is created, and it is my pleasure to work and study there.

I would also like to acknowledge my committee professors, Dr. Jiyoung Kim, Dr. Kyeongjae Cho, and Dr. Christopher L. Hinkle for their stimulating instruction and the supportive research environment they provided. Grateful appreciation is also delivered to my colleague Mr. Qingxiao

Wang and his supervisor Dr. Moon J. Kim for their extensive STEM collaborations included in this work. My acknowledgment to all my colleagues working together on 2D materials at UTD, including postdoctoral researchers: Dr. Stephen McDonnell, who now is an assistant professor at the University of Virginia, Dr. Xiaoye Qin, Dr. Rafik Addou, Dr. Lanxia Cheng, Dr. Antonio

Lucero, and Dr. Lee Walsh; my fellow colleagues: Angelica Azcatl, Christopher Smyth,

Christopher Cormier, Ava Khosravi, Ruoyu Yue, Chenxi Zhang, Yifan Nie, Jeong-Bong Lee, and

Arul Vignerswar Ravichandran. It’s my great pleasure to work with them. Special gratitude goes out to our technician staff: Tommy Bennett, Dave Stimson, Billy Raulston, and Richard Arthur

Mills Jr. for their unfailing support and laboratory maintenance.

Especially, I want to thank my dear husband, Xiaoye, who has accompanied me on my Ph.D. journey and provided selfless support and constant encouragement. I am also grateful to all our family members and friends. They are always there for me.

October 2017

v

SURFACE AND INTERFACE CHARACTERIZATION OF 2D MATERIALS:

TRANSITION METAL DICHALCOGENIDE AND BLACK PHOSPHOROUS

Hui Zhu, PhD The University of Texas at Dallas, 2017 ABSTRACT

Supervising Professor: Robert M. Wallace

Transition metal dichalcogenides (TMDs) and black phosphorous (black-P) are representative two dimensional (2D) materials with versatile electronic, optical, physical, and chemical properties that can be manipulated for novel electronic and optoelectronic device applications in nanoscale science and technology. However, many challenges remain associated with the nature of defects, crystal synthesis, thickness control, chemical stabilities, doping strategies, and Schottky contacts.

This dissertation focuses on the surface and interface understanding of 2D materials to propose desired attributes and surface engineering to overcome those challenges. In particular, the interfacial qualities between the atomic layer deposited Al2O3 and black-P, MoTe2, or WTe2 are investigated by in situ X-ray photoelectron spectroscopy to lower the interfacial damage possibility. Then the chemical and structural properties of MoS2 under remote O2 plasma and thermal treatments are studied to propose a two-step atomic layer etching method. Also, the thermal and structural properties of MoTe2 are investigated to avoid thermal damage as well as explore possible phase engineering applications.

vi

TABLE OF CONTENTS

Acknowledgments...... v

Abstract ...... vi

List of Figures ...... xi

List of Tables ...... xviii

CHAPTER 1 INTRODUCTION ...... 1

1.1 2D materials for the next generation of nanoelectronics ...... 1

1.2 Transition metal dichalcogenides overview...... 4

1.3 Black phosphorous overview ...... 8

1.4 Challenges and Research Motivation of this work ...... 10

1.5 Outline of this work ...... 13

1.6 References ...... 15

CHAPTER 2 EXPERIMENTAL METHODS...... 21

2.1 In situ UHV systems ...... 21

2.1.1 X-Ray Photoelectron Spectroscopy (XPS) ...... 22

2.1.2 Scanning Tunneling Microscopy (STM)...... 27

2.1.3 Atomic Layer Deposition (ALD) ...... 30

2.2 Ex situ characterization techniques ...... 31

2.2.1 Raman Spectroscopy ...... 31

2.2.2 Scanning Transmission Electron Microscopy (STEM) ...... 34

2.3 References ...... 36

CHAPTER 3 AL2O3 ON BLACK PHOSPHORUS BY HALF CYCLE ATOMIC LAYER DEPOSITION ...... 38

3.1 Preface...... 38

vii

3.2 Introduction ...... 39

3.3 Experimental Section ...... 41

3.4 Results and Discussion ...... 44

3.5 Conclusions ...... 52

3.6 References ...... 53

CHAPTER 4 REMOTE PLASMA OXIDATION AND ATOMIC LAYER ETCHING OF MOS2…………...... 57

4.1 Preface...... 57

4.2 Introduction ...... 58

4.3 Experimental Section ...... 60

4.4 Results and discussion ...... 62

4.4.1 The effect of plasma exposure time on the oxidation of MoS2 ...... 62

4.4.2 The impact of substrate temperature on the oxidation of MoS2 ...... 67

4.4.3 Atomic layer etching by thermal annealing ...... 71

4.5 Conclusions ...... 76

4.6 References ...... 77

CHAPTER 5 DEFECTS AND SURFACE STRUCTURAL STABILITY OF MOTE2 UNDER VACUUM ANNEALING ...... 82

5.1 Preface...... 82

5.2 Introduction ...... 83

5.3 Experimental Section ...... 85

5.4 Results and Discussion ...... 87

5.4.1 Intrinsic crystal qualities induced by excess of Te ...... 87

5.4.2 Surface dissociation and development of Te vacancies ...... 94

5.4.3 Passivation of MoTe2 with monolayer graphene ...... 102

viii

5.5 Conclusions ...... 106

5.6 References ...... 106

CHAPTER 6 NEW MO6TE6 SUB-NANOMETER-DIAMETER NANOWIRE PHASE FROM 2H-MOTE2 ...... 112

6.1 Preface...... 112

6.2 Introduction ...... 113

6.3 Experimental Section ...... 115

6.3.1 STEM Specimen Preparation and characterization ...... 115

6.3.2 Density functional theory (DFT) calculations ...... 116

6.3.3 STM and XPS characterizations ...... 117

6.4 Results and Discussion ...... 118

6.4.1 Transition and growth of Mo6Te6 nanowires ...... 118

6.4.2 Atomic structure and morphology of Mo6Te6 nanowires ...... 122

6.4.3 Chemistry and electronic properties of Mo6Te6 nanowires ...... 127

6.5 Conclusions ...... 129

6.6 References ...... 130

CHAPTER 7 SURFACE AND INTERFACIAL STUDY OF ATOMIC LAYER DEPOSITED AL2O3 ON MOTE2 AND WTE2...... 135

7.1 Preface...... 135

7.2 Introduction ...... 136

7.3 Experimental Section ...... 138

7.4 Results and discussion ...... 141

7.4.1 Thermal ALD and PEALD of Al2O3 on MoTe2 and WTe2 ...... 141

7.4.2 Buffer layer engineering for thermal ALD coverage of Al2O3 on MoTe2 147

7.5 Conclusion ...... 151

ix

7.6 References ...... 151

CHAPTER 8 CONCLUSIONS AND FUTURE WORK ...... 154

APPENDIX: ...... 160

A. Plasma Enhanced Atomic Layer Deposition (PEALD) Tool ...... 160

B. Plasma Enhanced Chemical Vapor Deposition (PECVD) Tool ...... 163

BIOGRAPHICAL SKETCH ...... 166

CURRICULUM VITAE ...... 167

x

LIST OF FIGURES

Figure 1.1. 2D FETs. (a-c) Schematic illustration of (a) 3D and (b) 2D MOSFETs and (c) 2D- TFET, adapted with permission from ref (3) Copyright (2016) Nature Publishing Group. (d) Mobility/current on-off ratio of 2D material based transistors. Adapted with permission from ref (7) Copyright (2015) American Chemical Society...... 2

Figure 1.2. Lattice structures of (a) 2H, (b) 1T and (c) Td phases in TMDs. Grey spheres are transition metal atoms (“M”), and orange spheres are chalcogen atoms (“X”). Adapted with permission from ref (16) Copyright (2016) American Chemical Society...... 5

Figure 1.3. Atomic structure and band structure of black-P. Adapted with permission from ref (7) Copyright (2015) American Chemical Society...... 9

Figure 2.1. (a) The first in situ characterization system located on the 4th floor of NSERL building (https://sites.google.com/site/robertmwallace01/); (b) The second in situ characterization system located in the basement of the NSERL building. Reprinted from Ref (2) Copyright (2014) Electrochemical Society...... 22

Figure 2.2. (a) Schematic of the emission process of characteristic electrons. (b) Energy diagram of the XPS spectrometer. Figure (b) is reprinted with permission from Ref (3) Copyright (2007) Springer Science + Business Media, Inc...... 23

Figure 2.3. Survey spectra and Mo 3d core level spectra from Mo-based dichalcogenides...... 24

Figure 2.4. An I-V curve and the derived 푑퐼/푑푉 spectrum obtained on MoTe2. The dark regions mark the band edge artifact that needs to be removed in the 푑퐼/푑푉 spectrum due to the saturation of the tunneling current...... 29

Figure 2.5. Schematic of the ALD half cycle processes...... 30

Figure 2.6. Raman scattering of incident light by molecules. Adapted from Ref (17). Copyright (2010) John Wiley and Sons...... 32

Figure 2.7. Pictures of the Linkam heating stage set for the Raman spectrometer...... 33

Figure 2.8. Schematics of the STEM configuration...... 35

Figure 3.1. (a) XPS spectra show the evolution of the P 2p core level from the S1, S2, and S3 samples during the half cycle ALD process. (b) The integrated intensity ratio of the total phosphorus oxide to that of the bulk black-P peak (IP-O/IP-P), up to the initial 5 ALD cycles for the S1, S2, and S3 samples, respectively. (c, d) XPS spectra of O 1s and C 1s core levels, respectively, from the S1, S2, and S3 samples during the half cycle ALD process...... 44

xi

Figure 3.2. (a) The Al2O3 thickness during the ALD process. (b) The ratio of IP-O/IP-P as a function of Al2O3 thickness. (c) The XPS spectra of Al 2p core level for the S1, S2, and S3 samples...... 47

Figure 3.3. AFM images after 40 cycles of Al2O3 deposition on the S1, S2, and S3 samples. Line profiles are performed along the black lines shown on the AFM images...... 49

Figure 3.4. AFM images after 40 cycles of Al2O3 deposition on the S1, S2, and S3 samples. Line profiles are performed along the black lines shown on the AFM images...... 51

Figure 4.1. In situ XPS spectra of O 1s and C 1s core level for the initial MoS2 surface as-exfoliated and after exposure to remote O2 plasma for 1, 5, and 20 min, respectively. The substrate temperature during the plasma process is 200 C...... 62

Figure 4.2. In situ XPS results of Mo 3d, S 2p and valence band for (a) “initial” as-exfoliated MoS2 surface; (b)-(e) MoS2 exposed to the remote O2 plasma for 1, 5, and 20 min, respectively. The substrate is kept at 200 C during the remote plasma process...... 63

Figure 4.3. Ex situ AFM topographies, line profiles and in situ LEED images for (a) MoS2 as- exfoliated; (b)-(e) MoS2 exposed to the remote O2 plasma for 1, 5, and 20 min, respectively. No long-range order is detected after a 5 min remote plasma exposure. The substrate temperature is 200 C. The LEED images are taken with the energy of 147 eV...... 66

Figure 4.4. (a) Normalized XPS spectra of Mo 3d and S 2p core levels for MoS2 exposure to with remote O2 plasmas at 100 C for 1, 5 and 20min, respectively; (b) The topography of the sample after the 20 min remote O2 plasma exposure at100 C...... 69

Figure 4.5. XPS spectra of Mo 3d, S 2p, and valence band regions for MoS2 after exfoliation and after exposure to remote O2 plasmas at a substrate temperature of RT, 100 C, 200 C, and 400 C, respectively. The plasma exposure time is 5 minutes...... 70

Figure 4.6. Ex situ AFM topographies, line profiles and in situ LEED images for XPS spectra of Mo 3d, S 2p, and valence band regions for MoS2 after exposure to remote O2 plasmas at a substrate temperature of RT, 100 C, 200 C, and 400 C, respectively. The plasma exposure time is 5 minutes. The plasma exposure time is 5 minutes. All LEED images are taken with the energy of 147 eV. The morphology/line profile/LEED data in Figure 4.3(c) are reused in Figure 4.6(c) to conveniently compare the surfaces under the same plasma parameters except for different substrate temperatures...... 71

Figure 4.7. The thermal stability of the MoOx layer on MoS2 by annealing in the vacuum system. The MoS2 sample has been exposed to an in situ remote O2 plasma for 20 min under a substrate temperature of 200 C to form the MoOx layer. (a) XPS results during the annealing process. (b) Corresponding LEED pattern, (c) topographic AFM image, and

xii

(d-e) STM images of the sample after 500 C annealing. The LEED image is taken with the energy of 147 eV. The STM images are taken with (d) Vbias=1.2 V, It=0.3 nA and (e) Vbias=0.9 V, It=0.9 nA. The inset shows a high-resolution atomic image of the resultant surface...... 72

Figure 4.8. (a) Raman spectra of 1-4 layer MoS2 before (blue line) and after (red dash line) the two-step etching. (b-c), (d-e) and (f) show Raman peak separation (퐴 − 퐸) mapping contrast, AFM topographic contrast and line profile contrast for MoS2 flakes before and after the two-step etching, respectively...... 74

Figure 4.9. Optical contrast of multiple MoS2 flakes before and after the plasma etching. MoS2 flakes are prepared by mechanical exfoliation and transferred onto Si/SiO2 (285 nm) substrates. The optical contrast of MoS2 flakes can also be used to identify the flake thickness due to optical interference. In general, the brighter blue color coincides with a thicker film...... 75

Figure 5.1. XPS Characterization of the 2H-MoTe2 crystal...... 87

Figure 5.2. Defect identification through STEM and STM characterizations. (a) HAADF-STEM images of a monolayer and a bilayer MoTe2. Te vacancies and adatoms are indicated by green circles and green/yellow arrows, respectively. (b) STEM image simulations of Te adatoms and the corresponding line profiles. The Mo adatoms would have similar image contrasts. The adatoms may locate above Te atom (red dash-line), above Mo atom (blue dash-line) and hollow site (cyan dash-line). (c, and d) STM images of the bulk crystal taken at Vb = -0.6 V and -0.4 V, respectively. A 3D zoom-in image inset in panel (c) indicates the average height of protrusions is 30.5 Å. The inset in panel (d) is a 5.5  2 4.0 nm atomically resolved STM image taken at Vb = +0.6 V. The tunneling current for all STM images is It = 1.5 A. (e) STS measurements from multiple surface regions. ..89

2 Figure 5.3. Bias-dependent protrusions in MoTe2. (a-b) 30  10 nm STM imaging on the same surface region at different sample biases. The sample bias and tunneling current are held constant for (a) Vb = +0.15 V, It = 1.5 nA and (b) Vb = -0.3 V, It = 1.5 nA, respectively. (c) Z profiles across lines drawn in (a) and (b), respectively...... 91

Figure 5.4. XPS spectra of Te 3d5/2, Mo 3d, O 1s and C 1s core level regions from a freshly exfoliated sample after being exposed to air for 5 min, 15 min, 30 min, and 2 days, respectively...... 94

Figure 5.5. STM images of the MoTe2 surface after 200 C and 300 C UHV annealing. Large- 2 scale images (200  200 nm ) of MoTe2 at (a) 200 C and (b) 300 C, respectively, imaged at Vb = -0.8 V and It = 0.6 A. (c-g) Example of surface defects generated at 300 C obtained at Vb = +0.15 V and It = 1.5 A. The height/depth of bright clusters (marked with blue squares)/dark depressions (white squares) are measured to be ~7 Å or less. An

xiii

atomic resolution STM image of Te atomic vacancies (indicated with white arrows) are presented in the inset in panel (d)...... 95

2 2 Figure 5.6. (a) 200  170 nm and (b) 60  100 nm STM images of the MoTe2 surface after the 400 C annealing, recorded at It = 0.6 nA and Vb = -0.8 V and -0.6 V, respectively. The topmost layers are covered with hexagonal motifs with an irregular periodicity of 3-5 nm. The depth of pits relative to the substrate is measured to be 71 Å. (c) 40  35 nm2 and (d) 25  20 nm2 STM images of the zoomed-in surface taken at opposite sample biases showing the wagon wheel network of twin line boundaries. The (c, d) images are 2 taken at Vb = -0.4 V and +0.4 V, respectively, and at It = 1 nA, (e) 10  10 nm atomic resolution of WW patterns (Vb = +0.2 V and It = 0.6 nA) showing the twin line separation of 6.21 Å and the same trigonal atomic arrangement as the 2H phase inside the triangular region. (f) STS measurements on triangular center (square) and IDB (circle) and compared with that on the initial 2H-MoTe2...... 97

Figure 5.7. Atomic structure of inversion domain boundary (IDB) on one MoTe2 monolayer region after 450 C flash annealing for 1 min. (a) A Z-contrast STEM image of two neighboring wagon wheel (WW) patterns obtained at room temperature, (b,c) WW atomic model along with its STEM simulation image. Colored lines outline the domain boundaries, and green circles indicate the Te single vacancies. Scale bars: 1nm...... 99

Figure 5.8. Dynamic Z-contrast STEM images showing the fast transformation of IDBs upon reannealing at 250 C. High-resolution STEM images (bottom left) and the corresponding schematic models (bottom right) highlight the IDB migration driven by the gliding of Mo atoms. Arrows in the schematic models indicate the displacement direction of Mo atoms during the IDB migration process. Green/red circles suggest the relocation of the as-formed Te single vacancy/Te2 column vacancy (missing the top and bottom Te atoms) during the annealing process. Scale bars: 1nm...... 100

Figure 5.9. Thick Mo6Te6 NW layers formed on top of 2H-MoTe2 bulk crystal by a 500 C annealing for one hour. (a) A large-scale cross-sectional STEM image. Inset: high- resolution nanowire structures from the “NW” region. (b) Raman spectra of this bulk crystal taken from surface thick Mo6Te6 NW region, uncovered 2H region, and NW-2H mixed regions...... 103

Figure 5.10. The temperature dependent Raman study of MoTe2 flakes (a) partially covered or (b) fully covered with monolayer graphene. A long working distance 50 objective lens with a scale bar of 20 µm is used for recording the optical images. Raman spectra taken at the yellow cross/dot positions are recorded at room temperature before and after the annealing experiment (up to 500 °C for 30 min)...... 104

Figure 6.1. The transition and growth of Mo6Te6 from 2H-MoTe2 by the STEM. (A) Schematic of the transition from 2H-MoTe2 to Mo6Te6 subnanometer-diameter NWs. (B) Large-scale plan-view image of Mo6Te6 NW bundles grown on 2H-MoTe2 (0001) surface at T =

xiv

450 C along the <11-20> crystallographic directions (red arrows). The inset shows a zoomed-in image of the end of one NW bundles, which has a width of ~50 nm. (C) EDS analysis on top of Mo6Te6 NW bundles (red dot) and the nearby 2H-MoTe2 region (black dot in the inset panel of B), respectively, showing the corresponding Te/Mo ratios of 1.07 (NWs) and 1.87 (2H phase). The Si signals in the EDS spectrum come from the underlying SiC supporting film of the heating E-chip. (D) Time sequence images of 2H- MoTe2 (0001) show a fast growth of Mo6Te6 NWs along the 2H-MoTe2 <11-20> directions at 450 C. (E-F) Time sequence images viewed along the 2H-MoTe2 [11-20] direction (or Mo6Te6 [001]) at 450 C, showing new Mo6Te6 NWs formed from 2H- MoTe2...... 119

Figure 6.2. Dislocation core regions where two MoTe2 layers join to become one Mo6Te6 NW. Images (A) and (B) are viewed along the axial direction of Mo6Te6 NWs and 2H-MoTe2 [11-20], respectively. Clearly, 7 layers of NWs are aligned with 8 MoTe2 layers, and some layers of Mo6Te6 (blue arrows) are formed by two adjacent MoTe2 layers. It needs to note that the interstitial atoms in panel (A) are Cu impurities incorporated from the STEM grid...... 121

Figure 6.3. Monoclinic Assembly of the Mo6Te6 NWs. (A) Atomic structure models of Mo6Te6 NWs viewed along different crystallographic directions.  is the relative rotation angle of the NW. (B) EDS line scan across one NW center, confirming the Mo and Te atomic positions in the NW structure. (C-D) High resolution cross-sectional STEM images of Mo6Te6 NWs along its (C) [100] and (D) [001] directions. In the STEM images, c is ~4.6 Å and  is ~111. All STEM images are taken in HAADF mode...... 123

Figure 6.4. STM morphologies of Mo6Te6 nanowires formed on a bulk MoTe2 crystal. (A-D) STM images of thick layers of Mo6Te6 rods or bundles. (E) Line profiles measured along the line drawn in (A) and (C), respectively. (F) High-resolution STM image of Mo6Te6 NWs showing the periodicity along the row of NWs is ~ 4.60.2 Å. The tunneling conditions for the STM images are (A) Vb = 0.25 V, and It =1 nA, (B-D) Vb = -0.5 V and It =1.5 nA, (E) Vb = -1 V and It = 0.1 nA...... 126

Figure 6.5. XPS results of the surface chemical information of MoTe2 during the annealing procedure. (A) XPS spectra of the Te 3d5/2 and Mo 3d core levels. (B) Derived Te/Mo ratios from the Te 3d5/2 and Mo 3d spectra and measured on multiple surface regions. (C) Valence band regions measured on multiple surface regions...... 127

Figure 6.6. Electronic property of Mo6Te6 NWs. (A) Normalized differential conductive dI/dV spectra measured on the initial 2H-MoTe2 surface (blue) and the formed Mo6Te6 NWs (dark red), respectively, showing the corresponding band gaps of ~1.02 eV and ~0 eV. DFT band diagrams of (B) single and (C) multiple Mo6Te6 NWs; Fermi level is set to zero as a reference...... 129

xv

Figure 7.1. AFM images of the 100 cycles ALD-Al2O3 layer deposited using (a) TMA/H2O and (b) TMA/O2 on MoTe2, and (c) TMA/H2O and (d) TMA/O2 on WTe2. Specifically, the Al2O3 deposited on the image (a) is the only one using a non-stop successive ALD process, while the others use a half-cycle ALD process which is to be discussed later in this work. The surface roughness is around 3.15 nm, 0.23 nm, 0.39 nm, 0.16 nm for images (a-d), respectively...... 141

Figure 7.2. XPS analysis of thermal ALD and PEALD of Al2O3 on MoTe2 and WTe2, respectively...... 142

Figure 7.3. Cross-sectional STEM-ABF images obtained after the 100 cycles of PEALD-Al2O3 on MoTe2...... 147

Figure 7.4. Interface chemistry before and after an Al2O3 buffer layer deposition on MoTe2 through (a) e-beam evaporation of Al metal under O2 environment and (b) evaporation of Al2O3 quartz crystal, respectively. The experiment (a) is finished in situ in the PVD chamber, while the experiment (b) is performed in a Cyro evaporator in the cleanroom...... 148

Figure 7.5. XPS spectrum of Te 3d, Mo 3d, and Al 2p core levels and the deposited Al2O3 thickness on the Al2O3/MoTe2 surface upon the combination of e-beam evaporation of Al2O3 and thermal ALD-Al2O3...... 149

Figure 7.6. (a) AFM topographic morphology and (b) Cross-section ABF-STEM image of the buffered thermal ALD-Al2O3 on MoTe2. (c) EELS spectra measured across the blue line in panel (b). Beam damage is detected on the Al2O3 region after the EELS analysis, and the blue dash line is the same position, the central position, marked in panel (b)...... 150

Figure 8.1. PALE of WSe2 assisted with remote O2 plasma treatments and different annealing processes. Sample 01 has been treated with a remote O2 plasma (flow rate 130 sccm) for 5 min and then vacuum annealed at 300 ºC and 500 ºC for 0.5 h, respectively. Around 1.6 nm of WOx is formed after the remote O2 plasma treatment. Sample 02 is first exposed to 190 sccm remote O2 plasma for 5 min and then treated with 300 C vacuum annealing and atomic hydrogen (AH, pressure ~ 1×10-6 mbar) annealing for 0.5 h, respectively. Sample 03 is only exposed to the 130 sccm remote O2 plasma for 2 min and then treated with 450 C forming gas (FG, 95% N2+ 5% H2) annealing and 500 C AH annealing for 0.5 h, respectively. The oxide thickness is ~1.4 nm after the remote O2 plasma treatment. The remote O2 plasma treatment is performed in the PEALD chamber, the vacuum or FG annealing and the AH annealing are performed in the sputtering chamber and PVD chamber, respectively...... 156

Figure A-1. (Left) Litmas Remote plasma source integrated ALD system. (Right) “PI Chart” in user interface for PEALD system...... 160

Figure A-2. The “RECIPE” menu of the PEALD system...... 161

xvi

Figure A-3. The “MANUAL” menu for the PEALD system...... 161

Figure A-4. The “PI CHART” menu for the PEALD system...... 162

Figure B-1. Plasma configuration of the PECVD chamber...... 163

Figure B-2. The program running interface of the PECVD chamber...... 164

xvii

LIST OF TABLES

Table 1.1. The band gap of bulk and monolayer TMDs and the effective mass of monolayer TMDs. [2H] The band gap and effective mass of WTe2 are from theoretical calculations...... 6

Table 4.1. Summary of the measured binding energy, oxide thickness, atomic ratio of S/Mo and integrated intensity ratio (IS-O/IS) from the initial MoS2 sample and MoS2 samples after 1, 5, and 20 min remote O2 plasma exposure at 200 C. The error bar for the binding energy is within  0.05 eV and the error bar for the substrate S/Mo ratio is within  0.04...... 64

Table 6.1. The formation energy (Ef) schematic illustrations of different configurations of molybdenum tellurides. The formation energy is normalized to eV/atom...... 125

Table 7.1. Adsorption energy (Ead) of H2O and O2 molecules on the surface of monolayer MoTe2 and WTe2. The energy differences between the chemcial and physical adsorptions (ΔE) after the bond breaking of adsorption molecules are also calculated...... 144

Table 8.1. Experimental trials of different plasma recipes on WSe2. The substrate temperature is 150 ºC, and the plasma power and pressure are 50 W and 900 mTorr, respectively. .157

Table 8.2. Testing the etching rate of WSe2 by N2O+SF6 plasma treatment under different N2O flow rates or plasma pressures. The substrate temperature is 150 ºC, and the plasma power is 50 W...... 159

xviii

CHAPTER 1

INTRODUCTION

1.1 2D materials for the next generation of nanoelectronics

Ever since graphene thin-film transistor was first built up in 2004, industry and research communities were stimulated by the atomically thin nature and potential applications of two- dimensional (2D) materials, in particular, graphene, transition-metal dichalcogenides (TMDs), black phosphorus (black-P), and hexagonal boron nitride (h-BN).1–4 Variant polymorphs, thickness scalability, and a wide range of bandgap selectivity are available within 2D materials, providing versatile electronic and optoelectronic application possibilities. For example, the zero- bandgap graphene has superior thermal conductance and an extremely high carrier mobility at room temperature, which can be up to 105 cm2/Vs for an exfoliation stacked h-BN/graphene/h-

BN heterostructure and up to 2×105 cm2/Vs for suspended graphene-FET.4 Such a high mobility makes graphene suitable to work under high frequencies (e.g., radio frequency range).

However, the poor on-off current ratio arising from the lack of bandgap property limits its usage in logic devices. Alternatively, semiconducting TMDs and black-P which are mainly focused upon in this work with moderate carrier mobilities and high current on-off ratios have attracted substantial exploration for low power-loss logic devices, such as metal-oxide-semiconducting field effect transistors (MOSFETs, see Figure 1.1(a,b)), tunneling field effect transistors (TFETs, see

Figure 1.1(c)), and diodes.3 The first single-layer TMD transistor was built on mechanically

5 exfoliated MoS2 in 2011. It has been reported with an excellent electrical performance with high on/off current ratios up to 108 and an overestimated carrier mobility of ~200 cm2/Vs by neglecting

6 the capacitance coupling effect from the top-gated HfO2 dielectric layer. Even though, a

1

significant carrier mobility enhancement is achieved from the dielectric screening of this top-gated

HfO2.

Figure 1.1. 2D FETs. (a-c) Schematic illustration of (a) 3D and (b) 2D MOSFETs and (c) 2D- TFET, adapted with permission from ref (3) Copyright (2016) Nature Publishing Group. (d) Mobility/current on-off ratio of 2D material based transistors. Adapted with permission from ref (7) Copyright (2015) American Chemical Society.

Figure 1.1(d) summarized the performance of present transistors built from graphene, black-P, and

TMDs, respectively and compared with traditional Si and GaAs devices.7 The semiconducting nature determines that the current on-off ratio is higher for TMDs (bandgap Eg ~ 1.0-2.8 eV) than that of black-P (Eg ~ 0.3-2.2 eV), whereas the carrier mobility for TMDs and black-P is still below expectations due to multiple intrinsic/extrinsic factors such as band structures, charged impurity scattering, intrinsic defects, semiconducting-dielectric interface oxides/charges, Schottky contacts, etc.

Except for electronic applications, the sizable direct bandgap of black-P and the ubiquitous indirect to direct bandgap transition of TMDs when their thicknesses are thinned down to a monolayer

2

provide promising optoelectronic applications from visible to the near-infrared optical range.

Specifically, the enhanced Coulomb interaction due to the two-dimensional confinement in 2D materials results in the formation of tightly bound excitons (electron-hole pairs).8 The strong exciton binding energy, usually several hundred meV, makes the optical bandgap lower than the electronic bandgap (see Table 1.1) and provokes possible light-emitting diode as well as photovoltaic and solar cell applications. Also, the remarkable in-plane, anisotropic light emission and adsorption properties in black-P can be utilized for distinct optic devices (e.g., photodetector, polarizer).

Importantly, in the nanoscale range, the performance of the conventional silicon-based technology is seriously limited by leakage current, short channel effect, and power dissipation issues. These problems are anticipated to be overcome by the natural advantages of 2D materials.3 Take the short channel effect in traditional MOSFETs for example, the decay/scaling length  of the channel potential can be minimized by decreasing the channel thickness based on the assumption of the following equation:9

 = (1 + )푡푡 (1.1)

Where 휖 and t are dielectric constant and film thickness for the channel (“ch”) and oxide (“ox”), respectively. Apparently, the ultrathin body of 2D materials allows a tight electrostatic gate control of the channel in the 2D limit (see the 3D and 2D channel comparison in Figure 1.1(a,b)) so that the short channel effect is greatly eliminated.3,9 Also, the ultra-flat, dearth of dangling bond surfaces in 2D materials perfectly solve the surface roughness induced mobility degradation problem during the miniaturization of 3D devices.

3

Another key factor limiting MOSFET’s high switching speed and low power dissipation development arise from the non-scalable turn-on/off steepness of the drain current (ID) under the gate voltage (VG) control. The switching speed of a MOSFET is represented by a parameter called subthreshold slope (SS), which has a minimum value of 60 mV/decade at 300 K for Si- and even the TMD-based MOSFETs as predicted.3,10

푆푆 = ≅ (1+ )ln10 (1.2) ()

Where 퐶 and 퐶 are the semiconducting channel and dielectric oxide capacitances, respectively.

In pursuing a lower SS value, TFETs based on band-to-band-tunneling are generally suggested (an example is shown in Figure 1.1(c)). The richness of 2D materials and their variant band structures provide complex assemblies of lateral or vertical stacked heterojunctions through synthesis or

3,4 mechanical exfoliations. A vertical stacked TFET with germanium as source and MoS2 as channel has been reported with an SS as low as ~31 mV/decade.11

1.2 Transition metal dichalcogenides overview

TMDs are a large family of layer-type compounds with a generalized formula MX2, where M is a transition metal of groups 4-10 and X is a chalcogen atom S, Se, or Te.12,13 Among those TMDs, the semiconducting Mo and W based group-6 TMDs with a sizable bandgap in the visible and near-infrared frequency range are the most commonly investigated and focus of this work.12

Monolayer TMDs commonly have a thickness of 6-7 Å and are composed of an M-atom plane covalently bound and sandwiched between two X-atom planes, while multi-layers are held together by the relatively weak van der Waals (vdWs) interaction. The weak interlayer interaction allows the MX2 layers to be isolated and cleaved easily along the vdWs gap leading to fresh, well-

4

defined pristine surfaces. By taking advantage of this property, a variety of chemical and mechanical exfoliation methods have been developed as alternatives to traditional bottom-up synthesis/deposition methods. For example, it is convenient to use N-Methyl-2-pyrrolidone (NMP) solvent, adhesive Scotch® tape, or polymethyl methacrylate (PMMA) stamp to thin TMD films down to monolayer.13–15 However, residual contamination from such exfoliation methods can occur and may deteriorate the device’s performance.

Figure 1.2. Lattice structures of (a) 2H, (b) 1T and (c) Td phases in TMDs. Grey spheres are transition metal atoms (“M”), and orange spheres are chalcogen atoms (“X”). Adapted with permission from ref (16) Copyright (2016) American Chemical Society.

The semiconducting properties of TMDs vary dramatically based on its polytypic structures (see

Figure 1.2) from semiconducting 2H phase to semi-metallic 1T phase or distorted 1T phase. The distorted 1T phase can be either in the form of orthorhombic Td phase or monoclinic 1T’ phase, which are isostructural but have small asymmetric differences.16,17 The determination of the by Raman or diffraction method is a convenient way to deduce the electronic properties of TMDs. An ABA type stacking sequence usually follows with a 2H structure in which each metal

M atom is trigonal-prismatic coordinated by six chalcogen X atoms, while a rhombohedral (ABC)

5

stacking sequence exists for the 1T and Td phases where transition metal atoms are octahedrally coordinated. Especially for the Td/1T’ structure, the metal atoms are shifted away from the center of the octahedron in the direction of one octahedron face, resulting in a less symmetric structure

18,19 and metal-metal zig-zag chains. Mo/W disulfides and diselenides and α-MoTe2 are most stable in the 2H phase, metastable in the 1T phase, whereas WTe2 and β-MoTe2 have the lowest energy in the Td and 1T’ phases, respectively.20,21

Table 1.1. The band gap of bulk and monolayer TMDs and the effective mass of monolayer TMDs. [2H] The band gap and effective mass of WTe2 are from theoretical calculations.

MX2 Eg_1ML (eV) Eg _bulk (eV) Effective mass_1ML (m0)

Optical Electronic Electronic me* mh* bandgap bandgap bandgap

26 12 26 27 27 MoS2 1.78 2.8 1.29 0.46 0.56

8 8 26 27 27 MoSe2 1.63 2.18 1.1 0.55 0.64

26 35 26 9 9 MoTe2 1.1 1.72 1.0 0.57 0.75

27 27 27 27 WS2 1.96 1.4 0.30 0.41

9 29 9 9 9 WSe2 1.56 2.02 1.2 0.36 0.5

[2H] 9 9 9 WTe2 0.75 / / 0.37 0.3 Black-P 1.336 2.236 0.336

From a phase transition perspective, the MoS2 2H-to-1T phase transition is achievable via alkali metal intercalation22 and strain engineering23, whereas, the former method may have the 1T’ phase

19 accompany. The Td-MoTe2 phase is obtainable by cooling the 1T’-MoTe2 down to 250 K, while the reversible 2H-to-1T’ phase transition of MoTe2 is more versatile through temperature, strain,

16,24 and charge effects. Note that the 2H-WTe2 has not been reported yet, although the energy of

6

its 2H phase is predicted to be slightly higher than its Td phase with an energy difference of 110 meV. 25 This energy difference is much smaller than that of disulfides and diselenides and slightly

25 larger than the energy difference between the 1T’ and 2H phases of MoTe2 (~30 meV). A metal- to-semiconductor tunability is achieved by controlling the stoichiometric ratio of the MoxW1-xTe2 alloy.16

The bandgaps and effective masses of group-6 TMDs and black-P are shown in Table 1.1.9,26–29

Due to the exciton formation under photoexcitation, the optical bandgaps of TMDs and black-P measurable through photoluminescence is lower than their electronic bandgaps which can be obtained by electrical measurements or scanning tunneling spectroscopy. Importantly, the exfoliation and synthesis of 2D materials open up enormous possibilities of stacking them laterally or vertically in various sequences to design novel vdWs heterostructures. The as-grown MoS2-

30 WSe2-graphene and WSe2-MoS2-graphene vertical hereostructures and in-plane MoS2-WS2 heterostructure31 have been demonstrated of clean vdWs interfaces and sharp in-plane atomic boundaries, respectively. To achieve large sizes of TMD layers with a controllable and uniform film thickness as well as a low defect concentration, considerable efforts are devoted to developing the chemical vapor deposition (CVD), molecular beam evaporation (MBE), and atomic layer deposition (ALD) methods. To date, CVD, relying on the vapor phase reaction of chalcogen precursor and metal oxides (or metal), is the most effective way of achieving large-areal TMD thin

32,33 films. “wafer-scale” WS2 and MoS2 sheets have been demonstrated, however, the CVD synthetic TMDs usually accompanies with a much lower carrier mobility than the mechanical

34 exfoliated counterparts due to the intrinsic vacancy and boundary defects. In this work, MoS2

7

samples are natural crystals, while other TMDs and black-P are purchased from vendors using chemical vapor transport (CVT) methods to grow bulk materials.

1.3 Black phosphorous overview

Orthorhombic black-P, the most stable allotrope of the phosphorous polytypes, has been discovered and synthesized for over one hundred years. In 2014, single- and few-layer of black-P, termed as “black phosphorene,” were successfully isolated for transistor applications; since then, it has been deemed an important semiconducting 2D material because of its moderate energy gap and unique electronic, optical, and phonon transport properties.37–40 The atomic structure of black phosphorene exhibits a strong in-plane asymmetry where each P atom is covalently bonded with three neighbors in two different bond lengths, thereby forming a puckered honeycomb structure

(Figure 1.3(a)).7 Independent of the number of layers (Figure 1.3(b)), black-P is a direct bandgap material in contrast to the commonly observed direct to indirect bandgap transition in TMDs due to the structural symmetry breaking.7 Its bandgap gradually decreases from a monolayer (2.2 eV) to the bulk state (0.3 eV), covering the near- and mid-infrared optical spectrum.7 The layer- dependence of the band structure has been investigated theoretically41,42 and probed experimentally (see Figure 1.3).7,43,44 Recent experimental study has demonstrated a layer- dependent infrared spectrum for up to 15 layers.43 Interestingly, as noted in Figure 1.3(c) that using the same STS (scanning tunneling spectroscopy) technique on bulk black-P materials two research groups detected different bandgap results: one is a monolayer bandgap, and one is a bulk bandgap.44,45 Nevertheless, the large direct bandgap range of black-P provides a certain degree of tunability and fills the energy gap between graphene and TMDs, the latter of which usually has a bandgap larger than 1 eV.46 Moreover, the electronic properties of black-P can be further tuned

8

with an alloy (i.e., As-P alloy with a bandgap range of 0.15-0.3 eV) or pressure and strain effect.

Under high pressure, black-P can go through direct-to-indirect, semiconductor-to-semimetal-to- metallic transitions.47

Figure 1.3. Atomic structure and band structure of black-P. Adapted with permission from ref (7) Copyright (2015) American Chemical Society.

Concurrent with its anisotropic structure, a quasi-one-dimensional band dispersion is identified in black phosphorene where the effective mass along the armchair (x) direction is much lower than that along the zigzag (y) direction. There exist prominent light absorption and carrier transportation along the armchair direction,41,36 and thermal conduction along the zigzag direction.46 For example, the light absorption along the zigzag direction is less than 3% of that along the armchair direction.36 The distinguishable light transportation property can be exemplified for linear polarizers, while the orthogonal thermal-electronic transportation property makes it a promising thermoelectric candidate.

Another unique property making black-P competitive to TMDs is its high mobility, especially the hole mobility. Bulk black-P has an impressive hole mobility up to 5×104 cm2/Vs and an electron mobility around 1×104 cm2/Vs at 50-100 K low temperatures.47 As the electron and hole effective mass in monolayer black-P is only slightly higher than that of bulk material, theoretical studies

9

show that the hole mobility can range up to 104 cm2/Vs for monolayer black-phosphorene.41

Figure 1.1(d) shows that the mobility of black-P transistors is slightly higher than that of TMD transistors. The optimized performance of few-layer black-P field effect transistors achieves current on/off ratios up to 105 and a carrier mobilities up to 1000 cm2/Vs at room temperature.37

The main aspect hindering the real application of black-P is its thin film synthesizing techniques are not mature yet. Mechanical and chemical exfoliation from bulk crystals are still the primary methods of obtaining thin black-P flakes. Bulk black-P crystals initially are produced by either high-pressurization of white and red phosphorus (>1 GPa)47 or recrystallization from liquid bismuth48 and mercury catalysis. The high pressure, however, is impractical for commercial integration while the latter method is time-consuming, toxic, and poor yield. In the last decade, a low-pressure, non-toxic CVT method is developed by solid-state reaction of red phosphorene and

49 50 Sn/SnI4 or Au/Sn/SnI4 mineralizing agents. As noted, the use of the Sn/SnI4 agent can produce high-quality crystal with much less Sn related by-products than using the Au/Sn/SnI4. Recently, a large-scale black-P thin film (~ 40 nm) is reported through the high-pressurization of red phosphorous (>8 GPa) at room temperature. However, the pressure issue is still a concern for practical applications.51

1.4 Challenges and Research Motivation of this work

As promising as they seem, numerous challenges still exist for TMDs and black-P, hindering their large-scale integration into nano-electronic devices. For example, it is still an obstacle to grow a high-quality, large-scale 2D thin film with uniform and controllable thickness while being free of vacancies, grain boundaries, and dislocations. Though exfoliation-assisted transfer methods provide a certain degree of availability for research, they are accompanied by small yield, low

10

reproducibility, and residue contamination issues deeming them impractical for the industry.

Nevertheless, a concept of “atomic layer etching” is proposed and excepted to address TMD’s high thickness sensitivity and provide precise local thickness control which is complementary to the above-mentioned thin film preparation methods.52

The optical and electronic properties of 2D materials are highly sensitive to the crystal quality.

However, the crystal quality of 2D materials can be significantly affected by the growth environments such as precursor, gas atmosphere, pressure, temperature, and substrate.53 Point defects from vacancies, adsorbates, dopants, and anti-sites are the most abundant defects discovered either in the synthesized or natural discovered TMD crystals as summarized in a review by Lin et al. (2016).53 They may introduce stoichiometric irregularity,54 local Fermi level variation,54 and PL intensity modulation55,56. On the other hand, defect engineering can be utilized to tune the electronic and optical characteristics in TMDs. For example, MoS2 is naturally n-type doped with sulfur vacancies. When creating substantial sulfur vacancies through annealing MoS2 in an H2 environment, a blue shift of its Raman vibrational modes and conductance increment will

55 result. Similar behavior is also confirmed in WS2 since the electron mobility as well as the PL intensity of the S-vacancy-rich domain are one magnitude higher than that of the W-vacancy-rich domain.56 Besides point defects, grain boundaries, dislocations, and edges are also observed in the

57,58 as-grown, electron beam irradiated TMDs. Zande et al. found that the PL intensity in MoS2 is enhanced in the tilt boundary (S-rich, p-doped) and quenched in the mirror boundaries (Mo-rich, n-doped).57

In comparison to 3D materials, 2D thin films have a much larger surface area making them exceptionally sensitive to the above-mentioned intrinsic defects and extrinsic factors (i.e.,

11

environment, substrate, temperature, and interface). For materials like tellurides (MoTe2 and

WTe2) and black-P, air exposure leads to significant surface degradation through oxidation. Take black-P for example; each black-P atom has five valence electrons bound with three adjacent atoms, leaving a lone-pair of electrons. This electron lone-pair has a significant influence on the interlayer interaction and the chemical stability of black-P as summarized in Ref 49,59 and is particularly attractive to the more electronegative oxygen atoms.60 Environmental degradation of black-P has been extensively studied as well as initiated passivation schemes for materials like it.61–64

When integrating 2D materials into nanoelectronics devices, the semiconductor-metal and dielectric-semiconductor interfaces are of crucial importance in the device’s performance. Firstly, since the performance of the metal-contacted 2D-FETs is commonly limited by Schottky barriers due to interface reaction resulted in Fermi level pinning, it is necessary to lower the barrier height and barrier width.65 A low contact resistance is achievable through the proposed heavy doping, hole injection layers (MoOx or WOx), phase engineering, or graphene contact methods; however, systematic research is still required.59,66 Secondly, an optimized dielectric-semiconductor interface requires a dielectric layer with a conformal coverage, pin-hole free, and minimized interface interaction to prevent leakage current and eliminate coulomb scattering at the interface region.

However, the relative dearth of dangling bonds on the vdWs surfaces makes the nucleation of high- k dielectrics on 2D materials a greater challenge than expected. Surface functionalization, seeding layer, or some buffer layers are required to promote it.67–70

12

1.5 Outline of this work

The complexity of the challenges discussed above highlights the essential importance of the surface and interface for such materials at the 2D limit. The purpose of this research is to understand the chemical/electrical/structural properties of 2D materials at surface and interface regions particularly under controlled high vacuum conditions that reduce the influence of external factors. Black-P and TMDs (e.g., MoS2, WSe2, MoTe2, and WTe2) are the main materials studied and discussed in this work that is divided into three categories: 1) surface and interfacial study of high-k dielectrics on 2D materials (black-P, MoTe2, and WTe2 in Chapter 3 and 7, respectively),

2) a precise thickness control with atomic layer etching (MoS2 in Chapter 4 and WSe2 discussed in Chapter 8), and 3) thermal stability and phase transition investigations (MoTe2 in Chapter 5 and

6).

In Chapter 3, to probe possible reasons that lead a black-P transistor from monopolar to bipolar behavior when an Al2O3 encapsulation layer is deposited, a half-cycle ALD process in combination with in situ XPS characterization is employed to investigate the interface between the atomic layer deposited Al2O3 and three black-P samples with different defect concentrations. The role of defects and impurities on the nucleation behavior of the deposited Al2O3 is studied. It is found that the surface of black-P can be further degraded if any phosphorous oxides exist before the ALD process, but is kept integral if it is oxide-free. The work highlights the importance of the initial surface condition on the subsequent interfacial quality of the deposited Al2O3 on black-P.

MoS2 is recognized as the most chemically stable material within group-6 TMDs. In Chapter 4, a layer-limited oxidation of MoS2 is controlled and realized for atomic layer etching applications.

First, we investigate the oxidation mechanism of MoS2 under a remote O2 plasma to provide a

13

uniform and layer-limited oxidation method without damaging the underlying crystal structure.

Then we demonstrate the integral lattice structure through XPS, LEED, and STM after evaporating the oxidation layer in a vacuum because of the low vapor pressure of the formed oxide layer on

MoS2. At last, the atomic layer etching is repeated on thin films and confirmed with Raman and

AFM. This research provides a new pathway of a nondestructive, atomic layer etching method.

Also, the plasma-assisted etching method is extended to WSe2 and discussed in Chapter 8.

Chapter 5-6 presents a fundamental study of defects, thermal stability, and phase transition in

MoTe2. The chemical, structural, and electronic properties of MoTe2 under high vacuum annealing conditions are investigated through STM, XPS, and STEM in situ characterization. The poor thermal stability of MoTe2 is observed due to Te diffusion and desorption which drives the formation of inversion domain boundaries at 400 °C (discussed in Chapter 5) and metallic Mo6Te6 nanowires at 450 °C. Such novel 2D-to-1D phase transition is thoroughly discussed in Chapter 6.

Finally, to prevent Te loss induced structural degradation, a passivation strategy using graphene as the encapsulation material is proposed and examined. The structural stability of graphene encapsulated MoTe2 is successfully enhanced when both the surface and edge are passivated.

Aside from using graphene, a high k dielectric layer is also an encapsulation choice as discussed in Chapter 3. As such, the interfaces of the atomic layer deposited Al2O3 on MoTe2 and WTe2 as well are discussed in Chapter 7. To solve the poor nucleation issue on MoTe2 with the thermal

ALD method, remote O2 plasma-enhanced ALD and an Al2O3 buffer layer+thermal ALD were employed and studied, respectively.

14

1.6 References

(1) Kim, K.; Choi, J.-Y.; Kim, T.; Cho, S.-H.; Chung, H.-J. A Role for Graphene in Silicon-Based Semiconductor Devices. Nature 2011, 479, 338–344.

(2) K.S. Novoselov. Electric Field Effect in Atomically Thin Carbon Films. Science. 2004, 306, 666–670.

(3) Chhowalla, M.; Jena, D.; Zhang, H. Two-Dimensional Semiconductors for Transistors. Nat. Rev. Mater. 2016, 1, 16052.

(4) Das, T.; Ahn, J.-H. Development of Electronic Devices Based on Two-Dimensional Materials. FlatChem 2017, 3, 43–63.

(5) Radisavljevic, B.; Radenovic, A.; Brivio, J.; Giacometti, V.; Kis, A. Single-Layer MoS2 Transistors. Nat. Nanotechnol. 2011, 6, 147–150.

(6) Fuhrer, M. S.; Hone, J. Measurement of Mobility in Dual-Gated MoS2 Transistors. Nat. Nanotechnol. 2013, 8, 146–147.

(7) Castellanos-Gomez, A. Black Phosphorus: Narrow Gap, Wide Applications. J. Phys. Chem. Lett. 2015, 6, 4280–4291.

(8) Ugeda, M. M.; Bradley, A. J.; Shi, S.-F.; da Jornada, F. H.; Zhang, Y.; Qiu, D. Y.; Ruan, W.; Mo, S.-K.; Hussain, Z.; Shen, Z.-X.; Wang, F.; Louie, S. G.; Crommie, M. F. Giant Bandgap Renormalization and Excitonic Effects in a Monolayer Transition Metal Dichalcogenide Semiconductor. Nat. Mater. 2014, 13, 1091–1095.

(9) Ilatikhameneh, H.; Tan, Y.; Novakovic, B.; Klimeck, G.; Rahman, R.; Appenzeller, J. Tunnel Field-Effect Transistors in 2D Transition Metal Dichalcogenide Materials. IEEE J. Explor. Solid-State Comput. Devices Circuits 2015, 9231, 1–1.

(10) Ionescu, A. M.; Riel, H. Tunnel Field-Effect Transistors as Energy-Efficient Electronic Switches. Nature 2011, 479, 329–337.

(11) Sarkar, D.; Xie, X.; Liu, W.; Cao, W.; Kang, J.; Gong, Y.; Kraemer, S.; Ajayan, P. M.; Banerjee, K. A Subthermionic Tunnel Field-Effect Transistor with an Atomically Thin Channel. Nature 2015, 526, 91–95.

(12) Gong, C.; Zhang, H.; Wang, W.; Colombo, L.; Wallace, R. M.; Cho, K. Band Alignment of Two-Dimensional Transition Metal Dichalcogenides: Application in Tunnel Field Effect Transistors. Appl. Phys. Lett. 2013, 103, 2013–2016.

15

(13) Chhowalla, M.; Shin, H. S.; Eda, G.; Li, L.-J.; Loh, K. P.; Zhang, H. The Chemistry of Two- Dimensional Layered Transition Metal Dichalcogenide Nanosheets. Nat. Chem. 2013, 5, 263– 275.

(14) Huang, Y.; Sutter, E.; Shi, N. N.; Zheng, J.; Yang, T.; Englund, D.; Gao, H. J.; Sutter, P. Reliable Exfoliation of Large-Area High-Quality Flakes of Graphene and Other Two- Dimensional Materials. ACS Nano 2015, 9, 10612–10620.

(15) Coleman, J. N.; Lotya, M.; O’Neill, A.; Bergin, S. D.; King, P. J.; Khan, U.; Young, K.; Gaucher, A.; De, S.; Smith, R. J.; Shvets, I. V; Arora, S. K.; Stanton, G.; Kim, H.-Y.; Lee, K.; Kim, G. T.; Duesberg, G. S.; Hallam, T.; Boland, J. J.; Wang, J. J.; Donegan, J. F.; Grunlan, J. C.; Moriarty, G.; Shmeliov, A.; Nicholls, R. J.; Perkins, J. M.; Grieveson, E. M.; Theuwissen, K.; McComb, D. W.; Nellist, P. D.; Nicolosi, V. Two-Dimensional Nanosheets Produced by Liquid Exfoliation of Layered Materials. Science. 2011, 331, 568–571.

(16) Zhang, C.; Kc, S.; Nie, Y.; Liang, C.; Vandenberghe, W. G.; Longo, R. C.; Zheng, Y.; Kong, F.; Hong, S.; Wallace, R. M.; Cho, K. Charge Mediated Reversible Metal-Insulator Transition in Monolayer MoTe2 and WxMo1-xTe2 Alloy. ACS Nano 2016, 10, 7370–7375.

(17) Sun, Y.; Wu, S. C.; Ali, M. N.; Felser, C.; Yan, B. Prediction of in Orthorhombic MoTe2. Phys. Rev. B 2015, 92, 1–7.

(18) Vellinga, M. B.; Jonge, R. De; Haas, C. Semiconductor to Metal Transition in MoTe2. J. Solid State Chem. 1970, 2, 299–302.

(19) Clarke, R.; Marseglia, E.; Hughes, H. P. A Low-Temperature Structural Phase Transition in β-MoTe2. Philos. Mag. Part B 1978, 38, 121–126.

(20) Zhou, Y.; Reed, E. J. Structural Phase Stability Control of Monolayer MoTe2 with Adsorbed Atoms and Molecules. J. Phys. Chem. C 2015, 119, 21674–21680.

(21) Cho, S.; Kim, S.; Kim, J. H.; Zhao, J.; Seok, J.; Keum, D. H.; Baik, J.; Choe, D.; Chang, K. J.; Suenaga, K.; Kim, S. W.; Lee, Y. H.; Yang, H. Phase Patterning for Ohmic Homojunction Contact in MoTe2. Science 2015, 349, 625–628.

(22) Eda, G.; Fujita, T.; Yamaguchi, H.; Voiry, D.; Chen, M.; Chhowalla, M. Coherent Atomic and Electronic Heterostructures of Single-Layer MoS2. ACS Nano 2012, 6, 7311–7317.

(23) Lin, Y.-C.; Dumcenco, D. O.; Huang, Y.-S.; Suenaga, K. Atomic Mechanism of the Semiconducting-to-Metallic Phase Transition in Single-Layered MoS2. Nat. Nanotechnol. 2014, 9, 391–396.

(24) Keum, D. H.; Cho, S.; Kim, J. H.; Choe, D.-H.; Sung, H.-J.; Kan, M.; Kang, H.; Hwang, J.- Y.; Kim, S. W.; Yang, H.; Chang, K. J.; Lee, Y. H. Bandgap Opening in Few-Layered Monoclinic MoTe2. Nat. Phys. 2015, 11, 482–486.

16

(25) K.C., S.; Zhang, C.; Hong, S.; Wallace, R. M.; Cho, K. Phase Stability of Transition Metal Dichalcogenide by Competing Ligand Field Stabilization and Charge Density Wave. 2D Mater. 2015, 2, 35019.

(26) Boeker, T.; Severin, R.; Mueller, A.; Janowitz, C.; Manzke, R.; Voss, D.; Krueger, P.; Mazur, A.; Pollmann, J. Band Structure of MoS2, MoSe2, and α-MoTe2: Angle-Resolved Photoelectron Spectroscopy and Ab Initio Calculations. Phys. Rev. B 2001, 64, 235305.

(27) Das, S.; Prakash, A.; Salazar, R.; Appenzeller, J. Toward Low-Power Electronics: Tunneling Phenomena in Transition Metal Dichalcogenides. ACS Nano 2014, 8, 1681–1689.

(28) Ruppert, C.; Aslan, O. B.; Heinz, T. F. Optical Properties and Band Gap of Single- and Few- Layer MoTe2 Crystals. Nano Lett. 2014, 14, 6231–6236.

(29) He, K.; Kumar, N.; Zhao, L.; Wang, Z.; Mak, K. F.; Zhao, H.; Shan, J. Tightly Bound Excitons in Monolayer WSe2. Phys. Rev. Lett. 2014, 113, 1–5.

(30) Lin, Y.-C.; Ghosh, R. K.; Addou, R.; Lu, N.; Eichfeld, S. M.; Zhu, H.; Li, M.-Y.; Peng, X.; Kim, M. J.; Li, L.-J.; Wallace, R. M.; Datta, S.; Robinson, J. a. Atomically Thin Resonant Tunnel Diodes Built from Synthetic van Der Waals Heterostructures. Nat. Commun. 2015, 6, 7311.

(31) Gong, Y.; Lin, J.; Wang, X.; Shi, G.; Lei, S.; Lin, Z.; Zou, X.; Ye, G.; Vajtai, R.; Yakobson, B. I.; Terrones, H.; Terrones, M.; Tay, B. K.; Lou, J.; Pantelides, S. T.; Liu, Z.; Zhou, W.; Ajayan, P. M. Vertical and in-Plane Heterostructures from WS2/MoS2 Monolayers. Nat. Mater. 2014, 13, 1135–1142.

(32) Elías, A. L.; Perea-López, N.; Castro-Beltrán, A.; Berkdemir, A.; Lv, R.; Feng, S.; Long, A. D.; Hayashi, T.; Kim, Y. A.; Endo, M.; Gutiérrez, H. R.; Pradhan, N. R.; Balicas, L.; Mallouk, T. E.; López-Urías, F.; Terrones, H.; Terrones, M. Controlled Synthesis and Transfer of Large-Area WS2 Sheets: From Single Layer to Few Layers. ACS Nano 2013, 7, 5235–5242.

(33) Lin, Y.-C.; Zhang, W.; Huang, J.-K.; Liu, K.-K.; Lee, Y.-H.; Liang, C.-T.; Chu, C.-W.; Li, L.-J. Wafer-Scale MoS2 Thin Layers Prepared by MoO3 Sulfurization. Nanoscale 2012, 4, 6637.

(34) Choi, W.; Choudhary, N.; Han, G. H.; Park, J.; Akinwande, D.; Lee, Y. H. Recent Development of Two-Dimensional Transition Metal Dichalcogenides and Their Applications. Mater. Today 2017, 20, 116–130.

(35) Yang, J.; Lv, T.; Myint, Y. W.; Pei, J.; Macdonald, D.; Zheng, J. C.; Lu, Y. Robust Excitons and Trions in Monolayer MoTe2. ACS Nano 2015, 9, 6603–6609.

17

(36) Wang, X.; Jones, A. M.; Seyler, K. L.; Tran, V.; Jia, Y.; Zhao, H.; Wang, H.; Yang, L.; Xu, X.; Xia, F. Highly Anisotropic and Robust Excitons in Monolayer Black Phosphorus. Nat. Nanotechnol. 2015, 10, 517–521.

(37) Li, L.; Yu, Y.; Ye, G. J.; Ge, Q.; Ou, X.; Wu, H.; Feng, D.; Chen, X. H.; Zhang, Y. Black Phosphorus Field-Effect Transistors. Nat. Nanotechnol. 2014, 9, 372–377.

(38) Liu, H.; Neal, A. T.; Zhu, Z.; Luo, Z.; Xu, X.; Tománek, D.; Ye, P. D. Phosphorene : An Unexplored 2D Semiconductor with a High Hole Mobility. ACS Nano 2014, 8, 4033–4041.

(39) Luo, X.; Rahbarihagh, Y.; James C. M. Hwang; Liu, H.; Du, Y.; Ye, P. D. Temporal and Thermal Stability of Al2O3-Passivated Phosporene MOSFETs. IEEE Electron device Lett. 2014, 35, 1–3.

(40) Xia, F.; Wang, H.; Jia, Y. Rediscovering Black Phosphorus as an Anisotropic Layered Material for Optoelectronics and Electronics. Nat. Commun. 2014, 5, 4458.

(41) Qiao, J.; Kong, X.; Hu, Z.-X.; Yang, F.; Ji, W. High-Mobility Transport Anisotropy and Linear Dichroism in Few-Layer Black Phosphorus. Nat. Commun. 2014, 5, 4475.

(42) Tran, V.; Soklaski, R.; Liang, Y.; Yang, L. Layer-Controlled Band Gap and Anisotropic Excitons in Few-Layer Black Phosphorus. Phys. Rev. B 2014, 89, 1–6.

(43) Zhang, G.; Chaves, A.; Huang, S.; Song, C.; Low, T.; Yan, H. Infrared Fingerprints of Few- Layer Black Phosphorus. Nat. Commun. 2016.

(44) Liang, L.; Wang, J.; Lin, W.; Sumpter, B. G.; Meunier, V.; Pan, M. Electronic Bandgap and Edge Reconstruction in Phosphorene Materials. Nano Lett. 2014, 14, 6400–6406.

(45) Zhang, C. D.; Lian, J. C.; Yi, W.; Jiang, Y. H.; Liu, L. W.; Hu, H.; Xiao, W. D.; Du, S. X.; Sun, L. L.; Gao, H. J. Surface Structures of Black Phosphorus Investigated with Scanning Tunneling Microscopy. J. Phys. Chem. C 2009, 113, 18823–18826.

(46) Ling, X.; Wang, H.; Huang, S.; Xia, F.; Dresselhaus, M. S. The Renaissance of Black Phosphorus. Proc. Natl. Acad. Sci. 2015, 112, 4523–4530.

(47) Morita, A. Semiconducting Black Phosphorus. Appl. Phys. A 1986, 39, 227–242.

(48) Maruyama, Y.; Suzuki, S. Synthesis and Some Properties of Black Phosphorus Single Crystals. Physica 1981, 105, 99–102.

(49) Köpf, M.; Eckstein, N.; Pfister, D.; Grotz, C.; Krüger, I.; Greiwe, M.; Hansen, T.; Kohlmann, H.; Nilges, T. Access and in Situ Growth of Phosphorene-Precursor Black Phosphorus. J. Cryst. Growth 2014, 405, 6–10.

18

(50) Lange, S.; Schmidt, P.; Nilges, T. Au3SnP7 @ Black Phosphorus: An Easy Access to Black Phosphorus. Inorg. Chem. 2007, 46, 4028–4035.

(51) Li, X.; Deng, B.; Wang, X.; Chen, S.; Vaisman, M.; Karato, S.; Pan, G.; Larry Lee, M.; Cha, J.; Wang, H.; Xia, F. Synthesis of Thin-Film Black Phosphorus on a Flexible Substrate. 2D Mater. 2015, 2, 31002.

(52) Zhu, H.; Qin, X.; Cheng, L.; Azcatl, A.; Kim, J.; Wallace, R. M. Remote Plasma Oxidation and Atomic Layer Etching of MoS2. ACS Appl. Mater. Interfaces 2016, 8, 19119–19126.

(53) Lin, Z.; Carvalho, B. R.; Kahn, E.; Lv, R.; Rao, R.; Terrones, H.; Pimenta, M. A.; Terrones, M. Defect Engineering of Two-Dimensional Transition Metal Dichalcogenides. 2D Mater. 2016, 3, 22002.

(54) Mcdonnell, S.; Addou, R.; Buie, C.; Wallace, R. M.; Hinkle, C. L. Defect-Dominated Doping and Contact Resistance in MoS2. ACS Nano 2014, 8, 2880–2888.

(55) Kim, B. H.; Park, M.; Lee, M.; Baek, S. J.; Jeong, H. Y.; Choi, M.; Chang, S. J.; Hong, W. G.; Kim, T. K.; Moon, H. R.; Park, Y. W.; Park, N.; Jun, Y. Effect of Sulphur Vacancy on Geometric and Electronic Structure of MoS2 Induced by Molecular Hydrogen Treatment at Room Temperature. RSC Adv. 2013, 3, 18424.

(56) Jeong, H. Y.; Jin, Y.; Yun, S. J.; Zhao, J.; Baik, J.; Keum, D. H.; Lee, H. S.; Lee, Y. H. Heterogeneous Defect Domains in Single-Crystalline Hexagonal WS2. Adv. Mater. 2017, 29, 1–6.

(57) van der Zande, A. M.; Huang, P. Y.; Chenet, D. a; Berkelbach, T. C.; You, Y.; Lee, G.-H.; Heinz, T. F.; Reichman, D. R.; Muller, D. a; Hone, J. C. Grains and Grain Boundaries in Highly Crystalline Monolayer Molybdenum Disulphide. Nat. Mater. 2013, 12, 554–561.

(58) Lin, J.; Pantelides, S. T.; Zhou, W. Vacancy-Induced Formation and Growth of Inversion Domains in Transition-Metal Fichalcogenide Monolayer. ACS Nano 2015, 9, 5189–5197.

(59) Ryder, C. R.; Wood, J. D.; Wells, S. A.; Hersam, M. C. Chemically Tailoring Semiconducting Two-Dimensional Transition Metal Dichalcogenides and Black Phosphorus. ACS Nano 2016, 10, 3900–3917.

(60) Ziletti, A.; Carvalho, A.; Trevisanutto, P. E.; Campbell, D. K.; Coker, D. F.; Castro Neto, A. H. Phosphorene Oxides: Bandgap Engineering of Phosphorene by Oxidation. Phys. Rev. B 2015, 91, 85407.

(61) Wood, J. D.; Wells, S. A.; Jariwala, D.; Chen, K.; Cho, E.; Sangwan, V. K.; Liu, X.; Lauhon, L. J.; Marks, T. J.; Hersam, M. C. Effective Passivation of Exfoliated Black Phosphorus Transistors against Ambient Degradation. Nano Lett. 2014, 14, 6964–6970.

19

(62) Wang, L.; Gutiérrez-Lezama, I.; Barreteau, C.; Ubrig, N.; Giannini, E.; Morpurgo, A. F. Tuning Magnetotransport in a Compensated Semimetal at the Atomic Scale. Nat. Commun. 2015, 8892.

(63) Castellanos-Gomez, A.; Vicarelli, L.; Prada, E.; Island, J. O.; Narasimha-Acharya, K. L.; Blanter, S. I.; Groenendijk, D. J.; Buscema, M.; Steele, G. A.; Alvarez, J. V; Zandbergen, H. W.; Palacios, J. J.; van der Zant, H. S. J. Isolation and Characterization of Few-Layer Black Phosphorus. 2D Mater. 2014, 1, 25001.

(64) Favron, A.; Gaufrès, E.; Fossard, F.; Phaneuf-L’Heureux, A.-L.; Tang, N. Y.-W.; Lévesque, P. L.; Loiseau, A.; Leonelli, R.; Francoeur, S.; Martel, R. Photooxidation and Quantum Confinement Effects in Exfoliated Black Phosphorus. Nat. Mater. 2015, 14, 826–832.

(65) Smyth, C. M.; Addou, R.; McDonnell, S.; Hinkle, C. L.; Wallace, R. M. WSe2-Contact Metal Interface Chemistry and Band Alignment under High Vacuum and Ultra High Vacuum Deposition Conditions. 2D Mater. 2017, 4

(66) Chuang, H. J.; Chamlagain, B.; Koehler, M.; Perera, M. M.; Yan, J.; Mandrus, D.; Tománek, D.; Zhou, Z. Low-Resistance 2D/2D Ohmic Contacts: A Universal Approach to High- Performance WSe2, MoS2, and MoSe2 Transistors. Nano Lett. 2016, 16, 1896–1902.

(67) Cheng, L.; Qin, X.; Lucero, A. T.; Azcatl, A.; Huang, J.; Wallace, R. M.; Cho, K.; Kim, J. Atomic Layer Deposition of a High-K Dielectric on MoS2 Using Trimethylaluminum and Ozone. ACS Appl. Mater. Interfaces 2014, 6, 11834–11838.

(68) Azcatl, A.; McDonnell, S.; K. C., S.; Peng, X.; Dong, H.; Qin, X.; Addou, R.; Mordi, G. I.; Lu, N.; Kim, J.; Kim, M. J.; Cho, K.; Wallace, R. M. MoS2 Functionalization for Ultra-Thin Atomic Layer Deposited Dielectrics. Appl. Phys. Lett. 2014, 104, 111601.

(69) Lee, B.; Park, S. Y.; Kim, H. C.; Cho, K.; Vogel, E. M.; Kim, M. J.; Wallace, R. M.; Kim, J. Conformal Al2O3 Dielectric Layer Deposited by Atomic Layer Deposition for Graphene- Based Nanoelectronics. Appl. Phys. Lett. 2008, 92, 1–4.

(70) McDonnell, S.; Pirkle, A.; Kim, J.; Colombo, L.; Wallace, R. M. Trimethyl-Aluminum and Ozone Interactions with Graphite in Atomic Layer Deposition of Al2O3. J. Appl. Phys. 2012, 112, 104110.

20

CHAPTER 2

EXPERIMENTAL METHODS

The purpose of this research is to investigate the chemical, electrical, and structural properties of

2D materials (black phosphorus and TMDs) at surface/interface regions. A variety of surface processing mechanisms, thin film deposition techniques, and surface interface characterizations are involved in this work, including plasma processing, vacuum annealing, (plasma enhanced) atomic layer deposition (PEALD /ALD), X-ray photoelectronic spectroscopy (XPS), low energy electron diffraction (LEED), scanning tunneling microscopy and spectroscopy (STM and STS), scanning transmission electron microscopy (STEM), Raman spectroscopy, atomic force microscopy (AFM), etc. This chapter will first present a short introduction of two ultrahigh vacuum (UHV) systems and then show a detailed description of the priority techniques used in this work: XPS, STM, ALD, Raman, and STEM, respectively.

2.1 In situ UHV systems

Figure 2.1 illustrates two sets of in situ UHV systems that are primarily used in this research. Both

UHV systems were produced by Omicron Technology and have multifunctional chambers interconnected by UHV transfer tubes with a base pressure of ~10-10 mbar to avoid atmosphere contamination during in situ analysis. The first UHV system (shown in Figure 2.1(a)) is composed of several thin film deposition chambers and an analysis chamber for XPS, LEED, low energy ion scattering spectroscopy (LEIS), and ultraviolet photoelectron spectroscopy (UPS) characterizations.1 The thin film deposition techniques include molecular beam epitaxy (MBE), physical vapor deposition (PVD), radio frequency (RF) magnetron sputtering deposition and atomic layer deposition (ALD) with thermal and plasma models. The second UHV system (Figure

21

2.1(b)) integrates a loadlock chamber, a preparation chamber for e-beam deposition, LEED analysis, and annealing treatment, and an analysis chamber composed of XPS, UPS, and Omicron variable temperature scanning tunneling microscopy (VT STM). The details of the UHV clusters are described elsewhere.2

Figure 2.1. (a) The first in situ characterization system located on the 4th floor of NSERL building (https://sites.google.com/site/robertmwallace01/); (b) The second in situ characterization system located in the basement of the NSERL building. Reprinted from Ref (2) Copyright (2014) Electrochemical Society.

The UHV systems provide critical structural/chemical/electronic information about a material’s surface pre and post surface treatments such as ALD, MBE, and PVD of metals or metal oxides, ion beam etching and sputtering, thermal treatments, and specific gas/plasma atmosphere exposure without disturbing from ambient air. The so-called in situ UHV studies herein provide precise step- by-step surface analysis important for low-dimensional materials which require a critical surface- interface quality to overcome impurity scattering and surface degradation issues.

2.1.1 X-Ray Photoelectron Spectroscopy (XPS)

XPS, a classic surface chemistry technique, is primarily employed in this work for quantifying

22

chemical composition to determine the presence of impurities, oxidation states, and the bonding nature of elements, as well as for predicting thin film thickness with an average detection depth of

5-10 nm. Both UHV systems in Figure 2.1 are installed with monochromatic sources provided by

Physical Electronics (USA) with high energy resolution spectrometers (~50 meV) and small spatial resolutions (analysis areas are ~500 µm2 and ~640 µm2 for the Figure 2.1(a) and 2.1(b), respectively).

Figure 2.2. (a) Schematic of the emission process of characteristic electrons. (b) Energy diagram of the XPS spectrometer. Figure (b) is reprinted with permission from Ref (3) Copyright (2007) Springer Science + Business Media, Inc.

The primary principle of XPS depicted in Figure 2.2 is based on the photoelectric effect by which

(photo)electrons are emitted from core levels of atoms when the surface of a sample is irradiated with monochromatic X-ray photons.3 Here, the X-ray photons (hν = 1486.7 eV) are generated by a monochromated Al Kα1 source which uses an Omicron XM1000 X-ray monochromator to filter

4 out the Al Kα2 component. The kinetic energy 퐸, of the emitted core level photoelectrons is measured with an Omicron EA125 hemispherical electrostatic analyzer under a

23

pass energy of 15 eV and an emission angle of 45 from the sample normal. Angle resolved XPS is also available by rotating the sample plate around the manipulator’s Z-axis. The binding energy

퐸, the energy difference between the core level and Fermi level of the sample, is determined by the following equation (2.1):5

퐸 =ℎ휗 − 퐸, −∅ (2.1)

Where, ∅ is the work function of the spectrometer calibrated by using sputter-cleaned Au, Cu, and Ag foils in the systems, as outlined in the E2108 procedure.6 Each element possesses a unique binding energy fingerprint where the core levels of each element are located at characteristic binding energies. For example, Mo, S, Se, Te and others elements can be identified in the “Survey” spectra shown in Figure 2.3(a).

Figure 2.3. Survey spectra and Mo 3d core level spectra from Mo-based dichalcogenides.

The XPS core levels, originating from the electron configuration of the ejected electron in an atom, are labeled as nlj, where n is the principle quantum number, l is the orbital angular momentum (l

= 0, 1, 2, 3, … or s, p, d, f…), and j is the total angular momentum. Specifically, j= |푙 + 푠|, a

24

combination of the spin (s, ½ or -½) and orbital angular momenta.3 For orbital angular momentum number 푙 ≠0, core levels split into a doublet peak (푗 = 푙 + 푠 and 푗 = 푙 − 푠) with a area

3 intensity ratio of (2푗 +1)⁄ (2푗 + 1) and a peak separation defined as spin orbital splitting.

Generally, the spin orbital splitting and peak intensity ratio of a core level are nearly independent of an element in different compounds. Examples can be seen in the Mo 3d core level regions in different TMD compounds (see Figure 2.3(b)). Furthermore, the exact binding energy of an electron in an element is sensitive to the chemical environment of the element, e.g., chemical species the atom is bonded to and its oxidation state. Basically, the greater the electronegativity of the ligands, the greater the chemical shift of the binding energy that arises from reduced electronic screening of valence electrons. By this principle, the binding energy of Mo 3d in Figure 2.3(b) will be highest for Mo-S bonds and lowest for Mo-Te bonds in ideal, defect-free crystals. However, the unexpected doping effect originating from impurities, Mo vacancies, chalcogen vacancies, and structural defects turn the semiconducting behavior of the material from n-type to p-type, or vice versa.7,8 Sometimes both n- and p-type dopings are coexistent on the same material surface.7,8 In many cases, the concentration of defects is too close to the XPS detection limit and can only be determined by techniques with atomic scale sensitivities such as STM, TEM, inductively coupled plasma mass spectrometry (ICP-MS), etc. In this work, deconvolution of core level spectra is carried out with AAnalyzer software using shirly backgroud substraction and Gaussian-Lorentz deconvolution function for peak fitting.9

The important quantitative applications of XPS are its surface composition analysis as well as overlayer thickness determination. The following equation gives the photoelectron signal intensity of an element i in a thin film at a depth t:10

25

푑퐼 = 푆(퐸)퐹∆푛(d휎/d)exp(− ) (2.2)  

Where, S(E) is the spectrometer function related to the analyzer transmission function, defector efficiency, and the analyzed area. F is the incident X-ray flux, ∆ is the analyzer’s acceptance angle, 푛 is the density of i atoms, (d휎/d) is the atomic photoionization cross section,  is the detection angle with repect to the sample normal, and  is mean free path of inelastic photoelectron collisions.  is also called effective attenuation length (EAL).

Assuming a uniform overlayer i is deposited on a substrate j, photoelectron intensity of the element i is then given by10

퐼 = 푆(퐸)퐹∆푛  1−exp − = 퐼 1−exp − (2.3)     

푆imilarly the signal intensity of the substrate j is given by10

퐼 = 푆퐸퐹∆푛  exp − = 퐼 exp − (2.4)     

퐼 is signal intensity from the bare substrate (t=0), and 퐼 is the signal intensity from the infinitely thick overlayer. From any of the above two equations, the overlayer thickness t can be determined.

In addition, the 푆(퐸)∆  factor in the above equations is correlated with atomic sensitivity  factor, and is often normalized against that of the F 1s.11,12 In this case, F 1s is assigned a nominal relative sensitivity factor (RSF) of 1, and the RSF factors of other elements are only relative to that value. As the RSF values are instrument independent and are obtainable from the spectrometer manufacturer (Physical Electronics) in this work. Once the signal intensity is obtained through the curve fitting, the relative concentration of the element can be calculated based on the following equation:

26

/ 푋 = (2.5) ∑(/)

2.1.2 Scanning Tunneling Microscopy (STM)

STM, based on the quantum mechanical tunneling effect, is a powerful and direct technique to investigate the lattice ordering and electronic properties of a sample surface at the atomic level. In this work, STM is used to examine the lattice quality of MoS2 after the atomic layer etching

(Chapter 4) and to understand the thermal stability and phase transition of MoTe2 (Chapter 5 and

6). The working principle of STM is very simple: bring an atomically sharp metal tip (i.e., electrochemically etched W tip, the preparation process is described in Zetcher User’s Manual provided by Zyvex LABs) near to a conductive sample surface within a proximity of several angstroms, then apply a small bias voltage to drive electron tunneling between the tip and the sample. The tunneling current, It, will exponentially decay with an increased tip-to-sample distance and is held constant by a feedback circuit during the constant-current scanning mode so that a convolution of the local electronic density of states (LDOS) and geometric structure of the sample surface is recorded.

With the early Tersoff-Hamann theory, the total tunneling current from the sample to the tip under a positive bias is determined by the following equation.13,14,15

퐼 = ∫ 휌 (휀) 휌 (휀 + 푒푉 )[푓(휀) − 푓(휀 + 푒푉 )]|푀|푑휀 (2.6) ħ

Where 휌 and 휌 are the local density of states of the sample and tip, 푓(휀) is the Fermi distribution function, 푉 is the tip voltage bias, and 푀 the tunneling matrix element. The Tersoff-Hamann theory, however, simplifies the tip as a s wave-function and is mainly applicable for a low bias

27

approximation (< 10 mV) and low temperature limits.13 Herein, only the LDOS of the sample near the fermi level contribute to the tunneling current.

Under a moderate voltage range 10 푚푉 <|푉|<∅/푒, all LDOS of the sample lying within the energy window 퐸 ≤ 퐸 ≤ 퐸 + 푒푉 will contribute to the tunneling current, which is then modified by the Wentzel-Kramers-Brillouin (WKB) approximation suggested by Selloni et al. in the following equation:14,15

퐼 ∝ 휌 (휀) 휌 (휀 − 푒푉 )푇(푧, 휀, 푉 ) (2.7) ∫ with the tunneling transmission probability function

푇(푧, 휀, 푉 ) = 푒푥푝 −푧(푟⃗) (∅ +∅ + 푒푉 −2퐸) (2.8) ħ

Where the tunneling transmission probability 푇(푧, 휀, 푉) depends on the tip-sample distance (푧(푟⃗)), the voltage bias and the work function of the sample (∅) and the tip (∅), respectively. As Eq. 2.8 suggests, the transmission probability decreases exponentially with the tip-sample distance.

In the Omicron VT-STM described in Figure 2.1(b), the sample is mounted on a Molybdenum plate and electrically grounded while a bias voltage is applied to the tip.2 For positive tip bias

(negative sample bias in literature), occupied states in the sample are recorded while for negative tip bias (positive sample bias), unoccupied empty states are imaged.

Differentiation of Eq. 2.7 gives the conductivity property

(,) ∝ 휌 (푒푉)휌 (0)푇(푧, 푒푉, 푉) + ∫ 휌 (휀) 휌 (휀 − 푒푉) 푑휀 (2.9)

The conductivity information obtained from the above equation is primarily used to extract the

LDOS of the sample via the first term. The I-V spectra or the derivative spectra are obtained

28

experimentally with scanning tunneling spectroscopy (STS, see Figure 2.4), a complementary technique to STM.

Figure 2.4. An I-V curve and the derived spectrum obtained on MoTe2. The dark regions mark the band edge artifact that needs to be removed in the spectrum due to the saturation of the tunneling current.

Technically, in STS mode image scanning is interrupted and the tip is fixed at the position of interest over the sample surface with a constant z distance. Then, the bias voltage is ramped over a specific range monotonically while keeping the feedback loop open. However, for implementation of STS measurements noise and tip effects must be avoided. The electronic density of states of the tip can be influenced by tip contaminants or adsorbates as well as tip artifacts (e.g., double-tip, crashed-tip, or imperfect tip shapes), thus changing the accuracy of the extracted LDOS of the sample. Sometimes, tip-induced local etching takes place at high voltage biases or pulses. It is helpful to perform multiple STS measurements on one location to check the consistency of the spectra or examine the tip condition on reference samples. Also, the sudden drops of the band

29

edges in the spectrum is a type of artifact arising from the satuarion of the tunneling current, thereby needs to be removed (see Figure 2.4).

2.1.3 Atomic Layer Deposition (ALD)

Figure 2.5. Schematic of the ALD half cycle processes.

ALD is widely used in industry and research to achieve conformal thin films, especially of high-k dielectrics, with precise thickness control. It is a distinct chemical vapor deposition method that deposits a thin film by exposing a substrate to two successive gaseous precursors or reactants (see

Figure 2.5). Each precursor is delivered into the deposition chamber by an inert carrier gas (e.g.,

N2 or Ar). Between the interval of the two subsequent precursor purges, the remaining precursors along with the resulting-products are pumped and flushed away by pulses of the same inert gas.

Thus, a “half cycle” refers to one purge-pulse procedure and a full ALD cycle constitutes one purge-pulse-purge-pulse sequence.1 Since only a finite number of surface sites exist, ALD surface

30

reactions take place in a self-limited fashion that allows for precise thickness control at the atomic level.

In this dissertation, ALD of Al2O3 dielectrics on black-P, MoTe2, and WTe2 were investigated using trimethyl-aluminum (TMA) as the metal-organic precursor and de-ionized water (H2O) or remote O2 plasma as the oxidant precursor. Experiments were performed in a Picosun R-200

PEALD tool which is integrated into the first UHV system with a loadlock chamber and has a base pressure of ~4 mbar. The substrate temperature can be regulated from room temperature to as high as 500 C, and is maintained at 200 C during the ALD process for consistency. The experimental details are included in the corresponding chapters. The in situ system monitors the surface- interface interactions of each precursor through an XPS investigation.16 In addition to the thin film deposition, the ALD chamber is also utilized for the remote plasma treatment (Chapter 4). Remote

TM plasma source (O2, N2, or Ar) can be generated by a Litmas Remote Plasma generator with plasma power range of 1500-3000 W and RF excitation range of 1.9-3.2 MHz. The details of the plasma generation process are described in Appendix-A.

2.2 Ex situ characterization techniques

2.2.1 Raman Spectroscopy

Raman spectroscopy is recognized as a rapid, non-destructive method for structural identification of both organic and inorganic molecules and is effective for TMD thin films within micrometer- scale sizes (Raman laser spot size < 1 µm2). It is a vibrational spectroscopy relying on the scattering phenomenon of molecules which are excited to different vibrational or rotational energy levels after interaction with a Raman laser light. Depending on the energy transfer between photons and

31

molecules, different frequency shifts or called Raman shifts appear in the scattered photons. Figure

2.6 illustrates three basic Raman scattering mechanisms: elastic Rayleigh scattering, inelastic

Stokes scattering, and anti-Stokes scattering with the frequency shifts of the scattered photons being zero (Rayleigh shift), negative (Stokes shift), and positive (anti-Stokes shift), respectively.17

Typically, Stokes shift is resolved in Raman spectra, and the dominant Rayleigh scattering is filtered by a notch filter or ultra-low-frequency filter in a Raman system.

Figure 2.6. Raman scattering of incident light by molecules. Adapted from Ref (17). Copyright (2010) John Wiley and Sons.

Except for structural identification, Raman spectroscopy is extensively studied in 2D materials for probing the thermal, pressure, strain, stacking order, and charge transfer effects.18,19 Especially due to the weak interlayer interaction, the peak position, width, or intensity of some 2D materials show the number of layers dependent, rendering Raman spectroscopy a convenient tool for indexing film thickness and probing the van der Waals interactions between heterostructures.20,21 A

representative example is pronounced in the characteristic in-plane vibrational mode (퐸) and

32

out-of-plane (퐴) vibrational mode for MoS2 (see Chapter 4), where the two vibrational modes show opposite trends with an increasing number of layers.

In this thesis, Raman spectroscopy is employed to study the atomic layer etching of MoS2 (Chapter

4) and the phase stability of MoTe2 (Chapter 5 and 6). The facility is an inVia Confocal Renishaw microscope with an excitation wavelength of 532 nm (2.33 eV). The laser beam was focused via a 100× objective lens, generating a spot size of ~500 nm. A grating of 2400 lines/mm was used to achieve a spectral resolution of ~0.5 cm-1. To avoid thermal damage, the laser power was kept at

0.22 mW. As TMD thin films were transferred onto Si/SiO2 substrates, the Raman signal of the substrate Si at 520 cm-1 was used for reference and calibration for any peak shift.

Figure 2.7. Pictures of the Linkam heating stage set for the Raman spectrometer.

In chapter 6, a Linkam heating stage fit for the Raman spectrometer is also employed to study the in situ thermal stability of MoTe2 with/without a graphene encapsulation. The experimental set-up and the heating stage is shown in Figure 2.7. Samples are placed at the center of the heating stage and covered by a lid with a glass window to let the Raman laser pass through. The heating stage possesses a fast heating and cooling rate (~100 °C/min), a high temperature stability (<0.1°C), and

33

a wide temperature range (-196 °C to 600 °C). An inert N2 environment or a different gas atmosphere can be achieved by feeding into the gas line.

2.2.2 Scanning Transmission Electron Microscopy (STEM)

STEM is one type of TEM technique that uses a sub-nanometer sized, convergent electron beam to scan over an electron-transparent thin specimen in a raster manner. The incident electrons are accelerated by a high voltage of usually greater than 100 kV and then interact strongly with columns of atoms in the specimen, producing a variety of electrons and X-rays for imaging, diffraction and spectroscopy analysis.

Figure 2.8 illustrates a basic STEM configuration composed of energy dispersive X-ray spectroscopy (EDS), annular dark-field (ADF) imaging mode, annular bright field or bright field

(ABF/BF) imaging mode, and electron energy-loss spectroscopy (EELS). An ADF image is formed by either coherent (elastic), low angle scattered electrons or incoherent (inelastic), or high angle scattered electrons depending on the acquisition semi-angle, . Specifically,  is larger than the electron beam’s convergence angle  in the ADF detection mode. When only high angle, incoherent scattered electrons are utilized to form the dark field STEM images, an atomic number

Z2 dependent image contrast is correspondingly generated.22 The method is referred as the Z- contrast or high angular annular dark field (HAADF) STEM mode. This mode is especially helpful for heavy atoms owing to their stronger scattering. In comparison, a BF image, principally a phase contrast image, is formed by the transmitted and low-angle scattered electrons, while the ABF imaging method cuts off the inner part of the transmitted beam to attenuate the interference term in the formed phase-contrast images rendering it preferable for visualization of light atoms with extremely weak scattering effect.23

34

Figure 2.8. Schematics of the STEM configuration.

In this work, STEM was performed to study the thermal stability of MoTe2 (Chapter 5), the MoTe2- to-Mo6Te6 phase transition (Chapter 6), and the interface quality of atomic layer deposited Al2O3 on MoTe2 and WTe2 (Chapter 7). All the STEM experiments were carried out by Mr. Qingxiao

Wang, who is under the supervision of Prof. Moon J. Kim and is the coauthor of the first two works presented in Chapter 5 and 6. A JEM-ARM200F (JEOL USA Inc.) operated at 200 kV with a spherical aberration (Cs) corrector (CEOS GmbH, Heidelberg, Germany) is used for STEM and

EDS analysis. The corrector has been carefully tuned by the Zemlin-tableau method with Cs = 0.5

µm and the resolution is about 1 Å. The probe convergence semi-angle  was 23 mrad and probe current was 18 pA. The acquisition semi-angle  for the HAADF and ABF detectors was adjusted in the range of 90-370 mrad and 12-24 mrad, respectively. The STEM specimen preparation has been described in detail in each chapter.

35

Besides the real space imaging, an Oxford X-MaxN100TLE with 100 mm2 silicon drift detector

(an EDS detector), placed above the specimen, was employed to collect the characteristic X-rays emitted from the STEM specimen for composition analysis. The theory of EDS is similar to XPS, however, due to poor energy resolution (~100 eV), the chemical state/bonding information cannot be extracted from the EDS analysis.

2.3 References

(1) Wallace, R. M. In-Situ Studies of Interfacial Bonding of High-K Dielectrics for CMOS beyond 22nm. ECS Trans. 2008, 16, 255–271.

(2) Wallace, R. M. In-Situ Studies on 2D Materials. ECS Trans. 2014, 64, 109–116.

(3) Alfold, T. L.; Feldman, L. C.; Mayer, J. W. Fundamentals of Nanoscale Film Analysis; Springer US, 2007, 199–213.

(4) Schweppe, J.; Deslattes, R. D.; Mooney, T.; Powell, C. J. Accurate Measurement of Mg and Al K1,2 X-Ray Energy Profiles. J. Electron Spectros. Relat. Phenomena 1994, 67, 463–478.

(5) Vickerman, J. C.; Gilmore, I. S. Surface Analysis: The Principal Techniques, 2nd ed.; John Wiley & Sons, Inc., 2009.

(6) ASTM E2108-05. Standard Practice for Calibration of the Electron Binding-Energy Scale of an X-Ray Photoelectron Spectrometer; ASTM International: West Conshohocken, PA, 2010. www.astm.org.

(7) Addou, R.; McDonnell, S.; Barrera, D.; Guo, Z.; Azcatl, A.; Wang, J.; Zhu, H.; Hinkle, C. L.; Quevedo-Lopez, M.; Alshareef, H. N.; Colombo, L.; Hsu, J. W. P.; Wallace, R. M. Impurities and Electronic Property Variations of Natural MoS2 Crystal Surfaces. ACS Nano 2015, 9, 9124–9133.

(8) Addou, R.; Wallace, R. M. Surface Analysis of WSe2 Crystals: Spatial and Electronic Variability. ACS Appl. Mater. Interfaces 2016, 8, 26400–26406.

(9) Herrera-Gómez, A.; Hegedus, A.; Meissner, P. L. Chemical Depth Profile of Ultrathin Nitrided SiO2 Films. Appl. Phys. Lett. 2002, 81, 1014–1016.

(10) Jablonski, A.; Zemek, J. Owerlayer Thickness Determination by XPS Using the Multiline Approach. Surf. Interface Anal. 2009, 41, 193–204.

36

(11) Wagner, C. D.; Raymond, R. H.; Gale, L. H. Empirical Atomic Sensitivity Factors for Quantitative Analysis by Electron Spectroscopy for Chemical Analysis. Surf. Interface Anal. 1981, 3, 211–225.

(12) Wagner, C. D. Sensitivity Factors for XPS Analysis of Surface Atoms. J. Electron Spectros. Relat. Phenomena 1983, 32, 99–102.

(13) Tersoff, J.; Hamann, D. Theory and Application for the Scanning Tunneling Microscope. Phys. Rev. Lett. 1983, 50, 1998.

(14) Yu, E. T. Cross-Sectional Scanning Tunneling Microscopy. Chem. Rev. 1997, 97, 1017–1044.

(15) Kibsgaard, J. Atomic-Scale Investigation of MoS2-Based Hydrotreating Model Catalysts A Scanning Tunneling Microscopy Study, Thesis. Denmark: University of Aarhus, 2008.

(16) Milojevic, M.; Aguirre-Tostado, F. S.; Hinkle, C. L.; Kim, H. C.; Vogel, E. M.; Kim, J.; Wallace, R. M. Half-Cycle Atomic Layer Deposition Reaction Studies of Al2O3 on In0.2Ga0.8As (100) Surfaces. Appl. Phys. Lett. 2008, 93, 202902.

(17) Leng, Y. Materials Characterisation: Introduction to Microscopic and Spectroscopic Methods. John Wiley & Sons, Singapore, 2008.

(18) Mathew, S.; Gopinadhan, K.; Chan, T. K.; Yu, X. J.; Zhan, D.; Cao, L.; Rusydi, A.; Breese, M. B. H.; Dhar, S.; Shen, Z. X.; Venkatesan, T.; Thong, J. T. L. Magnetism in MoS2 Induced by Proton Irradiation. Appl. Phys. Lett. 2012, 101, 102103.

(19) Zhang, X.; Qiao, X.-F.; Shi, W.; Wu, J.-B.; Jiang, D.-S.; Tan, P.-H. Phonon and Raman Scattering of Two-Dimensional Transition Metal Dichalcogenides from Monolayer, Multilayer to Bulk Material. Chem. Soc. Rev. 2015, 44, 2757–2785.

(20) Zhou, K.; Withers, F.; Cao, Y.; Hu, S.; Yu, G.; Casiraghi, C. Raman Modes of MoS2 Used as Fingerprint of van Der Waals Interactions. ACS Nano 2014, 8, 9914–9924.

(21) Fang, H.; Battaglia, C.; Carraro, C.; Nemsak, S.; Ozdol, B.; Kang, J. S.; et al. Strong Interlayer Coupling in van Der Waals Heterostructures Built from Single-Layer Chalcogenides. Proc. Natl. Acad. Sci. 2014, 111, 6198–6202.

(22) MacLaren, I.; Ramasse, Q. M. Aberration-Corrected Scanning Transmission Electron Microscopy for Atomic-Resolution Studies of Functional Oxides. Int. Mater. Rev. 2014, 59, 115–131.

(23) Ishikawa, R.; Okunishi, E.; Sawada, H.; Kondo, Y.; Hosokawa, F.; Abe, E. Direct Imaging of Hydrogen-Atom Columns in a Crystal by Annular Bright-Field Electron Microscopy. Nat. Mater. 2011, 10, 278–281.

37

CHAPTER 3

AL2O3 ON BLACK PHOSPHORUS BY HALF CYCLE ATOMIC LAYER DEPOSITION

3.1 Preface

Black phosphorous (black-P) is extremely hygroscopic and sensitive to the ambient air. Efficient isolation/passivation layers such as high-k dielectrics are highly necessary and suggested for black-

P to preserve its electronic properties without water and oxygen involvement. This work was done in collaboration with Professor Peide Ye at Purdue University, whose group found that interfacial charge/traps are formed after the Al2O3 deposition/capping and tune the resulting top-gate black-

P transistor from p-type into an ambipolar behavior.1 In order to understand the interfacial quality of the atomic layer deposited Al2O3, in situ “half cycle” atomic layer deposition (ALD) of Al2O3 was carried out on black-P surfaces with modified phosphorus oxide concentrations. X-ray photoelectron spectroscopy is employed to investigate the interfacial chemistry and the nucleation of the Al2O3 on black-P surfaces. This work suggests that exposing a sample that is initially free of phosphorus oxide to the ALD precursors does not result in detectable oxidation. However, when the phosphorus oxide is formed on the surface prior to deposition, the black-P can react with both the surface adventitious oxygen contamination and the H2O precursor at the deposition temperature of 200 C. As a result, the concentration of the phosphorus oxide increases after both annealing and the atomic layer deposition process. The nucleation rate of Al2O3 on black-P is correlated with the amount of oxygen in samples prior to the deposition. The growth of Al2O3 follows a “substrate inhibited growth” behavior where an incubation period is required. Ex situ atomic force microscopy is also used to investigate the deposited Al2O3 morphologies on black-P

38

where the Al2O3 tends to form islands on the exfoliated black-P samples. Therefore, surface functionalization may be needed to get a conformal coverage of Al2O3 on the phosphorus oxide free samples.

The content of this chapter is adapted with permission from (Al2O3 on Black Phosphorus by

Atomic Layer Deposition: An in situ Interface Study. ACS Applied Materials & Interface. 2015,

7, pp 13038-13043). Copyright (2015) American Chemical Society. The authors are Hui Zhu

(UTD), Stephen McDonnell (UTD), Xiaoye Qin (UTD), Angelica Azcatl (UTD), Lanxia Cheng

(UTD), Rafik Addou (UTD), Jiyoung Kim (UTD), Peide D. Ye (Purdue Univ.), and Robert M.

Wallace (UTD). In this work, Prof. P. D. Ye was the key collaborator of the project and appreciated for providing black-P crystals. Dr. X. Qin and Dr. A. Azcatl are acknowledged for active involvement in the initiation of this work and the access training of the UHV system. Dr. L. Cheng was acknowledged for acquiring the AFM data under Prof. J. Kim’s guidance. Dr. S. McDonnell,

Dr. R. Addou, and all the other coauthors provided valuable inputs in preparing the manuscript.

3.2 Introduction

Phosphorus has several allotropes, including the amorphous red phosphorus, flammable and toxic white phosphorous, and the most stable form orthorhombic black phosphorous (black-P). Only black-P is considered to be an appealing two-dimensional (2D) material because of its novel properties and potential application in few-layer transistor structures.2–8 Bulk black-P is an anisotropic lamellar semiconductor with a direct bandgap of about 0.3 eV,3,9,10 and an impressive hole mobility of up to 104 cm2/Vs at low temperatures (50-100 K).11,12 Few-layer black-P field effect transistors possess high current on/off ratios (up to 104) and high carrier mobilities up to

1000 cm2/Vs at room temperature.2,3 Consequently, black-P is a promising candidate for

39

nanoelectronic and optoelectronic device applications.13 However, a clear challenge in the implementation of black-P is the strong hydrophilic14,15 and oxidation10 reactions during device processing and thereafter. Thus, efficient isolation/passivation layers are necessary for black-P to preserve its electronic properties. To date, many efforts on passivation have been reported. For example, recent reports show that the high field-effect mobility (~1350-4000 cm2/Vs) of a hexagonal Boron Nitride (h-BN) isolated BN/black-P/BN heterostructure16–18 is stable in an

1,3,4 19 atmospheric ambient for more than one week. Al2O3 or HfO2 dielectric layers deposited by atomic layer deposition (ALD) are also used as passivation layers in recent black-P transistors.

4 14 Luo et al. and Wood et al. mentioned that the Al2O3 passivated few-layer black-P metal oxide semiconductor field-effect transistors (MOSFET) can be stable in air for more than 100 hours.

However, there are still many unsolved problems for high-k/black-P heterostructures such as lower field mobilities (40~100 cm2/Vs) with respect to BN/black-P/BN and trapped charge issues.3,4,14

As noted, the Al2O3 deposition/capping may tune the top-gated black-P transistor from p-type into an ambipolar behavior.1 Interface trapped charges are responsible for this phenomenon, but it is unclear whether this is related with the surface oxides on black-P or the surface reaction during the ALD process. As the initial surface conditions can determine the successive ALD behavior as well as the interfacial quality, it is important to understand the surface/interface chemistry during the ALD process. Toward this end, three samples oxidized differently by ambient air were investigated to understand the interfacial interaction, chemistry, and nucleation of atomic layer deposited Al2O3 on black-P using a combination of in situ monochromatic X-ray photoelectron spectroscopy (XPS) and ex situ atomic force microscopy (AFM).

40

3.3 Experimental Section

Materials and Characterization. Three bulk black-P crystals (thickness ~1 mm), synthesized and purchased from Smart Elements®, were used in this study. One is examined “as received” with a native oxide (“S1”) present on the surface, and another two (“S2” and “S3”) were cleaned by mechanical exfoliation with Scotch MagicTM tape which removes the outer layers of the crystal before loading the samples in ultra-high vacuum (UHV). The UHV system used in this work was described in detail elsewhere20 and connects a surface analysis chamber and a Picosun 200R ALD chamber (base pressure ~4 mbar) through a UHV (~10-10 mbar) transfer tube, preventing spurious surface contamination during the experiment. To control surface oxidation, the S2 sample was oxidized by ambient air exposure for 5 minutes, while the S3 sample was loaded into the UHV chamber immediately after exfoliation (less than 2 min ambient exposure). In this experiment,

ALD of Al2O3 was carried out with successive cycles of trimethylaluminum (TMA, Al2(CH3)6) and H2O precursors, with an argon (Ar) carrier (99.9997%, Airgas) gas at a flow rate of 200

SCCM, 0.1 s pulse + 4 s Ar purge time for TMA, 0.1 s pulse + 6 s Ar purge time for H2O at a substrate temperature of 200 C. In order to monitor the Al2O3 growth on black phosphorus, the

XPS scans were taken as follows: (i) on the initial surfaces, (ii) after exposing samples to the ALD

21 ambient at 200 C for 1 h, (iii) after each successive half cycle of TMA and H2O up to two full cycles, as well as after (iv) 5, 10, 20, 30, and 40 full cycles (TMA + H2O). Additional XPS scans were performed on the S3 sample after 60 and 80 full cycles.

XPS spectra were taken of the P 2p, Al 2p, Sn 3d, I 3d, C 1s and O 1s core level regions using a monochromatic Al K X-ray source (h = 1486.7 eV) with a take-off angle of 45 from the substrate normal and a pass energy of 15 eV. The deconvolution of XPS spectra was carried out

41

with AAnalyzer software, which uses Shirley background subtraction and the

Gaussian−Lorentzian deconvolution function for spectra fitting.22 The area under the peak (the intensity of the peak) is used to determine the relative bond concentration. The AFM images of the samples after 40 cycles of Al2O3 were recorded on three samples using an ex situ Veeco

(Bruker) Multimode system in non-contact tapping mode. To compare the Al2O3 growth on the S1 and S3 sample, AFM images were also obtained on the S3 sample after 80 cycles of Al2O3, which has a comparable Al2O3 thickness to that of the S1 sample. The AFM images were analyzed using

WSxM software.

Al2O3 and phosphorus oxide thickness calculation. In the P 2p spectra, the integrated intensities of the bulk black-P peak and total phosphorus oxide peak (e.g., POx and P2O5) are defined as IP-P and IP-O, respectively. The total substrate P 2p intensity, IS, is determined by:

IS=IP-O + IP-P (3.1)

Assuming the top Al2O3 layer is of uniform thickness (t) and conformal coverage. Then the signal attenuation of the substrate is determined by the following equation (see XPS theory in Chapter 2 for reference):24

0 -t/cos IS = IS e (3.2)

0 Where IS is the signal intensity from the bare substrate (t=0), and  is the take-off angle; 45 is used. The effective attenuation length (EAL), , is the mean free path of inelastic photoelectron collisions.  can be calculated using NIST electron EAL database, version 1.3;23 for an electron traveling through Al2O3, =2.129 nm.

For calculating the thickness of the phosphorus oxide prior to the deposition of Al2O3, the relative

24 intensities of the P-O and P-P features can be used in a manner analogous to SiO2/Si. The

42

intensities of the P-O and P-P features for a given P-O thickness “t” can be described by equations

3 and 4 respectively using the atomic photoionization cross section  and , the escape length 푐표푠 and 푐표푠 and the density of atoms 푛 and 푛. The intensity of a phosphorus oxide over layer IP-O is given by:

  퐼 = 푛푐표푠 [1 − 푒 ] (3.3)

And the intensity of the substrate P-P bonds is determined by:

  퐼 = 푛 cos  푒 (3.4)

As the IP-O and IP-P are both obtained from the P 2p spectra, the corresponding  and  are

24 the same in these calculations. Thus the intensity ratio between IP-O and IP-P becomes:

 = 푒  −1 (3.5) 

Where the P-O represents a P electron traveling through P2O3 is 4.1 nm and, P-P represents a P electron traveling through black-P is 2.5 nm. The ratio of the atomic densities is given by:

 = (3.6) 

Where  is the mass density and M is the formula weight of the material. For black-P and

25 phosphorus oxide (i.e., P2O3), the values of these parameters are:

 = 2.7 g⁄푐푚

 = 2.135 g⁄푐푚

푀 = 123.89

푀 = 219.89

Therefore, by solving the equation (3.5), the phosphorus oxide thickness can be obtained.

43

3.4 Results and Discussion

Figure 3.1. (a) XPS spectra show the evolution of the P 2p core level from the S1, S2, and S3 samples during the half cycle ALD process. (b) The integrated intensity ratio of the total phosphorus oxide to that of the bulk black-P peak (IP-O/IP-P), up to the initial 5 ALD cycles for the S1, S2, and S3 samples, respectively. (c, d) XPS spectra of O 1s and C 1s core levels, respectively, from the S1, S2, and S3 samples during the half cycle ALD process.

Figure 3.1(a) compares the deconvolution of P 2p core levels from the S1, S2, and S3 samples during the first stages of the ALD process. On the initial sample surfaces, the concentration of the

26 oxidized phosphorus species (labeled as POx, e.g., P2O3) at 134.2 eV clearly increases with ambient air exposure time: S1 > S2 > S3, and the POx on S3 is below the XPS detection limit (~0.1 at%). The integrated intensity ratio of the total phosphorus oxide (IP-O) feature to the integrated intensity of the bulk black-P feature (IP-P) is shown in Figure 3.1(b). The IP-O/IP-P ratios on the initial S1 and S2 samples are estimated to be 0.1 and 0.03, respectively. The phosphorus oxide thicknesses were estimated using XPS attenuation (see Experimental Section) for samples S1 and

S2 to be ~0.25 nm and ~0.1 nm, respectively.

44

In order to positively differentiate between thermal effects and surface reactions with ALD precursors, the three samples were exposed to the ALD reactor environment at 200 C for 1 h under Ar flow (P ~4 mbar), and were subsequently analyzed by XPS without any ALD precursor

27–29 exposure. After annealing a saturated phosphorus oxide chemical state (P2O5) is detected at

135.1±0.05 eV on the S1 and S2 samples with an intensity ratio IP-O/ IP-P of 0.2 and 0.05 on the S1 and S2 samples, respectively, while the phosphorus oxide is remaining below the XPS detection limit on the S3 sample. In addition, the original POx peak (at 134.2 eV) does not decrease after annealing and suggests that the formation of P2O5 originates from the adsorption of oxygen, and not only from the conversion of POx to P2O5 with temperature. Oxygen sources are likely the surface adventitious contamination such as O-C(H) species that are detectable in every sample (see the O 1s and C 1s chemical states in the Figure 3.1(c-d)). Similar phenomenon is also found in our previous ALD half cycle study of AlGaN, where the surface oxygen contamination results in the increase of Ga/Al-O concentration during the initial annealing prior to ALD.30–32 DFT calculation27,33 predicts that for < 1 oxygen atom per unit cell of an ideal, defect-free black-P, oxygen prefers to adsorb on top of a single P atom without changing the underlying black-P structure; whereas for a higher oxygen concentration (> 2 oxygen atoms per unit cell), both direct adsorption on top of a single P atom and bridge bonding between two P atoms are energetically favorable, and can result in the formation of planar or tubular phosphorus oxides such as P2O3 or

33 P2O5. It should be noted that the lattice constants of some phosphorus oxides, such as tubular-

P2O5, can be 1.9 times larger than that of black-P, leading to obvious black-P lattice deformation through bond scission and O insertion.33 Therefore, it is not surprising then that the sample S1 with

45

the highest concentration of initial oxides are found to be the most reactive when exposure to identical oxidizing environments.

In Figure 3.1(c), the peak at ~530.4 eV labeled as O-Sn(I) corresponds to the SnIxOy chemical states, which originated from the oxidation of intrinsic impurities Sn and I detected inside the black-P crystal. This O-Sn(I) peak decreases after the annealing and ALD process, corresponding to the evaporation of SnIxOy which will be discussed later. The measured binding energy for the

Al-O bond is ~532.6 eV. The binding energies for possible P-O-Al, P-O, and P=O bonds are reported to occur around 532.8-533.2 eV, 532.0-532.3 eV and 531.5-531.7 eV, respectively.34,35

Therefore, accurate deconvolution/fitting of the O 1s spectra is difficult because of an overlapping of these phosphorus oxide peaks (P-O-Al, P-O, and P=O) with the Al-O and the adventitious oxygen (O-C(H)) peaks. In addition, from Figure 3.1(d), it is obvious that the exfoliated S2 and

S3 samples show a higher intensity of carbon contamination, especially higher C-C chemical states

(including the sp2 graphitic and sp3 hydrocarbons, ranging from 284.4 eV~284.8 eV). The change of the C 1s intensity likely originates from the environment after the ex situ exfoliation step. After

200 C annealing in the ALD reactor under Ar flow, only the intensity of the C-C species slightly decreases, indicating that this anneal is not effective at removing C-O(H) species.

It is interesting to note that during the following half cycle ALD process, there are no detectable phosphorus oxides on sample S3, indicating that the S3 is essentially phosphorus oxide free. These results indicate that the TMA or water precursor does not react with the black-P surface under vacuum (the base pressure of the ALD chamber is ~4 mbar). The main O 1s peak (~531.5-533 eV) detected from the initial S3 sample (see Figure 3.1(c)) corresponds to the physisorbed O-C(H) species. This phenomenon is consistent with recent DFT calculations, which show that water

46

adsorption on a bilayer black-P can occur without phosphorus oxidation.15 Favron et al.10 also show that after black-P is exposed to water under vacuum, no degradation of black-P is detected.

Figure 3.2. (a) The Al2O3 thickness during the ALD process. (b) The ratio of IP-O/IP-P as a function of Al2O3 thickness. (c) The XPS spectra of Al 2p core level for the S1, S2, and S3 samples.

The growth of Al2O3 on the three samples is quite different as can be seen in Figure 3.2(a) that shows the thickness of Al2O3 as a function of ALD cycles on all three substrates. The thickness is calculated from the attenuation of the P 2p peak (see Experimental Section). Focusing first on samples S1 and S2, which both started with detectable phosphorus oxide concentrations, it is clear that the growth rate on S1 is significantly higher. This can be explained by the higher concentration of nucleation sites afforded by an increased defect density and phosphorous oxide concentration.

The relatively slow growth rate (<1 Å/cycle ) at the initial stage indicates that ALD Al2O3 requires several cycles to nucleate on the black-P substrate and displays “substrate-inhibited growth”.30,31,36

Once a thickness of approximately 0.5 nm is reached the growth rate is seen to noticeably increase suggesting that the growth mechanism has changed. Considering that this thickness corresponds to one monolayer of Al2O3 within experimental error, the two growth regimes can be identified as

47

ALD on black-P and (mainly subsequent) ALD on Al2O3. After 40 cycles, the Al2O3 thickness is

~2.47, 0.74, and 0.88 nm on the S1, S2, and S3 samples, respectively. As Figure 3.2(a) shows, after 30 cycles on the S1 sample, the growth rate increases to 1 Å/cycle, so the initial 30 cycles is the incubation period for the native oxidized sample; while for S2 and S3 samples, the Al2O3 growth rate within 40 cycles is still much lower than 1 Å/cycle. This behavior suggests that the incubation cycles for samples S2 and S3 are more than 40 cycles.

The slightly thicker Al2O3 on the S3 than that on the S2 sample deserves discussion since S3 had initially no detectable phosphorus oxide. As discussed earlier, the lack of phosphorus oxide does not necessarily mean an absence of oxygen and in fact a detailed analysis of the O 1s spectra (see

Figure 3.1(c)), shows that the total concentration of oxygen on the surface is actually higher for

S3 than for S2. This strongly suggests that surface adventitious contamination could also enhance

37 the nucleation of Al2O3 and become the dominant mechanism in the case of low POx concentration.

Since the growth rates on these samples are different, a quantitative comparison of the rate of phosphorus oxidation as a function of ALD cycles is not as meaningful as considering the reactions as a function of ALD thickness. Figure 3.2(b) shows the ratio of IP-O/IP-P as a function of Al2O3 thickness. This ratio can be directly correlated to the concentration of thickness of phosphorus oxides. The ratio plateaus for samples S1 and S2 are very similar so that, once the deposited Al2O3 thickness is more than 1 monolayer (~0.5 nm), the oxidation rate of the black-P surface decreases due to the non-conformal coverage of Al2O3 films which reduces the available interaction area of the black-P substrate with the water precursor.

48

Figure 3.2(c) shows the Al 2p core level XPS spectra from samples S1, S2 and S3 during the ALD process. The trend in the Al 2p core-level intensities qualitative matches the thicknesses determined by the P 2p attenuation, they are quantitatively different. If the thickness of Al2O3 on

S2 is assumed to be 2.5 nm, as calculated by the P 2p attenuation, then the intensity ratio of the Al

2p core-levels for S1 and S2 (1.5 for S1:S2) would suggest that the thickness of Al2O3 on S2 is 1.2 nm which does not agree with the value of 0.7 nm that was calculated P 2p attenuation. This suggests that for S2 and S3 there is more Al2O3 on the surface than is expected from only considering the attenuation of the P 2p feature. This can be explained after studying the topography of the samples.

Figure 3.3. AFM images after 40 cycles of Al2O3 deposition on the S1, S2, and S3 samples. Line profiles are performed along the black lines shown on the AFM images.

Figure 3.3 (a)-(c) shows the surface topography after 40 cycles of Al2O3 deposited on the S1-S3 samples by using AFM, with representative line profiles. The root-mean-squared (RMS) roughness on the S1, S2 and S3 sample is ~0.22 nm, ~0.34 nm, and ~0.38 nm, respectively, and the vertical depth variation of the corresponding line profile is ~0.5 nm, ~1 nm, and ~1 nm, respectively. This result immediately explains the conflicting thickness calculations using the Al 2p and P 2p core-

49

levels since the island growth observed can result in considerable deposition of Al2O3 without fully covering (and thereby more aggressively attenuating) the underlying substrate.

In addition, the surface morphology of Al2O3 on Figure 3.3(a) is smoother and more conformal than Figure 3.3(b) and (c). These observations are explained by the enhanced nucleation on the S1 sample due to the higher initial concentration of phosphorus oxide. Black circles mark Al2O3 clusters on Figure 3.3(b) that are ~0.5-1 nm higher than the average surface of the S2 and are randomly distributed. These cluster formations are likely due to the faster nucleation rate on randomly distributed defect regions. The estimated coverage of these clusters is ~ 4%. In Figure

3.3(c), some pinholes with a depth greater than 1 nm are observed. These pinholes could be indicative the intrinsic defects regions on black-P or induced by the exfoliation process.

To compare the Al2O3 morphologies of similar thickness between samples with a different concentration of phosphorus oxide, 80 cycles of ALD Al2O3 is deposited on the S3 sample, where the Al2O3 thickness (~2.7 nm) is comparable to that of the S1 sample (~2.47 nm). As seen in Figure

3.3(d), deposition of Al2O3 on oxide-free black-P results in Al2O3 island formation. However, gaps form between neighboring islands, leading to a high surface RMS roughness (0.61 nm) and high depth variation (2 nm on average). This indicates that the surface functionalization or

32,38 pretreatments are still needed to improve the Al2O3 nucleation and uniform film growth on phosphorus oxide free black-P, similar to that observed on MoS2 and graphite surfaces with reduced reactivity.37,39,40 It is also noted that such “pretreatments” resulting in ALD nucleation and growth may actually be associated with residual surface contamination from device fabrication materials (such as solvents or polymers) in prior reports.

50

Figure 3.4. AFM images after 40 cycles of Al2O3 deposition on the S1, S2, and S3 samples. Line profiles are performed along the black lines shown on the AFM images.

The role of other extrinsic impurities is also important to consider, given the relative immaturity of the materials synthesis. Figure 3.4 shows that tin (Sn) and iodine (I) impurities are detected on the S1-S3 samples. As these samples were vapor transport synthesized with Sn and tin(IV) iodide

41,42 (SnI4), it is possible for Sn and I to condense onto the black-P crystal during the growth cool down procedure. Here the binding energy of Sn 3d and I 3d ranges between ~487-488.5 eV and

~619.5-620.5 eV, respectively, suggesting reaction products exist as a compound of SnIxOy. The estimated maximum Sn/P ratio is ~0.07 on the native surface. After the exfoliation, the Sn/P ratio is lower than 0.02. This suggests that some SnIxOy is present in the black-P bulk. After annealing, the intensities of the I 3d peaks are reduced. However, the Sn 3d peaks become broader and higher in intensity likely due to the decomposition and evaporation of the SnIxOy. However, during the

51

ALD process, no phosphorus oxide is detected on the S3 sample where SnIxOy also is also detected, suggesting that SnIxOy compounds do not play a significant role in the black P oxidation. In addition, no peak could be identified in the range of 128.2–129.5 eV43 in the P 2p spectra, which rules out substantial Sn-P bonding, within the limits of detection. Nevertheless, the impact of such impurities on the device performance produced from black-P remains to be systematically studied.

3.5 Conclusions

In summary, the impact of phosphorus oxides on the nucleation of atomic layer deposited Al2O3 on black-P surfaces has been investigated by in situ XPS characterization. A black-P sample that is initially free of detectable phosphorus oxide is more robust against oxidation by 200 C exposures to an ALD environment and exposure to ALD precursors. In contrast, phosphorus oxides if present on the black-P surface can change the black-P surface reactivity and can react with the surface physical adsorbed adventitious contaminations or the H2O precursor at 200 C.

As a result, the surface oxidation of black-P increases after annealing as well as after the ALD process. It is found that several cycles are required for Al2O3 to nucleate on black P surface, following a “substrate inhibiting growth”. SnIxOy compounds, byproducts from the black-P growth, are detected on the as received and exfoliated samples as contaminants. Moreover, it appears that phosphorus oxide and possibly surface adventitious contamination on black-P surfaces are possible nucleation sites for ALD of Al2O3 which enhance the coverage and the conformality of Al2O3 on black-P. When the black-P surface is phosphorus oxide free, a large coverage of Al2O3 clusters accompanied with high height depth variation (~ 2 nm) can be obtained after 80 ALD cycles. It is clear that the starting surface plays a critical role in ALD nucleation and

52

the controlled functionalization methods will need to be developed before the integration of black-

P in industrial device processes can be realized.

3.6 References

(1) Liu, H.; Neal, A. T.; Si, M.; Du, Y.; Ye, P. D. The Effect of Dielectric Capping on Few-Layer Phosphorene Transistors : Tuning the Schottky Barrier Heights. IEEE Electron device Lett. 2014, 35, 795–797.

(2) Li, L.; Yu, Y.; Ye, G. J.; Ge, Q.; Ou, X.; Wu, H.; Feng, D.; Chen, X. H.; Zhang, Y. Black Phosphorus Field-Effect Transistors. Nat. Nanotechnol. 2014, 9, 372–377.

(3) Liu, H.; Neal, A. T.; Zhu, Z.; Luo, Z.; Xu, X.; Tománek, D.; Ye, P. D. Phosphorene : An Unexplored 2D Semiconductor with a High Hole Mobility. ACS Nano 2014, 8, 4033–4041.

(4) Luo, X.; Rahbarihagh, Y.; James C. M. Hwang; Liu, H.; Du, Y.; Ye, P. D. Temporal and Thermal Stability of Al2O3-Passivated Phosporene MOSFETs. IEEE Electron device Lett. 2014, 35, 1–3.

(5) Xia, F.; Wang, H.; Jia, Y. Rediscovering Black Phosphorus as an Anisotropic Layered Material for Optoelectronics and Electronics. Nat. Commun. 2014, 5, 4458.

(6) Late, D. J. Temperature Dependent Phonon Shifts in Few-Layer Black Phosphorus. ACS Appl. Mater. Interfaces 2015, 7, 5857–5862.

(7) Late, D. J.; Liu, B.; Matte, H. S. S. R.; Dravid, V. P.; Rao, C. N. R. Hysteresis in Single-Layer MoS2 Field. ACS Nano 2012, 6, 5635–5641.

(8) Late, D. J.; Huang, Y. K.; Liu, B.; Acharya, J.; Shirodkar, S. N.; Luo, J.; Yan, A.; Charles, D.; Waghmare, U. V.; Dravid, V. P.; Rao, C. N. R. Sensing Behavior of Atomically Thin-Layered MoS2 Transistors. ACS Nano 2013, 7, 4879–4891.

(9) Harada, Y.; Murano, K.; Shirotani, I.; Takahashi, T.; Maruyama, Y. Electronic Structure of Black Phosphorus Studied by X-Ray Photoelectron Spectroscopy. Solid State Commun. 1982, 44, 877–879.

(10) Favron, A.; Gaufrès, E.; Fossard, F.; Phaneuf-L’Heureux, A.-L.; Tang, N. Y.-W.; Lévesque, P. L.; Loiseau, A.; Leonelli, R.; Francoeur, S.; Martel, R. Photooxidation and Quantum Confinement Effects in Exfoliated Black Phosphorus. Nat. Mater. 2015, 14, 826–832.

(11) Deng, Y.; Lou, Z.; Conrad, N. J.; Han, L.; Gong, Y.; Najmaei, S.; Ajayan, P. M.; Lou, J.; Xu, X.; Ye, P. D. Black Phosphorus-Monolayer MoS2 van Der Waals Heterojunction P-N Diode. ACS Nano 2014, 8, 8292–8299.

53

(12) Morita, A. Semiconducting Black Phosphorus. Appl. Phys. A Solids Surfaces 1986, 39, 227– 242.

(13) Kim, J.-S.; Liu, Y.; Zhu, W.; Kim, S.; Wu, D.; Tao, L.; Dodabalapur, A.; Lai, K.; Akinwande, D. Toward Air-Stable Multilayer Phosphorene Thin-Films and Transistors. Sci. Rep. 2015, 5, 8989.

(14) Wood, J. D.; Wells, S. A.; Jariwala, D.; Chen, K.; Cho, E.; Sangwan, V. K.; Liu, X.; Lauhon, L. J.; Marks, T. J.; Hersam, M. C. Effective Passivation of Exfoliated Black Phosphorus Transistors against Ambient Degradation. Nano Lett. 2014, 14, 6964–6970.

(15) Castellanos-Gomez, A.; Vicarelli, L.; Prada, E.; Island, J. O.; Narasimha-Acharya, K. L.; Blanter, S. I.; Groenendijk, D. J.; Buscema, M.; Steele, G. A.; Alvarez, J. V; Zandbergen, H. W.; Palacios, J. J.; van der Zant, H. S. J. Isolation and Characterization of Few-Layer Black Phosphorus. 2D Mater. 2014, 1, 25001.

(16) Chen, X.; Wu, Y.; Wu, Z.; Xu, S.; Wang, L.; Han, Y.; Han, T.; He, Y.; Cai, Y.; Wang, N. High Quality Sandwiched Black Phosphorus Heterostructure and Its Quantum Oscillations. Nat. Commun. 2015, 6, 7315.

(17) Gillgren, N.; Wickramaratne, D.; Shi, Y.; Espiritu, T.; Yang, J.; Hu, J.; Wei, J.; Liu, X.; Mao, Z.; Watanabe, K.; Taniguchi, T.; Bockrath, M.; Barlas, Y.; Lake, R. K.; Ning Lau, C. Gate Tunable Quantum Oscillations in Air-Stable and High Mobility Few-Layer Phosphorene Heterostructures. 2D Mater. 2014, 2, 11001.

(18) Li, L.; Ye, G. J.; Tran, V.; Fei, R.; Chen, G.; Wang, H.; Wang, J.; Watanabe, K.; Taniguchi, T.; Yang, L.; Chen, X. H. Quantum Oscillations in Two-Dimensional Electron Gas in Black Phosphorus Thin Films. Nat. Nanotechnol. 2015, 10, 608–613.

(19) Haratipour, N.; Robbins, M. C.; Koester, S. J. Black Phosphorus P-MOSFETs with High Transconductance and Nearly Ideal Subthreshold Slope. arXiv:1409.8395 2014, 2–4.

(20) Wallace, R. M. In-Situ Studies of Interfacial Bonding of High-K Dielectrics for CMOS beyond 22 nm. ECS Trans. 2008, 16, 255–271.

(21) Milojevic, M.; Aguirre-Tostado, F. S.; Hinkle, C. L.; Kim, H. C.; Vogel, E. M.; Kim, J.; Wallace, R. M. Half-Cycle Atomic Layer Deposition Reaction Studies of Al2O3 on In0.2Ga0.8As (100) Surfaces. Appl. Phys. Lett. 2008, 93, 202902.

(22) Herrera-Gómez, A.; Hegedus, A.; Meissner, P. L. Chemical Depth Profile of Ultrathin Nitrided SiO2 Films. Appl. Phys. Lett. 2002, 81 (2002), 1014–1016.

(23) Jablonski, A. The Electron Attenuation Length Revisited. Surf. Sci. Rep. 2002, 47, 33–91.

(24) Himpsel, F.; McFeely, F.; Taleb-Ibrahimi, A.; Yarmoff, J.; Hollinger, G. Microscopic

54

Structure of the SiO2/Si Interface. Phys. Rev. B 1988, 38, 6084–6096.

(25) Haynes, W.M.; Lide, D. R.; CRC Handbook of Chemistry and Physics; 2011, 92th Edition, 4-80, CRC Press, Taylor and Francis Group, LLC, Boca Raton, FL, USA.

(26) Rokugawa, H.; Adachi, S. Investigation of Rapid Thermally Annealed GaP(001) Surfaces in Vacuum. Surf. Interface Anal. 2010, 42, 88–94.

(27) Peng, X.; Wei, Q. Chemical Scissors Cut Phosphorene Nanostructures. Mater. Res. Express 2014, 1, 45041.

(28) Brennan, B.; Dong, H.; Zhernokletov, D.; Kim, J.; Wallace, R. M. Surface and Interfacial Reaction Study of Half Cycle Atomic Layer Deposited Al2O3 on Chemically Treated InP Surfaces. Appl. Phys. Express 2011, 4, 125701.

(29) Dong, H.; Brennan, B.; Qin, X.; Zhernokletov, D. M.; Hinkle, C. L.; Kim, J.; Wallace, R. M. In Situ Study of Atomic Layer Deposition Al2O3 on GaP (100). Appl. Phys. Lett. 2013, 103, 121604.

(30) Brennan, B.; Qin, X.; Dong, H.; Kim, J.; Wallace, R. M. In Situ Atomic Layer Deposition Half Cycle Study of Al2O3 Growth on AlGaN. Appl. Phys. Lett. 2012, 101, 211604.

(31) Qin, X.; Brennan, B.; Dong, H.; Kim, J.; Hinkle, C. L.; Wallace, R. M. In Situ Atomic Layer Deposition Study of HfO2 Growth on NH4OH and Atomic Hydrogen Treated Al0.25Ga0.75N. J. Appl. Phys. 2013, 113, 244102.

(32) Qin, X.; Dong, H.; Brennan, B.; Azacatl, A.; Kim, J.; Wallace, R. M. Impact of N2 and Forming Gas Plasma Exposure on the Growth and Interfacial Characteristics of Al2O3 on AlGaN. Appl. Phys. Lett. 2013, 103, 221604.

(33) Ziletti, A.; Carvalho, A.; Trevisanutto, P. E.; Campbell, D. K.; Coker, D. F.; Castro Neto, A. H. Phosphorene Oxides: Bandgap Engineering of Phosphorene by Oxidation. Phys. Rev. B 2015, 91, 85407.

(34) Chan, H. S. O.; Ho, P. K. H.; Ng, S. C.; Tan, B. T. G.; Tan, K. L. A New Water-Soluble, Self- Doping Conducting Polyaniline from Poly(o-Aminobenzylphosphonic Acid) and Its Sodium Salts: Synthesis and Characterization. J. Am. Chem. Soc. 1995, 117 (c), 8517.

(35) Adolphi, B.; Jähne, E.; Busch, G.; Cai, X. Characterization of the Adsorption of ω-(thiophene- 3-yl alkyl) Phosphonic Acid on Metal Oxides with AR-XPS. Anal. Bioanal. Chem. 2004, 379, 646–652.

(36) Puurunen, R. L. Surface Chemistry of Atomic Layer Deposition: A Case Study for the Trimethylaluminum/water Process. J. Appl. Phys. 2005, 97, 121301.

(37) McDonnell, S.; Brennan, B.; Azcatl, A.; Lu, N.; Dong, H.; Buie, C.; Kim, J.; Hinkle, C. L.;

55

Kim, M. J.; Wallace, R. M. HfO2 on MoS2 by Atomic Layer Deposition: Adsorption Mechanisms and Thickness Scalability. ACS Nano 2013, 7, 10354–10361.

(38) Cheng, L.; Qin, X.; Lucero, A. T.; Azcatl, A.; Huang, J.; Wallace, R. M.; Cho, K.; Kim, J. Atomic Layer Deposition of a High-K Dielectric on MoS2 Using Trimethylaluminum and Ozone. ACS Appl. Mater. Interfaces 2014, 6, 11834–11838.

(39) Pirkle, A.; Wallace, R. M.; Colombo, L. In Situ Studies of Al2O3 and HfO2 Dielectrics on Graphite. Appl. Phys. Lett. 2009, 95, 133106.

(40) Pirkle, A.; McDonnell, S.; Lee, B.; Kim, J.; Colombo, L.; Wallace, R. M. The Effect of Graphite Surface Condition on the Composition of Al2O3 by Atomic Layer Deposition. Appl. Phys. Lett. 2010, 97, 82901.

(41) Lange, S.; Schmidt, P.; Nilges, T. Au3SnP7 @ Black Phosphorus: An Easy Access to Black Phosphorus. Inorg. Chem. 2007, 46, 4028–4035.

(42) Köpf, M.; Eckstein, N.; Pfister, D.; Grotz, C.; Krüger, I.; Greiwe, M.; Hansen, T.; Kohlmann, H.; Nilges, T. Access and in Situ Growth of Phosphorene-Precursor Black Phosphorus. J. Cryst. Growth 2014, 405, 6–10.

(43) Xian, A.-P.; Gong, G.-L. Surface Oxidation of Molten Sn–0.07 Wt% P in Air at 280 °C. J. Mater. Res. 2008, 23, 1532–1536.

56

CHAPTER 4

REMOTE PLASMA OXIDATION AND ATOMIC LAYER ETCHING OF MOS2

4.1 Preface

Atomic layer etching is essentially desirable during the integration of TMDs and other 2D materials into nano-scale device applications for a precise thickness control. Molybdenum disulfide (MoS2), one chemically stable material within the group VI-TMDs, is chosen as the research target in this work. The oxidation behavior of MoS2 under a remote O2 plasma and temperature effects are investigated under XPS, LEED, and AFM techniques to reach a self-limited atomic layer oxidation of MoS2. The formation of S-O bonds upon short, remote plasma exposure pins the surface Fermi level to the conduction band edge, while the MoOx formation at high temperature modulates the Fermi level towards the valence band through band alignment. A uniform coverage of monolayer amorphous MoO3 is obtained after 5 min or longer remote O2 plasma exposure at 200 C. Then the thermal stability of the formed oxides is studied so as to realize a novel layer-type etching method by desorbing the oxide layer. The results suggest the

MoO3 can be completely removed by annealing at 500 C, leaving a clean, ordered MoS2 lattice structure as verified by XPS, LEED, AFM and scanning tunneling microscopy. This work shows that a remote O2 plasma can be used for both surface functionalization and atomic layer etching for MoS2 device fabrication processes.

The contents of this chapter are adapted with permission from (Remote plasma oxidation and atomic layer etching of MoS2. ACS Applied Materials & Interfaces, 2016, 8, pp 19119-19126).

Copyright (2016) American Chemical Society. The authors are Hui Zhu, Xiaoye Qin, Lanxia

Cheng, Angelica Azcatl, Jiyoung Kim, and Robert M. Wallace. X. Qin, A. Azcatl, and R. Wallace

57

are acknowledged for the experiment guiding and manuscript writing. Dr. L. Cheng is acknowledged for Raman techniques and analysis of the Raman data under the supervision of Prof.

J. Kim.

4.2 Introduction

Molybdenum disulfide (MoS2), a representative TMD that has obtained considerable research interest in recent years, due to its promising mechanical, electronic, and photonic properties.1,2

MoS2 possesses a layer dependent bandgap varying from the direct 1.8 eV bandgap for monolayer

3 to the indirect 1.2 eV for bulk material. The mechanical exfoliation of MoS2 has led to intensive

4–6 research on thin film field-effect transistors made with MoS2 flakes. In fact, the exfoliation method often yields low-reproducibility, small-yield, and is primarily applicable to the initial sample preparation stage,7 and thus not able to achieve further on-demand thickness modification once MoS2 flakes are deposited onto substrates. For these reasons, several attempts to etch/thin

+ MoS2 flakes, critical for future device applications, have been reported. For example, laser or Ar plasma irradiation methods have demonstrated the ability to physically thin multilayer MoS2 layer- by-layer with sizable patterns.8–10 However, the surface roughness increases 3× after the laser thinning and the resolution of the laser thinning method may be limited by the laser spot size.8

Huang et al. take advantage of the highly oxidizing property of Xenon difluoride (XeF2) and gaseous reaction by-products (i.e., SF6 and MoF3), to show that multilayer MoS2 can be thinned to monolayer within 300 s depending on flake thickness.11 However, a significant surface roughness increase (from initial 0.07 nm increased to 0.9 nm) is observed after such thinning.11 Thermal annealing in air7 or an oxygen environment12 at around 330-340 C has also been reported as possible anisotropic etching method that is relying on the volatile ability of MoOx to form

58

triangular pits; whereas limited etching sizes, randomly distributed etching spots, as well as possible surface oxidation likely accompany this method. Among these studies, the atomic structural integrity of the thinned flakes remains to be established, and the surface oxidation issue may need to be considered in view of further device applications.

Earlier work related to surface oxidation of MoS2, including oxidation by annealing in an O2

7,13 14,15 environment , exposure to a relatively high energetic RF O2 plasma or 1.5-5 eV atomic oxygen flux16–18, revealed a non-uniform lateral oxidation and in-depth lattice etching. In contrast, a remote O2 plasma technique is considered here, integrated with a commercial plasma-enhanced atomic layer deposition reactor, where the treated samples are placed far away (~52 cm) from the plasma zone so that the most energetic species, such as ions and electrons that may cause etching or physical sputtering in a direct O2 plasma environment, are greatly attenuated by recombination before they reaching sample surfaces.19,20 Actually no physical sputtering phenomenon is detected from the process described in this work. The main active species in the remote O2 plasma that react with MoS2 are neutral radicals that have a longer lifetime than those of electrons and ions before reaching the sample surface.19,20

In this work, a novel etching approach relying on the controlled oxidation of MoS2 is presented to achieve an atomic layer etching process without underlying substrate damage or oxidation. We examine how the exfoliated MoS2 surface is oxidized by the remote O2 plasma through a comprehensive suite of spectroscopy and microscopy techniques including X-ray photoelectron spectroscopy (XPS), low energy electron diffraction (LEED), atomic force microscopy (AFM), and Raman spectroscopy. Importantly, it is found that a uniform monolayer of amorphous molybdenum trioxide (MoO3) can be formed on top of MoS2 and the MoO3 layer can be carefully

59

removed by post UHV annealing so that the underlying pristine lattice is preserved and confirmed by LEED and scanning tunneling microscopy (STM).

4.3 Experimental Section

Remote O2 plasma treatment. Bulk pristine MoS2 samples (thicker than 1 mm) were prepared by mechanical exfoliation using Scotch® MagicTM tape to remove several top layers and then loaded into the first ultrahigh vacuum (UHV) system described in Chapter 2. The base pressure of this

UHV system is ~10-10 mbar. The UHV system connects an X-ray photoelectron spectroscopy

(XPS) analysis chamber, a low energy electron diffraction (LEED) chamber and a remote plasma- enhanced atomic layer deposition (PEALD) chamber where the remote plasma treatment was performed. The details of the UHV system are given elsewhere.21 Before plasma treatments, XPS and LEED analysis were performed on the exfoliated bulk samples to evaluate the surface quality.

TM The remote O2 plasma was generated by a Litmas Remote Plasma Source with a plasma power of 2500 W, an RF excitation range of 1.9-3.2 MHz and an O2 flow rate of 130 sccm in a Picosun

R-200 PEALD tool integrated onto the UHV system. The pressure inside the plasma source chamber was then regulated to 260 mbar, whereas the PEALD chamber during the plasma treatment was kept at 6 mbar. The plasma ignition process is described in Appendix-A. The heated wafer chuck (on which the samples are mounted on Ta plates) of the PEALD chamber is ~52 cm away from the plasma generator, thereby enabling the quenching of energetic species through recombination processes in the ambient. Since all remote plasma treatments were done at a designated substrate temperature, a 10~15 min thermal stabilization was executed after transferring MoS2 samples into the PEALD chamber and before igniting the remote O2 plasma.

The substrate temperature in the PEALD chamber can be regulated from room temperature (RT)

60

up to 500 C. The remote plasma treatment time was varied from 1 to 20 min. After the plasma treatment, the samples were then transferred through a UHV transfer tube (~10-10 mbar) to the analysis chamber for XPS and LEED analysis, avoiding spurious contamination from atmospheric exposure. We, therefore, define this configuration as “in situ” XPS analysis.

Post-annealing after plasma treatments. To observe the decomposition/desorption process of molybdenum oxides at elevated temperatures, the remote O2 plasma treated MoS2 samples were transferred to an interconnected annealing chamber for 350 C and 500 C in situ annealing for 30 min respectively. XPS scanning was conducted after each process. After the 500 C annealing, in situ LEED and ex situ atomic force microscopy (AFM, located in a cleanroom) and scanning tunneling microscopy (STM, the second UHV system described in Chapter 2) were used to check the atomic structure and the surface topography, respectively.

Characterization. The XPS analysis was performed in an interconnected chamber using a monochromatic Al K X-ray source (h = 1486.7 eV) with a take-off angle of 45 from the substrate normal and a pass energy of 15 eV. The Mo 3d, S 2p, C 1s and O 1s core levels and valence band regions of each sample were recorded. The analyzer was calibrated using sputter cleaned Au, Cu, and Ag foils, as is outlined in the ASTM E2108 procedure.22 The deconvolution of XPS was carried out with AAnalyzer software.23 The Mo 3d and S 2p spectra were fitted with a Gaussian-Lorentzian convolution function, Shirley backgrounds, doublet ratios of 0.67 (Mo 3d) and 0.5 (S 2p), and spin-orbit splitting binding energy separations of 3.15 eV (Mo 3d) and 1.18 eV

(S 2p). Sensitivity factors (S) of 2.867 for Mo 3d and 0.570 for S 2p are used to determine the stoichiometry of S/Mo ratio.24 AFM images were obtained ex situ using a Veeco (Bruker)

Multimode system in non-contact tapping mode, and STM was also performed ex situ using an

61

Omicron variable temperature STM system described elsewhere.25 The AFM and STM images were analyzed using WSxM software. Raman analysis was performed on MoS2 flakes ex situ using an Invia Confocal Renishaw microscopy with a laser wavelength  ~532 nm, laser power ~0.22 mW, and spot size ~500 nm.

4.4 Results and discussion

4.4.1 The effect of plasma exposure time on the oxidation of MoS2

Figure 4.1. In situ XPS spectra of O 1s and C 1s core level for the initial MoS2 surface as-exfoliated and after exposure to remote O2 plasma for 1, 5, and 20 min, respectively. The substrate temperature during the plasma process is 200 C.

Bulk crystals of MoS2 are prepared by the mechanical exfoliation to remove top layers in order to get an oxide-free surface (see Figure 4.1). After cleavage, the MoS2 samples are loaded into the

UHV system load lock within 15 minutes and then exposed to a remote oxygen plasmas with different exposure times (1, 5, and 20 min, respectively) in an interconnected atomic layer deposition (ALD) reactor. The substrate temperature during the plasma process is kept at 200 C, a preferential temperature to form MoO3 within suitable time as will be discussed in the next

62

temperature effect section. As Figure 4.1 shows, the O 1s intensity on the exfoliated sample surface is below the XPS detection limit, while the major surface contamination is the adventitious C-C chemical states, presumably arising from the exposure to the atmosphere in the period between exfoliation and UHV analysis (less than 15 min). The adventitious carbon contamination can be fully removed by the following remote O2 plasma treatment for 5 min or longer.

Figure 4.2. In situ XPS results of Mo 3d, S 2p and valence band for (a) “initial” as-exfoliated MoS2 surface; (b)-(e) MoS2 exposed to the remote O2 plasma for 1, 5, and 20 min, respectively. The substrate is kept at 200 C during the remote plasma process.

The core level spectra of Mo 3d, S 2p, and the valence band spectra of each sample are collected by in situ XPS in order to investigate the resultant surface chemistry and the charge transfer effects, which are shown in Figure 4.2. Further deduced spectral features are also summarized in Table

4.1. It should be noted that the initial binding energies of Mo 3d, S 2p, and valence band maximum

(VBM) may vary from sample to sample due to the n/p type nature of MoS2 that may originate from stoichiometry variation26,27 or structural defects28, such as surface steps and dislocations. To investigate the stoichiometry evolution of MoS2 during the 1-20 min plasma treatments, three samples with similar chemical states and stoichiometry within the error bars shown in Table 4.1

63

are chosen. The initial exfoliated surface has characteristic, well-resolved Mo 3d and S 2p doublet peaks (see Figure 4.2(a)). No oxidation related chemical states are detected in either Mo 3d or S

2p region. This claim is also supported by the O 1s core level analysis (Figure 4.1). After the remote O2 plasma treatments with various exposure times, Mo 3d, S 2p substrate spectra as well as valence band regions in the Figure 4.2 shift by the same value of binding energy. Such a shift is related with the Fermi level realignment induced band-bending.29 The band bending can be evaluated by the VBM deduced from the valence band region.

Table 4.1. Summary of the measured binding energy, oxide thickness, atomic ratio of S/Mo and integrated intensity ratio (IS-O/IS) from the initial MoS2 sample and MoS2 samples after 1, 5, and 20 min remote O2 plasma exposure at 200 C. The error bar for the binding energy is within  0.05 eV and the error bar for the substrate S/Mo ratio is within  0.04.

Binding energy (eV)

Mo 3d5/2 S 2p3/2 MoO3 substrate Intensity ratio 6+ Mo-S Mo -O S-Mo S-O VBM thickness S/Mo ratio IS-O/IS Initial 228.9 / 161.8 / 0.3 / 1.89 / 1min 229.7 / 162.6 164.8 1.1 / 1.87 0.030 0.002 5min 228.6 232.7 161.5 163.7 0.0 0.7 nm 1.91 0.016 0.002 20min 228.6 232.7 161.5 / 0.0 0.7 nm 1.86 /

After the 1 min remote plasma treatment, no Mo-O bonding (228-233 eV) is detected, whereas the plasma treatment results in a chemical adsorption of oxygen atoms on the topmost of S atoms, which is detected as an additional S 2p doublet at 164.8 eV corresponding to S-O bonding.30

Meanwhile, the binding energy of Mo 3d5/2, S 2p3/2, and VBM shift upward to 229.7 eV, 162.6 eV, and 1.1 eV, respectively. The VBM (~1.1 eV) is very close to the bandgap of bulk MoS2, suggesting that the outermost surface region exhibits downward band bending and the Fermi level is shifted closer to the conduction band edge. Importantly, collective results with multiple n/p-type substrates

64

(with VBM ranging from 0.3 to 0.9 eV) suggest that the Fermi level is likely pinned by the S-O bonds, regardless of n/p-type properties. The S-O bonding results in surface states close to the conduction band edge and introduces a strong n-type “pinning” effect on MoS2. Indeed, previous density functional theory (DFT) models have shown the generation of new states at the conduction band edge after the chemisorption of oxygen on top of sulfur atoms.31 The S/Mo intensity ratios, calculated from the sensitivity-corrected intensities of the MoS2 substrate peak area, remain the same as that for the initial sample (shown in Table 4.1), suggesting no sulfur desorption or replacement by oxygen atoms occurs at this point in the process.

The surface topographies and crystalline structures of the samples before and after plasma treatments are shown in Figure 4.3. A sharp hexagonal LEED pattern and a smooth surface (Figure

4.3(b)), with respect to the initial untreated sample (Figure 4.3(a)), are consistently obtained after the 1 min oxygen plasma exposure where only S-O bonding is detected. The same downward band- bending effect from XPS and crystalline LEED results have been observed in our previous studies

30 30 of UV-Ozone functionalized MoS2, where it is noted that the UV-Ozone pretreatment results

(and DFT calculations31) support the formation of S-O bonding does not change the underlying

MoS2 surface structure. Moreover, it is reasonable to suggest that the remote oxygen plasma can be used as an alternative MoS2 surface functionalization approach in addition to the UV-Ozone method that promotes the high-k nucleation for subsequent atomic layer deposition.30,32,33

Based on the XPS peak intensity attenuation method reported previously,30,34 the integrated intensity ratio of the S-O peak to that of the total S 2p peak (“IS-O/IS”) is around 0.16 (theoretical value) for 1 layer of S-O coverage. Therefore, the measured IS-O/IS ratios shown in Table 4.1 suggest a less than one monolayer of S-O bonds after plasma treatments at 200 C.

65

(a) exfoliated (b) 1 min (c) 5 min (d) 20 min RMS=0.15 nm RMS=0.16 nm RMS=0.34 nm RMS=0.25 nm Topography

400nm

1.5 1.5 1.5 1.5 Line1.0 Profile 1.0 1.0 1.0 0.5 0.5 0.5 0.5 0.0 0.0 0.0 0.0 -0.5 -0.5 -0.5 -0.5 Z (nm) Z 0.0 0.5 1.0 1.5 2.0 0.0 0.3 0.6 0.9 1.2 0.0 0.3 0.6 0.9 0.0 0.3 0.6 0.9 X (m) X (m) X (m) X (m) LEED

Figure 4.3. Ex situ AFM topographies, line profiles and in situ LEED images for (a) MoS2 as- exfoliated; (b)-(e) MoS2 exposed to the remote O2 plasma for 1, 5, and 20 min, respectively. No long-range order is detected after a 5 min remote plasma exposure. The substrate temperature is 200 C. The LEED images are taken with the energy of 147 eV.

After increasing plasma exposure time to 5 min or longer, a MoO3 state at the binding energy of

232.7 eV is detected.35,36 Based on the intensity attenuation of the substrate Mo 3d peak,34 the

MoO3 thicknesses are ~0.7 nm for the 5 min and 20 min plasma exposure, or roughly a monolayer

37 MoO3 (~0.7 nm theoretically) . The minimal variation of the deduced MoO3 thickness with increasing plasma treatment time indicates that the coverage of MoO3 saturates upon a 5 min exposure of the remote O2 plasma. For the MoO3 covered sample surfaces (see Figure 4.3(c-d)), the lack of detectable LEED patterns suggests that there is no long-range crystalline surface structure (i.e., the underlying hexagonal MoS2 pattern or a rectangular/orthorhombic MoO3 pattern38) after the 5 min or 20 min plasma exposure, indicative of the formation of an amorphous

66

MoO3 layer. Once the MoO3 is generated from a longer O2 plasma exposure, the surface RMS roughness increases. However, due to the saturated surface oxidation, a more localized non- uniform height variation and smaller surface RMS roughness is detected on the 20 min remote oxygen plasma exposed sample.

Since the work function of MoO3 (6.6 eV) is higher than that of MoS2, the coverage of MoO3 on

MoS2 can induce an upward band-bending (hole doping effect) by band alignment and shift all substrate peaks to lower binding energies.29,39,40 As a result, the underlying S 2p and Mo 3d peaks are detected at 161.5 eV and 228.6 eV, respectively. Especially, the Fermi level re-aligns to the valence band edge, as indicated by the VBM value of 0 eV, suggesting a deep p-type “doping” by

29,39 MoO3. This observation is consistent with the expected predictions. More importantly, the substrate Mo 3d or S 2p peaks are not broadened after the remote plasma treatments, in contrast to

29 what has been found in our previous studies of MoOx deposition on MoS2. The S/Mo ratios after the formation of MoO3 also remain consistent with that of the initial sample surface. Two highlights are suggested from the XPS analysis. First, a clear interface between the outermost oxide layer and the underlying MoS2 substrate is present and is the key to the realization of atomic layer etching without altering the underlying crystal structure. Second, chemical states induced by preferential sputtering of sulfur atoms by possible ions/electrons in the remote O2 plasma are below the limit of detection. Therefore, the remote O2 plasma treatments on MoS2 reported here is a mild chemical reaction process.

4.4.2 The impact of substrate temperature on the oxidation of MoS2

The surface properties of plasma-modified MoS2 also depend on other processing parameters, viz.

14,15 15 plasma power and gas pressure. Additionally, the substrate temperature during the remote O2

67

plasma exposure is also found to impact the composition and the coverage of the resulting MoOx.

Previous work has shown the sub-stoichiometric nature of MoOx upon thermal annealing (100-450

C),35,37,41 UV irradiation,42 or metal deposition.43 The binding energy, as well as work function of

29,35,38,43 MoO3, can gradually decrease with increasing oxygen deficiency. Therefore, it is critical to study temperature effects on the oxidation process of MoS2 to minimize the potential etching caused by the evaporation of MoOx.

Figure 4.4 presents the chemical analysis of the exposed surface after the remote O2 plasma for 1,

5, and 20 min at 100 C, respectively. When the substrate temperature is lower than 200 C, the energy required to form oxygen sulfur atom replacement is increased, resulting in a slower oxidation rate comparing with that of 200 C. Therefore, remote O2 plasma treatments longer than

5 min, e.g., 20 min at 100 C, are needed to form a stoichiometric Mo6+ oxide. Furthermore, the oxidation state of Mo oxide is time-dependent. The binding energy (232.2 eV) of the Mo oxide after the 5 min plasma treatment is lower than the above measured 232.7 eV for Mo6+ oxide (e.g.,

400 C in this spectra) whereas higher than the reported 231.0-231.5 eV for Mo5+ oxide41–43 or

228.7~229.5 eV for Mo4+ oxide36,43. As the work function and binding energy of Mo oxides increase with its oxidation state,35,41 a sub-stoichiometric molybdenum trioxide (Mo(6-x)+) is thus assigned for this new Mo oxide peak. The continuous downward shifting of the substrate peaks resulted from the increased work function of Mo oxides also supports this claim. Especially, after the 20 min plasma treatment, the substrate Mo 3d and S 2p peaks shift downward by 0.2 eV relative to that of the 5 min plasma treatment at the 100 C.

68

Figure 4.4. (a) Normalized XPS spectra of Mo 3d and S 2p core levels for MoS2 exposure to with remote O2 plasmas at 100 C for 1, 5 and 20min, respectively; (b) The topography of the sample after the 20 min remote O2 plasma exposure at100 C.

Figure 4.5 shows the evolution of the normalized core levels of Mo 3d, S 2p, and valence band from bulk MoS2 samples exposed to the remote O2 plasma at different substrate temperatures. The plasma treatment time is 5 min for each sample, which is long enough at 200 C to achieve a saturated and uniform oxide monolayer. At RT, only S-O bonding with an IS-O/IS ratio of 0.1±0.01 is detected, and the VBM also shifts to 1.1 eV position. When the temperature increases to 100 C or above, MoOx is formed, and the S-O peak intensity continues to reduce due to its relatively

30 (6-x)+ weak thermal stability. The composition of MoOx is also temperature dependent. The Mo oxide formed at the 100 C is replaced by Mo6+ oxide at 200 C, owing to the increased energy for oxygen to substitute sulfur atoms. When the substrate temperature is increased to 400 C during

5+ the remote plasma treatment, a portion of the MoO3 is reduced to Mo oxide (~231.5 eV) by the thermal sublimation, evidenced from a highly defective surface (shown in Figure 4.6(d)). The

69

oxygen sublimation has resulted in an etching effect, forming a lateral discontinuity and rough surface (RMS roughness ~1.69 nm). In addition, the substrate XPS spectra broaden slightly (see

Figure 4.5), consistent with more bond formation diversity due to etching. The phenomenon is more apparent in the substrate Mo 3d spectral peak, which exhibits an extra shoulder peak at ~0.5 eV higher than that of the substrate MoS2 peak. Given the assumption that oxygen is preferentially adsorbing at S vacancy sites resulting from the etching, this shoulder is assigned to an intermediate

O-Mo-S state.31 More importantly, the above results suggest that rather than physical bombardment from energetic ions and electrons that exist in the case of a direct O2 plasma atmosphere,19,20 the etching effect is favorable because of the relatively higher desorption rate of surface oxides compared to the oxidation rate at 400 C.

Figure 4.5. XPS spectra of Mo 3d, S 2p, and valence band regions for MoS2 after exfoliation and after exposure to remote O2 plasmas at a substrate temperature of RT, 100 C, 200 C, and 400 C, respectively. The plasma exposure time is 5 minutes.

The corresponding LEED images (see Figure 4.6) for each sample are consistent with above discussion in that a sharp hexagonal pattern is maintained when there is no Mo-O bond detected and disappears upon the formation of amorphous Mo oxides.

70

Figure 4.6. Ex situ AFM topographies, line profiles and in situ LEED images for XPS spectra of Mo 3d, S 2p, and valence band regions for MoS2 after exposure to remote O2 plasmas at a substrate temperature of RT, 100 C, 200 C, and 400 C, respectively. The plasma exposure time is 5 minutes. The plasma exposure time is 5 minutes. All LEED images are taken with the energy of 147 eV. The morphology/line profile/LEED data in Figure 4.3(c) are reused in Figure 4.6(c) to conveniently compare the surfaces under the same plasma parameters except for different substrate temperatures.

4.4.3 Atomic layer etching by thermal annealing

The decomposition or desorption of the monolayer MoO3 is also confirmed in this study, where a remote O2 plasma exposed bulk MoS2 sample at 200 C (20 min) is subsequently in situ annealed in vacuum (P = 10-9 mbar) at 350 C/ 0.5 h and 500 C/ 0.5 h, respectively. The decomposition process is shown in Figure 4.7(a) where the intensities of Mo oxide and the corresponding O 1s core level chemical state decrease significantly after a 350 C annealing, and close to the XPS detection limit after the 500 C annealing.

71

Figure 4.7. The thermal stability of the MoOx layer on MoS2 by annealing in the vacuum system. The MoS2 sample has been exposed to an in situ remote O2 plasma for 20 min under a substrate temperature of 200 C to form the MoOx layer. (a) XPS results during the annealing process. (b) Corresponding LEED pattern, (c) topographic AFM image, and (d-e) STM images of the sample after 500 C annealing. The LEED image is taken with the energy of 147 eV. The STM images are taken with (d) Vbias=1.2 V, It=0.3 nA and (e) Vbias=0.9 V, It=0.9 nA. The inset shows a high- resolution atomic image of the resultant surface.

In addition, after the annealing at 350 C, the Mo6+ oxidation state is mainly reduced to the lower intermediate Mo5+ state, while another possible Mo4+ state (which may form upon heating of Mo6+ oxide) is near the XPS detection limit.44 With the reduction of Mo6+ oxide to the Mo5+ oxide, the p-type doping effect is reduced so that the substrate Mo 3d and S 2p peaks are shifted back toward the original positions. The desorption of MoO3 (at ~500 C) is consistent with the reported evaporation range of 500-550 C in vacuum38,37,42 and is related to its low vapor pressure (viz.

1.310-8 mbar at 600 C).45,46 After the desorption of Mo oxides, the peak-widths of the substrate

Mo 3d and S 2p peaks are the same as that of the initial states, suggesting the lattice integrity of

72

the fresh surface is preserved. In contrast, a sharp, in situ hexagonal LEED pattern (shown in

Figure 4.7(b)) is recovered after the 500 C annealing, and further suggests that the top monolayer

MoO3 is etched away completely through 500 C annealing, leaving a clean underlying surface with a crystalline structure. To further confirm the quality of the resulting surface, a large topographic image (10 μm × 10 μm, Figure 4.7(c)) and a more localized image (200 nm × 200 nm,

Figure 4.7(d)) are presented with ex situ AFM and ex situ STM, respectively. The topographic images show clear step edges and clean surfaces without large etched pits. A small concentration of clusters (shown in Figure 4.7(d)) remains and are assigned to MoOx, which has not completely desorbed, as detected from the small O 1s peak in Figure 4.7(a). Additionally, S vacancies (shown in Figure 4.7(d,e)) with depths of less than 0.7 nm are observed. Comparing the S/Mo ratio of the initial MoS2 sample (1.83±0.04) with that of the 500 C annealed sample (1.76±0.04), also suggests S vacancies may occur after such annealing. Such S vacancy defects may also be common

27 on geological, exfoliated MoS2 based on our previous STM research. Moreover, the post-etch atomic structure (Figure 4.7(e) obtained with STM shows a characteristic 2H-MoS2 structure with lattice constant of 3.10.2 Å.27

Importantly, the two-step etching method (remote O2 plasma treatment and post-annealing) demonstrated on the exfoliated, bulk MoS2 surface studied above also works on multilayer MoS2 flakes, where edge sites of the MoS2 2D sheets were reported to be oxidized/etched more quickly

12 than central regions due to higher reactivity. Multiple MoS2 flakes were prepared by mechanical

47 9 exfoliation and transferred onto Si/SiO2 (285 nm) substrate. The optical contrast of MoS2 flakes and ex situ Raman analysis (see Figure 4.8(a-c)) of the frequency separation between the in-plane

73

-1 -1 퐸 (~ 382 cm ) and out-of-plane 퐴 (~405 cm ) vibration modes are used to identify the flake thickness8,9.

Figure 4.8. (a) Raman spectra of 1-4 layer MoS2 before (blue line) and after (red dash line) the two-step etching. (b-c), (d-e) and (f) show Raman peak separation (퐴 − 퐸) mapping contrast, AFM topographic contrast and line profile contrast for MoS2 flakes before and after the two-step etching, respectively.

As expected, the 퐸 and 퐴 modes downshifts and upshifts, respectively, with the number of

48,49 layers going from monolayer to bulk. The 퐴 frequency shift is associated with an increasing

restoring force for adjacent sulfur atoms due to van der Waals interlayer interaction, while the 퐸 frequency shift is related with the enhancement of dielectric screening effect.48,49 With the Raman analysis (parameters described in the Methods section), the peak separation generally varies from

-1 -1 -1 -1 18 cm to 20 cm for monolayer (1L) MoS2, 21.00.5 cm for bilayer (2L) MoS2, 23.50.5 cm

74

-1 8,9 for 3L MoS2, and 24.20.2 cm for 4L MoS2. These results agree well with previous reports.

From the Raman spectra measured before and after the two-step etching on multiple samples

(shown in Figure 4.8(a)), it is clear that the 퐸 upshifts and the 퐴 downshifts, while the frequency separation between these two Raman active modes decreases from one layer region to the next region, suggesting that only 1 layer of MoS2 is uniformly oxidized and removed.

Figure 4.9. Optical contrast of multiple MoS2 flakes before and after the plasma etching. MoS2 47 flakes are prepared by mechanical exfoliation and transferred onto Si/SiO2 (285 nm) substrates. 9,51 The optical contrast of MoS2 flakes can also be used to identify the flake thickness due to optical interference. In general, the brighter blue color coincides with a thicker film.

The optical contrast before and after the two-step etching of multiple MoS2 flakes are also shown in Figure 4.9, to confirm the experimental reproducibility. Moreover, the Raman mapping before and after the two-step etching (shown in Figure 4.8(b-c)) shows that the oxidation/etching is conformal on each thickness of layers, even around step edges. Additionally, no MoO3

75

corresponding peaks (~ 820 cm-1)12 can be identified even after the oxidation layer is formed, suggesting that the Raman technique is not as surface sensitive as XPS.32

For flake thicknesses above 4 layers, the frequency shift of each mode is not resolved due to the spectrometer resolution (~0.5 cm-1), thereby AFM analysis is used.48,49,50 The etching step height is around 0.9-1.1 0.1 nm, which is close to the thickness value of 1 layer MoS2 (~ 0.7 nm). Thus, the remote plasma and subsequent annealing method for atomic layer etching is consistent on both bulk MoS2 and multi-layer flakes of MoS2 and highlights a novel approach to manipulate layered

MoS2 at a large atomic scale.

4.5 Conclusions

In summary, a novel MoS2 functionalization and layer thinning process is presented by combining the surface oxidation of MoS2 with a remote O2 plasma to form a MoOx surface layer and subsequent annealing to selectively desorb the MoOx surface layer. The remote plasma oxidation treatment is mainly a surface reaction process, depending on the plasma exposure time and the substrate temperature. The formation of S-O bonds upon short, remote plasma exposure pins the surface Fermi level to the conduction band edge, while the MoOx formation modulates the Fermi level towards the valence band through band alignment. The resultant monolayer of amorphous

MoO3 can be removed by thermal annealing at 500 C, leaving a clean, flat and chemically undisturbed MoS2 surface. The single layer oxidation/etching behavior on multilayer MoS2 flakes has also been demonstrated using Raman and AFM analysis and is consistent with that on MoS2 bulk samples. The remote O2 plasma treatment has thus been found to serve as a mild, conformal surface oxidizing method without physical etching of the underlying MoS2 at moderate substrate

76

temperatures (less than 400 C). This method renders promising atomic scale fabrication applications such as surface functionalization, charging engineering and atomic layer etching.

4.6 References

(1) Radisavljevic, B.; Radenovic, A.; Brivio, J.; Giacometti, V.; Kis, A. Single-Layer MoS2 Transistors. Nat. Nanotechnol. 2011, 6, 147–150.

(2) Cao, T.; Wang, G.; Han, W.; Ye, H.; Zhu, C.; Shi, J.; Niu, Q.; Tan, P.; Wang, E.; Liu, B.; Feng, J. Valley-Selective Circular Dichroism of Monolayer Molybdenum Disulphide. Nat. Commun. 2012, 3, 887.

(3) Zhu, W.; Low, T.; Lee, Y.-H.; Wang, H.; Farmer, D. B.; Kong, J.; Xia, F.; Avouris, P. Electronic Transport and Device Prospects of Monolayer Molybdenum Disulphide Grown by Chemical Vapour Deposition. Nat. Commun. 2014, 5, 3087.

(4) Fuhrer, M. S.; Hone, J. Measurement of Mobility in Dual-Gated MoS2 Transistors. Nat. Nanotechnol. 2013, 8, 146–147.

(5) Kim, S.; Konar, A.; Hwang, W.-S.; Lee, J. H.; Lee, J.; Yang, J.; Jung, C.; Kim, H.; Yoo, J.- B.; Choi, J.-Y.; Jin, Y. W.; Lee, S. Y.; Jena, D.; Choi, W.; Kim, K. High-Mobility and Low- Power Thin-Film Transistors Based on Multilayer MoS2 Crystals. Nat. Commun. 2012, 3, 1011.

(6) Liu, H.; Neal, A. T.; Ye, P. D. Channel Length Scaling of MoS2 MOSFETs. ACS Nano 2012, 6, 8563–8569.

(7) Wu, J.; Li, H.; Yin, Z.; Li, H.; Liu, J.; Cao, X.; Zhang, Q.; Zhang, H. Layer Thinning and Etching of Mechanically Exfoliated MoS2 Nanosheets by Thermal Annealing in Air. Small 2013, 9, 3314–3319.

(8) Castellanos-Gomez, A.; Barkelid, M.; Goossens, A. M.; Calado, V. E.; van der Zant, H. S. J.; Steele, G. A. Laser-Thinning of MoS2: On Demand Generation of a Single-Layer Semiconductor. Nano Lett. 2012, 12, 3187–3192.

(9) Liu, Y.; Nan, H.; Wu, X.; Pan, W.; Wang, W.; Bai, J.; Zhao, W.; Sun, L.; Wang, X.; Ni, Z. Layer-by-Layer Thinning of MoS2 by Plasma. ACS Nano 2013, 7, 4202–4209.

(10) Lin, T.; Kang, B.; Jeon, M.; Huffman, C.; Jeon, J.; Lee, S.; Han, W.; Lee, J.; Lee, S.; Yeom, G.; Kim, K. Controlled Layer-by-Layer Etching of MoS2. ACS Appl. Mater. Interfaces 2015, 7, 15892–15897.

77

(11) Huang, Y.; Wu, J.; Xu, X.; Ho, Y.; Ni, G.; Zou, Q.; Koon, G. K. W.; Zhao, W.; Castro Neto, A. H.; Eda, G.; Shen, C.; Özyilmaz, B. An Innovative Way of Etching MoS2: Characterization and Mechanistic Investigation. Nano Res. 2013, 6, 200–207.

(12) Zhou, H.; Yu, F.; Liu, Y.; Zou, X.; Cong, C.; Qiu, C.; Yu, T.; Yan, Z.; Shen, X.; Sun, L.; Yakobson, B. I.; Tour, J. M. Thickness-Dependent Patterning of MoS2 Sheets with Well- Oriented Triangular Pits by Heating in Air. Nano Res. 2013, 6, 703–711.

(13) Yamamoto, M.; Einstein, T. L.; Fuhrer, M. S.; Cullen, W. G. Anisotropic Etching of Atomically Thin MoS2. J. Phys. Chem. C 2013, 117, 25643–25649.

(14) Brown, N. M. D.; Cui, N.; Mckinley, A. An XPS Study of the Surface Modification of Natural MoS2 Following Treatment in an RF-Oxygen Plasma. Appl. Surf. Sci. 1998, 134, 11–12.

(15) Cui, N.; Brown, N. M. D.; Mckinley, A. An AFM Study of the Topography of Natural MoS2 Following Treatment in an RF-Oxygen Plasma. Appl. Surf. Sci. 1999, 151, 17–28.

(16) Martin, J. A.; Cross, J. B.; Pope, L. E. MoS2 Interaction with 1.5 eV Atomic Oxygen. Mat. Res. Soc. Symp. Proc 1989, 140, 271–276.

(17) Tagawa, M.; Yokota, K.; Ohmae, N.; Matsumoto, K.; Suzuki, M. Hyperthermal Atomic Oxygen Interaction with MoS2 Lubricants Relevance to Space Environmental Effects in Low Earth Orbit - Atomic Oxygen-Induced Oxidation. Tribol. Lett. 2004, 17, 2–8.

(18) Tagawa, M.; Yokota, K.; Matsumoto, K.; Suzuki, M.; Teraoka, Y.; Kitamura, A.; Belin, M.; Fontaine, J.; Martin, J.-M. Space Environmental Effects on MoS2 and Diamond-like Carbon Lubricating Films: Atomic Oxygen-Induced Erosion and Its Effect on Tribological Properties. Surf. Coatings Technol. 2007, 202, 1003–1010.

(19) Saloum, S.; Naddaf, M.; Alkhaled, B. Active Species Characterization in RF Remote Oxygen Plasma Using Actinometry OES and Electrical Probes. Vacuum 2010, 85, 439–442.

(20) Inagaki, N.; Tasaka, S.; Kawai, H. Surface Modification of Aromatic Polyamide Film by Oxygen Plasma. J. Polym. Sci. Part A Polym. Chem. 1995, 33, 2001–2011.

(21) Wallace, R. M. In-Situ Studies of Interfacial Bonding of High-K Dielectrics for CMOS beyond 22 nm. ECS Trans. 2008, 16, 255–271.

(22) ASTM E2108-05. Standard Practice for Calibration of the Electron Binding-Energy Scale of an X-Ray Photoelectron Spectrometer; ASTM International: West Conshohocken, PA, 2010. www.astm.org

(23) Herrera-Gómez, A.; Hegedus, A.; Meissner, P. L. Chemical Depth Profile of Ultrathin Nitrided SiO2 Films. Appl. Phys. Lett. 2002, 81, 1014–1016.

78

(24) Moulder, J. F.; Stickle, W. F.; Sobol, P. E.; Bomben, K. D. Handbook of X-Ray Photoelectron Spectroscopy; Perkin-Elmer Corp: MN, 1995; ISBN: 0-9648124-1-X.

(25) Wallace, R. M. In-Situ Studies on 2D Materials. ECS Trans. 2014, 64, 109–116.

(26) Mcdonnell, S.; Addou, R.; Buie, C.; Wallace, R. M.; Hinkle, C. L. Defect-Dominated Doping and Contact Resistance in MoS2. ACS Nano 2014, 8, 2880–2888.

(27) Addou, R.; Colombo, L.; Wallace, R. M. Surface Defects on Natural MoS2. ACS Appl. Mater. Interfaces 2015, 7, 11921–11929.

(28) Mahatha, S. K.; Menon, K. S. R. Inhomogeneous Band Bending on MoS2(0001) Arising From Surface Steps and Dislocations. J. Phys. Condens. Matter 2012, 24, 305502.

(29) McDonnell, S.; Azcatl, A.; Addou, R.; Gong, C.; Battaglia, C.; Chuang, S.; Cho, K.; Javey, A.; Wallace, R. M. Hole Contacts on Transition Metal Dichalcogenides: Interface Chemistry and Band Alignments. ACS Nano 2014, 8, 6265–6272.

(30) Azcatl, A.; McDonnell, S.; K. C., S.; Peng, X.; Dong, H.; Qin, X.; Addou, R.; Mordi, G. I.; Lu, N.; Kim, J.; Kim, M. J.; Cho, K.; Wallace, R. M. MoS2 Functionalization for Ultra-Thin Atomic Layer Deposited Dielectrics. Appl. Phys. Lett. 2014, 104, 111601.

(31) KC, S.; Longo, R. C.; Wallace, R. M.; Cho, K. Surface Oxidation Energetics and Kinetics on MoS2 Monolayer. J. Appl. Phys. 2015, 117, 135301.

(32) Cheng, L.; Qin, X.; Lucero, A. T.; Azcatl, A.; Huang, J.; Wallace, R. M.; Cho, K.; Kim, J. Atomic Layer Deposition of a High-K Dielectric on MoS2 Using Trimethylaluminum and Ozone. ACS Appl. Mater. Interfaces 2014, 6, 11834–11838.

(33) Azcatl, A.; Kc, S.; Peng, X.; Lu, N.; Mcdonnell, S.; Qin, X.; Dios, F. De. HfO2 on UV–O3 Exposed Transition Metal Dichalcogenides : Interfacial Reactions Study. 2D Mater. 2015, 2, 14004.

(34) Zhu, H.; McDonnell, S.; Qin, X.; Azcatl, A.; Cheng, L.; Addou, R.; Kim, J.; Ye, P. D.; Wallace, R. M. Al2O3 on Black Phosphorus by Atomic Layer Deposition: An in Situ Interface Study. ACS Appl. Mater. Interfaces 2015, 7, 13038–13043.

(35) Anwar, M.; Hogarth, C.; Bulpett, R. Effect of Substrate Temperature and Film Thickness on the Surface Structure of Some Thin Amorphous Films of MoO3 Studied by X-Ray Photoelectron Spectroscopy (ESCA). J. Mater. Sci. 1989, 24, 3087–3090.

(36) Werfel, W.; Minni, E. Photoemission Study of the Electronic Structure of Mo and Mo Oxides. J. Phys. C Solid State Phys. 1983, 16, 6091–6100.

79

(37) Du, Y.; Li, G.; Peterson, E. W.; Zhou, J.; Zhang, X.; Mu, R.; Dohnálek, Z.; Bowden, M.; Lyubinetsky, I.; Chambers, S. A. Iso-Oriented Monolayer α-MoO3 (010) Films Epitaxially Grown on SrTiO3(001). Nanoscale 2016, 8, 3119–3124.

(38) Firment, L. E.; Ferretti, A. Stoichiometric and Oxygen Deficient MoO3(010) Surfaces. Surf. Sci. 1983, 129, 155–176.

(39) Chuang, S.; Battaglia, C.; Azcatl, A.; McDonnell, S.; Kang, J. S.; Yin, X.; Tosun, M.; Kapadia, R.; Fang, H.; Wallace, R. M.; Javey, A. MoS2 P-Type Transistors and Diodes Enabled by High Work Function MoOx Contacts. Nano Lett. 2014, 14, 1337–1342.

(40) Yamamoto, M.; Dutta, S.; Aikawa, S.; Nakaharai, S.; Wakabayashi, K. Self-Limiting Layer- by-Layer Oxidation of Atomically Thin WSe2. Nano Lett. 2015, 15, 2067–2073.

(41) Song, Z.; Cai, T.; Chang, Z.; Liu, G.; Rodriguez, J. A.; Hrbek, J. Molecular Level Study of the Formation and the Spread of MoO3 on Au(111) by Scanning Tunneling Microscopy and X-Ray Photoelectron Spectroscopy. J. Am. Chem. Soc. 2003, 125, 8059–8066.

(42) Fleisch, T. H.; Mains, G. J. An XPS Study of the UV Reduction and Photochromism of MoO3 and WO3. J. Chem. Phys. 1982, 76, 780.

(43) Greiner, M. T.; Chai, L.; Helander, M. G.; Tang, W.-M.; Lu, Z.-H. Metal/Metal-Oxide Interfaces: How Metal Contacts Affect the Work Function and Band Structure of MoO3. Adv. Funct. Mater. 2013, 23, 215–226.

(44) Choi, J.-G.; Thompson, L. T. XPS Study of As-Prepared and Reduced Molybdenum Oxides. Appl. Surf. Sci. 1996, 93, 143–149.

(45) Kohl, W. H. Handbook of Materials and Techniques for Vacuum Devices; Reinhold Publishing Corp: New York, 967; Vol. 4, 1ISBN: 0278921124.

(46) Gulbransen, E. A.; Andrew, K. F.; Brassart, F. A. Vapor Pressure of Molybdenum Trioxide. J. Electrochem. Soc. 1963, 110, 242–243.

(47) Novoselov, K. S.; Jiang, D.; Schedin, F.; Booth, T. J.; Khotkevich, V. V; Morozov, S. V; Geim, A. K. Two-Dimensional Atomic Crystals. Proc. Natl. Acad. Sci 2005, 102, 10451– 10453.

(48) Lee, C.; Yan, H.; Brus, L. E.; Heinz, T. F.; Hone, Ќ. J.; Ryu, S. Anomalous Lattice Vibrations of Single- and Few-Layer MoS2. ACS Nano 2010, 4, 2695–2700.

(49) Molina-Sánchez, A.; Wirtz, L. Phonons in Single-Layer and Few-Layer MoS2 and WS2. Phys. Rev. B 2011, 84, 1–8.

80

(50) Zhang, X.; Qiao, X.-F.; Shi, W.; Wu, J.-B.; Jiang, D.-S.; Tan, P.-H. Phonon and Raman Scattering of Two-Dimensional Transition Metal Dichalcogenides from Monolayer, Multilayer to Bulk Material. Chem. Soc. Rev. 2015, 44, 2757–2785.

(51) Benameur, M. M.; Radisavljevic, B.; Héron, J. S.; Sahoo, S.; Berger, H.; Kis, A. Visibility of Dichalcogenide Nanolayers. Nanotechnol. 2011, 22, 125706.

81

CHAPTER 5

DEFECTS AND SURFACE STRUCTURAL STABILITY OF MOTE2 UNDER VACUUM

ANNEALING

5.1 Preface

Because of possessing a unique 2H1T’phase transition property,

(MoTe2) is recognized as a promising phase engineering material for contact strategies. However, with the increased covalency of the metal-chalcogenide bond, the bonding strength decreases and the mixing degree of the metal d-states and the chalcogen p-states increases so that the reactivity towards oxidation1 also increases. Correspondingly, the chemical, structural and thermal stabilities of tellurides are much more environmentally sensitive than disulfides and diselenides and thereby need in-depth understanding to avoid surface/interface degradation.

In this chapter and the next chapter, the thermal stability and the phase transition potential of

MoTe2 are evaluated using scanning tunneling microscopy, scanning transmission electron microscopy, and X-ray photoelectron spectroscopy in situ characterization. An interesting structural evolution is identified, in which one novel 2H-MoTe2-to-Mo6Te6 nanowire phase transition process is thoroughly discussed in Chapter 6. This chapter focuses on defects and the structural evolution of the 2H-MoTe2, prior to the formation of Mo6Te6 nanowires, and explores potential protecting mechanisms through surface passivation. Particularly, on the exfoliated sample surface at room temperature, atomic subsurface donors originating from excess Te atoms are observed and presented as topographic nanometer-sized protrusions superimposed with the hexagonal lattice structure of MoTe2. Under a thermal treatment as low as 200 C, the surface decomposition induced cluster defects and Te vacancies are readily detected, and increases in

82

extent with the increasing temperature. Driven by Te vacancies and thermal energy, intense 60 inversion domain boundaries form resulting in a “wagon wheel” morphology after 400 C annealing for 15 minutes. Scanning tunneling spectroscopy identified the electronic states at the domain boundaries and the domain centers. To prevent extensive Te loss at higher temperatures, where Mo6Te6 nanowire formation and substantial desorption-induced etching effects will take place simultaneously, surface and edge passivation with a monolayer graphene coverage on MoTe2 is tested. With this passivation strategy, the structural stability of MoTe2 is greatly enhanced up to

500 C without apparent structural defects.

The contents of this section are adapted with permission from (Defects and Surface Structural

Stability of MoTe2 under Vacuum Annealing. ACS NANO, 2017 accepted). Copyright (2017)

American Chemical Society. The authors are Hui Zhu, Qingxiao Wang, Lanxia Cheng, Rafik

Addou, Jiyoung Kim, Moon J. Kim*, and Robert M. Wallace.* In this work, my contribution was to design the STM, XPS, and graphene encapsulation experiments, analyze the related data, and write the manuscript. Q. Wang contributed tremendously to the STEM experiments and is the coauthor of this work under the supervision of Prof. M. Kim. Dr. L. Cheng under the supervision of Prof. J. Kim was acknowledged for the graphene transfer process and the setup of the Raman annealing experiments. Dr. R. Addou was acknowledged for helpful discussion of the STM work and providing the ICP-MS impurity analysis. All authors have been contributed to the inputs on the manuscript writing.

5.2 Introduction

Among group-VIB transition-metal dichalcogenides (TMDs), semiconducting molybdenum ditelluride (2H-MoTe2) with a bandgap very similar to Si (~1.1 eV for monolayer and 1.0 eV for

83

2 the bulk state), is a promising electronic and photovoltaic device candidate. Additionally, MoTe2 possesses facile phase transition behavior. For example, the well-known phase transition between its semiconducting 2H structure and its semimetallic, distorted octahedral 1T’ structure due to their small formation energy difference (~0.03 eV).3 Versatile methods of stimulating this transition, such as temperature, strain, and charge injection, have been theoretically or experimentally

3–7 8–10 9,11,12 suggested. Techniques for synthesizing the 2H and the 1T’ phases of MoTe2 have also been developed, and seems relatively more controllable than the other TMDs. Distinct phases can be formed by selecting the starting precursors12 or adjusting the synthesis temperatures.2,5 Mainly, the thermal equilibrium for the 2H-to-1T’ transition temperature of MoTe2 has been reported in a range of 500-880 C, and is likely related to the Te concentration.2,5 Usually a relatively lower Te concentration is concomitant with a lower phase transition temperature.2,5

The thermal stability of MoTe2 needs careful evaluation for practical nanoelectronic device applications compared to other TMDs. Brainard et al. have reported two distinct weight loss temperatures for MoTe2; 427 C arising from the evaporation of excess Te and 700 C from the

13 dissociation of MoTe2. This dissociation temperature is much lower than that for disulfides (870-

1040 C) and diselenides (930-980 C),13 and is related to the weaker Mo-Te bond strength reflected in the small electronegativity difference between Mo and Te.14,15 Recently, using scanning transmission electron microscopy (STEM) and scanning tunneling microscopy (STM) techniques, we have discovered a weakened Mo-Te bond strength/stability under vacuum annealing conditions (~400-500 C), leading to a distinct 2H-to-one dimensional Mo6Te6 nanowire

(NW) transition along the <11-20> 2H-MoTe2 crystallographic directions as well as a fast Te desorption along these directions.16

84

In this work, using STM and STEM we investigated the surface structural evolution of MoTe2 crystals upon an ultra-high vacuum annealing (200 C to 400 C) revealing a poor thermal stability of MoTe2 due to the surface dissociation and desorption. In particular, the role of one inherent, nonstoichiometric defect arising from an elemental Te adatom has also been investigated thoroughly. The surface dissociation is found to take place at 200 C, forming Te vacancies, pinholes, and randomly shaped clusters. At 400 C, layer-type etched pits and intense inversion domain boundaries (IDBs) are formed and the latter of which are mainly organized into a “wagon wheel” type morphology covering the sample surface. The atomic structure model and electronic properties of the IDBs are identified by STEM and scanning tunneling spectroscopy (STS), respectively. Additionally, Mo6Te6 NWs are occasionally found at pit edges due to the enhanced

Te desorption. Finally, regarding the degradation of MoTe2 towards oxidation and reduced thermal stability by Te desorption, desirable attributes to prevent the Te loss by encapsulation methods are explored.

5.3 Experimental Section

The MoTe2 crystals used in this work are chemical vapor synthesized by HQ Graphene using high purity molybdenum (99.9975%, Alfa Aesar) and tellurium (99.999%, Alfa Aesar) powders with

Te vapor as a transport agent. 10 at. % more Te above the initial Te: Mo = 2:1 were added in the crystal growth process to avoid a Te deficiency and thus a more reactive surface to air exposure.

Fresh sample surfaces are simply cleaved in the air with Scotch® tape and then immediately loaded into the second ultrahigh vacuum (UHV) system described in Chapter 2 (base pressure ~10-10 mbar) for the subsequent surface characterization and heating studies.17 The UHV system consists of an annealing chamber and one analysis chambers for X-ray photoelectron spectroscopy (XPS)

85

and room temperature STM (Omicron variable temperature STM) analysis.17 Samples are in situ transferred to the prep chamber for heating treatments and transferred back to the analysis chamber for XPS/STM analysis after cooling down to the room temperature. The sample manipulator in the annealing chamber allows a programmable controlled ramp-up rate of the substrate temperature.

The ramp-up rate of the substrate temperature in this experiment is ~5 C/minute. The STM images are obtained with electrochemically etched W tips in constant current mode. The STM/STS data are analyzed with WSxM software. XPS spectra are obtained with a monochromatic Al K (h =

1486.7 eV) X-ray source which has a pass energy of 15 eV and a take-off angle of 45, and then analyzed with AAnalyzer software18. The crystallinity and defects in monolayer or few layers of

MoTe2 are investigated with STEM measurements. A MoTe2 thin flake is transferred onto a

Protochips in situ heating grid by poly(bisphenol A carbonate) assisted wet transfer method.19 In order to avoid electron beam induced damage, STEM imaging is performed on an aberration- corrected JEM-ARM200F (JEOL USA Inc.) operating at 80 kV. The convergence semi-angle of the electron beam is 25 mrad while the collection semi-angle for the annular dark field (ADF) detector is 70-370 mrad. In order to improve the signal-to-noise ratio of the atomically resolved

STEM images on monolayer MoTe2, the deconvolution method has been performed using the

DeConvHAADF software (HREM Research Inc.). HAADF-STEM image simulation has been performed using QSTEM software20 with the same parameters as the experimental settings. To

 create defects in the MoTe2 thin film, spike annealing is applied at 450 C for 60 s and immediately cooled down to room temperature. The in situ observation of the migration of IDBs is performed

 at 250 C. A cross-section STEM specimen of the STM heated bulk MoTe2 crystal is prepared using a focused ion beam (FEI Nova 200 Dual Beam). The inductively coupled plasma mass

86

spectrometry technique (ICPMS)21 with a detection limit of 0.1 part per billion was used to search for impurities on initial MoTe2 crystals.

To test the passivation of MoTe2 flakes, traditional PMMA assisted graphene transfer method is employed to transfer monolayer graphene from a Cu substrate to MoTe2 flakes deposited onto a

21 90 nm SiO2 layer on a Si substrate. The thermal stabilities of graphene/MoTe2 flakes are evaluated using Raman microscopy (InVia Confocal Renishaw spectroscopy), with the temperature controlled by a N2 purged LINKAM THMS600 heat cell (see Chapter 2 for the Raman

22 heating setup). Raman measurements of MoTe2 flakes are performed using a 532 nm excitation laser and a 0.22 mW laser power to minimize laser-induced local thermal heating damage.

5.4 Results and Discussion

5.4.1 Intrinsic crystal qualities induced by excess of Te

Figure 5.1. XPS Characterization of the 2H-MoTe2 crystal.

The surface chemistry of the initially exfoliated crystals is investigated with XPS as shown in

Figure 5.1. Ex situ preparation process often associated with hydrocarbon adsorption (C-C and C-

O bonds, see Figure 5.1(a)) or slight surface oxidation (i.e., Te-O and Mo-O bonds). But sharp

87

peak spectra of Te 3d5/2 (573.2 eV) and Mo 3d doublet (228.5 eV for Mo 3d5/2 and 231.65 eV for

Mo 3d3/2) with minimized Te-O (~576.1 eV) and Mo-O (~232.2 eV) oxides are achievable through quickly loading the sample into the UHV chamber after the exfoliation (see Figure 5.1(b)). The valence band maximum is around 0.7 eV below the Fermi level, suggesting an n-type property.

Exotic impurities other than Te/Mo/C/O are excluded in this work because of a low MoTe2 impurity concentration level (< 51010/cm2) determined from ICPMS measurement.

This impurity level is well below the XPS detection limit and the defect concentration quantified from the STM studies discussed below. However, the Te/Mo atomic ratios obtained from the XPS analysis on multiple samples vary within the range of 2.1-2.4 and thus indicating either a tellurium- rich chemical environment by Te interstitials or intercalates or a molybdenum-deficient environment by substantial Mo vacancies.23 Neither chalcogen rich nor metal deficiency is

24 8,25 typically observed for natural/synthetic TMDs, such as MoS2, MoSe2 and other literature

8,9,12,15 reports for MoTe2. Also, the measured binding energies of Te 3d and Mo 3d core levels on oxide-free samples appear to be insensitive to the Te concentrations with the binding energy variation below the XPS resolution (0.05 eV) and are only 0.2 eV higher than the values reported

12 for p-type, stoichiometric 2H-MoTe2. This is in contrast to the n/p-type behavior of MoS2 or

24,26,27 WSe2 modulated by structural defects, chalcogen vacancies, and impurities. This behavior could be a result of the small charge transfer between Mo and Te atoms due to their small electronegativity difference (~0.3)14,15, or a doping effect from the high Te/Mo stoichiometry. In addition, elemental Te, if present, is neither easily distinguishable in the Te 3d core level region because of its overlapped binding energy with the Te-Mo bonding nor in the Raman

88

characterization of MoTe2 flakes with different numbers of layers. Therefore, the reason for the high Te/Mo ratios cannot be obtained by XPS analysis alone.

Figure 5.2. Defect identification through STEM and STM characterizations. (a) HAADF-STEM images of a monolayer and a bilayer MoTe2. Te vacancies and adatoms are indicated by green circles and green/yellow arrows, respectively. (b) STEM image simulations of Te adatoms and the corresponding line profiles. The Mo adatoms would have similar image contrasts. The adatoms may locate above Te atom (red dash-line), above Mo atom (blue dash-line) and hollow site (cyan dash-line). (c, and d) STM images of the bulk crystal taken at Vb = -0.6 V and -0.4 V, respectively. A 3D zoom-in image inset in panel (c) indicates the average height of protrusions is 30.5 Å. The 2 inset in panel (d) is a 5.5  4.0 nm atomically resolved STM image taken at Vb = +0.6 V. The tunneling current for all STM images is It = 1.5 A. (e) STS measurements from multiple surface regions.

STEM (Figure 5.2(a,b)) and STM (Figure 5.2(c-e)) with atomic sensitivity are employed to examine possible defect states within the Te-rich MoTe2: interstitial/intercalated Te atoms and Mo vacancies. Figure 5.2(a) shows atomic resolution HAADF-STEM images of a MoTe2 flake imaged on monolayer (1L, top) and bilayer (2L, bottom) regions with an atomic number contrast (Z2

89

contrast). The images have been processed using the deconvolution method discussed in the

Method section and the related STEM image simulations are displayed in Figure 5.2(b). Two types of point defects are identified: single atoms (indicated as yellow/green arrows) sitting on top of Te triangles (yellow/green triangles) and Te vacancies (green circles). In the 1L region, the image intensity at the Te2 column sites (top and bottom Te atoms) is higher than that at the Mo atom sites if viewed along its [0001] zone axis. Therefore, Mo/Te atoms and defects can be easily distinguished based on their intensity differences (see the inset intensity line profile in Figure

5.2(a) and STEM simulations in Figure 5.2(b)). It is noted that the Te atomic vacancies can be generated by the STEM thin-film preparation procedure, and the initial 250 °C annealing which was employed to remove polymer residues from the transferring process but clearly created some randomly distributed structural defects simultaneously. Though annealing may also create similar

Te adatoms from the pristine lattice during the STEM annealing study, Te adatoms are consistently observed on the MoTe2 thin films without any thermal treatments. The STEM imaging was

28 performed at 80 kV which is much lower than the critical knock-on damage voltage of MoTe2.

Since Mo vacancies are seldom observed in the STEM images, the adatoms are likely to be Te atoms that result in the high Te/Mo stoichiometry.

In Figure 5.2(a), the stable sites of the Te adatoms are observed as either aligned with the underlying Mo atoms (indicated as green arrows/triangles) or at the hollow sites of Te triangles

(yellow arrows/triangles). The latter case is apparently resolved and dominant in the 2L region. In practice, the Te adatoms directly on top of the Te sites are also observable, suggesting a random adsorption site. In addition, the in-plane lattice constant extracted from the plan-view HAADF image is 3.5 Å, consistent with the 2H structure reported in the literature.29 Figures 5.2(c,d) show

90

typical STM images of a freshly exfoliated MoTe2 (0001) surface measured at negative sample biases (empty-state). The surface is decorated with a high concentration of randomly distributed, nanometer-sized bright “protrusions” that are rarely reported in previous STM studies on

29–32 MoTe2. The “protrusions” have an areal density of 233%, and a spatial extension of 1-2 nm.

Similar “protrusion” decorated surfaces are also observed on MoTe2 samples from different sources with higher defect concentrations and Te/Mo ratios.

2 Figure 5.3. Bias-dependent protrusions in MoTe2. (a-b) 30  10 nm STM imaging on the same surface region at different sample biases. The sample bias and tunneling current are held constant for (a) Vb = +0.15 V, It = 1.5 nA and (b) Vb = -0.3 V, It = 1.5 nA, respectively. (c) Z profiles across lines drawn in (a) and (b), respectively.

Sample bias dependent STM analysis of the protrusions are performed and shown in Figure 5.3. It reveals that the apparent protrusion height is strongly sample bias dependent. In general, the average height is around 30.5 Å at negative sample biases, higher than the average value of

1.00.3 Å obtained at positive sample biases. The variation of protrusion heights or their lateral extensions under the same imaging condition is related to the inhomogeneous defects distribution in the subsurface layers. In Figure 5.2(d), three types of lateral extension of protrusions are

91

observed. Occasionally, protrusions (viz. defects residing in deeper layers) that are barely detected under positive sample biases can be pronounced at negative sample biases (Figure 5.3).

The bias polarity dependent STM appearance signifies the bias induced local electronic density state changes rather than topographic protrusions.33,34 The contribution of defects from subsurface layers is also supported from the atomically resolved STM image inset in Figure 5.2(d) where the protrusions are only superimposed on the hexagonal MoTe2 lattice without apparent surface adsorbates or vacancies. The central symmetric shape of protrusions and the above STEM observations suggest that each protrusion defect is likely to represent a single Te adatom.

Subsurface dopants or defects that can cause such topographic contrasts (either hillocks or depressions, relying on donor or acceptor identity, spatial positions of defects, as well as the energy levels introduced) and the related tunneling models (including the effect of STM tip-induced band bending) have been extensively discussed in previous STM studies of GaAs, Si, and some TMDs surfaces.34–39 The height and spatial extension of surface defects can be used as an indication of their subsurface location.34 Similarly, considering the limited detection depth of the STM and the protrusion aspect, Te adatoms residing underneath the subsurface 1st (first), 2nd, and 3rd layers are suggested. If tentatively assuming the apparent protrusions seen in Figure 5.2(d) originate from the underlying two layers (extending ~1.4 nm in thickness given their much higher spatial/height resolutions than defects from the third layer), then the 353 protrusions counted in Figure 5.2(c) would roughly give an estimated defect concentration of 2.51019 cm-3: much higher than the concentration level of minority impurities detected from the mass spectrometry analysis. Such concentrations are consistent with a majority species: Te, but are below the XPS detection limit (1 at.%). Even though, the high Te/Mo ratios are still reasonable because XPS has a sampling

92

depth/area orders of magnitude higher than that of STM. Sometimes the inhomogeneity of the depth distribution of Te atoms is directly detected through the variation of Te/Mo ratios with multiple mechanical exfoliations.

The doping effect of the Te adatoms is probed from conductance measurements (dI/dV spectrum) on multiple sample surfaces. As shown in Figure 5.2(e), the majority of the studied surfaces exhibit an n-type behavior with a bandgap of 1.020.02 eV and Fermi level located at ~0.3 eV below the conduction band minimum (CBM). Occasionally, an un-doped region with the Fermi level lying in the middle of the bandgap is detected on the same sample surface with fewer protrusions (not shown). Such behavior again confirms the donor states of the observed defects. The bandgap value

10,32 is consistent with those reported using STS or electrical measurements on bulk 2H-MoTe2.

Furthermore, the donor behavior of the protrusions is in contrast to the acceptor behavior of Mo atomic vacancies, which are detected as dark shallow “depressions”. The Mo vacancies mainly appear after annealing and are detectable only on one sample surface.31,37

Given the existence of such high defect concentrations, the chemical stability of the MoTe2 surfaces has been corroborated through air exposure experiments by XPS shown in Figure 5.4.

The Te/Mo-O peak in the O 1s core level spectrum is near the XPS detection limit during the initial

30 min air exposure, suggesting there are no detectable surface oxides for a short time air exposure.

After exposure to air for 2 days, analysis of the sample surface reveals a small concentration of surface oxides with Te-O bonds of 2.7 at.% and Mo-O bonds of 3.5 at.%. The oxidation rate is much slower than previous reports on MBE synthesized MoTe2 with substantial Te vacancies, which was immediately oxidized after exposing to air.30 The results suggest that the interstitial Te

93

atoms do not substantially degrade the chemical stability of MoTe2 crystals. Therefore, the crystal is preserved for the following thermal stability study to examine the role of excess Te as well.

Figure 5.4. XPS spectra of Te 3d5/2, Mo 3d, O 1s and C 1s core level regions from a freshly exfoliated sample after being exposed to air for 5 min, 15 min, 30 min, and 2 days, respectively.

5.4.2 Surface dissociation and development of Te vacancies

17 The thermal stability of MoTe2 is investigated with a UHV surface analysis system where the sample is annealed at 200 C, 300 C and 400 C for 2h, 1h, and 0.5h, respectively, and then followed with in situ STM analysis after the sample is cooled down to room temperature. Figure

5.5(a,b) present the STM morphological evolution of the MoTe2 after annealing at 200 C and 300

C and reveals a poor structural stability of MoTe2. The surface becomes more defective as the annealing temperature increases, which leads to the formation of apparent structural defects such as clusters and pinholes. Representative examples of structural defects are shown in Figure 5.5(c- g) and obtained from the 300 C annealed sample surface.

94

Figure 5.5. STM images of the MoTe2 surface after 200 C and 300 C UHV annealing. Large- 2 scale images (200  200 nm ) of MoTe2 at (a) 200 C and (b) 300 C, respectively, imaged at Vb = -0.8 V and It = 0.6 A. (c-g) Example of surface defects generated at 300 C obtained at Vb = +0.15 V and It = 1.5 A. The height/depth of bright clusters (marked with blue squares)/dark depressions (white squares) are measured to be ~7 Å or less. An atomic resolution STM image of Te atomic vacancies (indicated with white arrows) are presented in the inset in panel (d).

One dominant type of structural defects is presented as bright clusters with arbitrary shapes and sizes (see blue squares in Figure 5.5(c,d)) and surrounded or centered by dark defects

(depressions/pinholes). At 200 C, these clusters appear as round shape for sizes less than 3 nm and develop into a triangular-like shape for larger sizes at 300 C. Examples of triangular clusters can be found in Figure 5.5(c,e,g). The dark pinholes/depressions usually have a depth of 7 Å or less, produced from missing one layer Te-Mo-Te segments (see Figure 5.5(f,g)) or electron depletion introduced by Mo vacancies. Occasionally, depressions without any nearby clusters can

95

also be found on the same sample surface (see white squares in Figure 5.5(c,d)). The cluster and pinhole/depression relationship indicates the origination of clusters mainly from the curling- up/aggregation of un-dissociated particles/segments. STS has been performed on some cluster defects (not shown) and reveals a metallic-like behavior of those clusters due to the formation of gap states. Besides large structural defects, traces of Te atomic vacancies (indicated with white arrows, see Figure 5.5(c-g)) are also detectable on the zoomed-in STM images. Especially, Te atomic vacancies (see inset in Figure 5.5(d)) can exist as a monovacancy and divacancy and suggest a low formation energy of Te vacancies. However, forming Te atomic vacancies may not necessarily correspond to a decreased Te/Mo atomic ratio on the sample surface. Based on our previous in situ XPS study (or see Chapter 6 as references) of the 2H-MoTe2-to-Mo6Te6 NW transition process,16 an initial Te/Mo atomic ratio increment stage is present before the 400 C.

The transition phenomenon is attributed to the complicated surface decomposition as well as the out-diffusion of the Te adatoms.

A dramatic change in the surface morphology is immediately observed in Figure 5.6 after annealing the sample to 400 C for 0.5 h. When imaged at negative sample biases, the topmost layers (Figure 5.6(a,b)) are almost covered with enlarged layer-type pits and periodic motifs organized in a hexagonal arrangement. Meanwhile, clustering defects and the tunneling contrasts of the underlying intercalated Te atoms are nearly indiscernible on the entire sample surface. The pits have an areal coverage of 5-10 %, a depth of 71 Å (1 monolayer) and can reach a lateral dimension of ~20 nm. As suggested by dash lines in Figure 5.6(a), the orientation (dash lines) and the center-to-center distance (see solid lines) of hexagonal arrangements can change locally and are probably interrupted by step edges of pits, boundaries, strains, or local defects. A periodicity

96

ranging between ~3-5 nm has been measured. It is also noted that Mo6Te6 NWs mainly generated at 450 C are occasionally visible at 400 C along the pit edges (see “streak line” morphologies in

Figure 5.6(a)).

2 2 Figure 5.6. (a) 200  170 nm and (b) 60  100 nm STM images of the MoTe2 surface after the 400 C annealing, recorded at It = 0.6 nA and Vb = -0.8 V and -0.6 V, respectively. The topmost layers are covered with hexagonal motifs with an irregular periodicity of 3-5 nm. The depth of pits relative to the substrate is measured to be 71 Å. (c) 40  35 nm2 and (d) 25  20 nm2 STM images of the zoomed-in surface taken at opposite sample biases showing the wagon wheel network of twin line boundaries. The (c, d) images are taken at Vb = -0.4 V and +0.4 V, respectively, and at It 2 = 1 nA, (e) 10  10 nm atomic resolution of WW patterns (Vb = +0.2 V and It = 0.6 nA) showing the twin line separation of 6.21 Å and the same trigonal atomic arrangement as the 2H phase inside the triangular region. (f) STS measurements on triangular center (square) and IDB (circle) and compared with that on the initial 2H-MoTe2.

97

Close examination of STM images recorded at negative sample bias (Figure 5.6(c), Vb = -1 V) and at positive sample bias (Figure 5.6(d), Vb = +0.4 V), respectively, reveals that such motifs are actually the “axle” of a “wagon-wheel” (WW) pattern: a skewed hexagonal pattern composed of six pairs of twin lines (“spokes” of WW). A high-resolution STM image of such WW networks

(Figure 5.6(e)) reveals that the triangular regions enclosed by the “spokes” possess the same hexagonal arrangement as the initial 2H phase, while the spoke has a twin-line separation of 6.21

Å.

40,41 42–44 A similar WW morphology has also been observed on MoSe2 grown on MoS2, HOPG, and other substrates, and is also recently noted on the MBE grown MoTe2 on MoS2 with reduced

10,30 Te flux. The twin-line separation measured here is consistent with the observations on MoSe2

10,44 and MoTe2 that it is close to 3a (a = 3.5 Å, the 2H-MoTe2 lattice constant). This is the first observation of WW structures formed from bulk 2H-MoTe2 sample as demonstrated by STM and

STEM. The sample-bias dependent morphology contrasts shown in Figure 5.6(c-e) demonstrate the contribution from localized gap states near the spoke regions. To assess the electronic characteristics of the WW structure, STS measurements (Figure 5.6(f)) are performed at the triangular center and spoke regions, respectively, and directly compared with the initial 2H phase.

As shown, the triangular center shows a rigid downward shift of ~0.12 eV with respect to the initial

2H phase, whereas the spoke region has a similar CBM as the triangular center, but its valence band maximum (VBM) is upward bent towards the Fermi level due to the metallic property of the

10,44,45 spoke. However, with the interaction of underlying semiconducting MoTe2, it is challenging to resolve the metallic property of the spoke.

98

Figure 5.7. Atomic structure of inversion domain boundary (IDB) on one MoTe2 monolayer region after 450 C flash annealing for 1 min. (a) A Z-contrast STEM image of two neighboring wagon wheel (WW) patterns obtained at room temperature, (b,c) WW atomic model along with its STEM simulation image. Colored lines outline the domain boundaries, and green circles indicate the Te single vacancies. Scale bars: 1nm.

The generation of the WW networks on MoSe2 or MoTe2 was initially interpreted as a moiré interference caused by lattice mismatches or rotation between two materials30,41,46 and was later demonstrated as a result of the chalcogen vacancy induced inversion domain boundary (IDB) formation.10,45,47 Although moiré interference can be involved and change the morphology contrast of IDBs and the domains enclosed by IDBs,44,45 in this work, the comprehensive STM and subsequent STEM study (Figure 5.7) confirms the IDB property of the twin-lines and directly rules out the moiré/interference effect. First, the WW patterns shown in STM images do not have a long- range periodicity/orientation as a moiré interference pattern would, and moiré interference is not observed in the domain regions. Second, the existence of the WW morphology is independent of substrates, which has been confirmed in monolayer MoTe2 (see Figure 5.7) through the STEM

99

imaging on a MoTe2 flake which has been flashed to 450 C for one minute and then quickly cooled down (see Methods).

The WWs exhibit a strong pattern irregularity. Figure 5.7(a-c) show two WW patterns in one monolayer region, in which the domain sizes in the bottom WW are not identical, and the bottom

WW would have the same axle structure as the top one if one IDB (indicated by a yellow line) can translate downward by one lattice vector. Through the STEM observations, WWs of different sizes and orientations have been detected and IDBs can be continuous or discontinuous and in versatile shapes, e.g., W shapes, rotational (or enclosed) triangular shapes, and kink shapes. This may be the reason why the periodicity and orientation of WWs change in the STM images.

Figure 5.8. Dynamic Z-contrast STEM images showing the fast transformation of IDBs upon reannealing at 250 C. High-resolution STEM images (bottom left) and the corresponding schematic models (bottom right) highlight the IDB migration driven by the gliding of Mo atoms. Arrows in the schematic models indicate the displacement direction of Mo atoms during the IDB migration process. Green/red circles suggest the relocation of the as-formed Te single vacancy/Te2 column vacancy (missing the top and bottom Te atoms) during the annealing process. Scale bars: 1nm.

After the 450 C spike annealing, the STEM sample is then slowly reannealed from room temperature to 450 C. It is found that the domain morphology can change extremely fast during

100

the reannealing process. Figure 5.8(a-c) show three sequential STEM images of one monolayer region at 250 C, where the positions of IDBs are labeled with yellow lines to display the fast development of IDBs with time. These images demonstrate that IDBs can grow, migrate, and disappear easily at even lower temperature, thereby all the WWs morphologies observed in STM and STEM images are probably just intermediate states. The observations also suggest a dynamic process resulting in the formation and translation of WWs.

The atomic structure of IDBs is one type of 60 IDBs containing a line of Te2 dimers surrounded by (four) two-fold symmetric Mo atoms along the <11-20> zigzag directions of the MoTe2 lattice.

Other than the commonly suggested mirror-symmetric inversion domain accompanied with this type of IDBs, the inversion domain is usually shifted away from the mirror-symmetric positions by one lattice vector along the IDBs (see shifted blue lines along the IDBs in Figure 5.7(c)).47,48

As each Mo atom at IDBs is surrounded by six Te atoms, the local stoichiometry of IDBs decreases

47,48 from MoTe2 to MoTe1.5 (Mo4Te6), indicating a Te deficiency. Meanwhile, there is no particular mass loss along the Te2 line at the IDBs, suggesting the formation mechanism of this type IDBs

25,47 on MoTe2 is slightly different from what is reported driven by chalcogen line vacancies.

Generally, chalcogen line vacancies are more favorable than random single vacancies at high temperatures due to the lowered formation energy.25 Komsa et al. have shown the mobile and agglomeration of single S vacancies into line defects under the electron beam exposure of MoS2 in the TEM.49 However, Lin et al. also demonstrate the migration/growth of the same type of

43 MoSe1.5 IDBs in MoSe2 is driven by Se atomic vacancy and gliding of Mo atoms. The latter explanation is more reasonable for the development/migration of IDBs in MoTe2. In Figure 5.8, the displacement of Mo atoms can be monitored/measured when using Te positions as the

101

reference. To illustrate the gliding/displacement of Mo atoms, close-up views of the same region and the corresponding atomic structures are displaced at the bottom panel in Figure 5.8, in which

Mo atoms are colored differently to indicate the location of Mo atoms at different sites while the arrows show the displacement direction of those Mo atoms for the next frame. It seems that the movement of Mo atoms is a random process. This may be related to the random locations of Te vacancies and the characteristics of their random migrations. The latter is indicated by the appearance (and disappearance) of Te vacancies that are marked in the zoomed-in regions in Figure

5.8 (see green and red circles). Occasionally, the hopping and migration of surface Te adatoms from either the excess Te source or the pristine lattice are also observable, making the analysis of the detailed mechanism even more difficult. Nevertheless, it is certain that the final IDB morphology is unpredictable from the random migration and generation of Te vacancies during the annealing process.

In our STEM study, Te vacancies are more frequently detected as single vacancy, di-vacancy, or

Te2 column vacancy rather than Te line vacancies. Further Te deficiency will facilitate the formation of either Mo6Te6 NWs or MoTe2 layer-type defects (see regional pits and Mo6Te6 NWs

16 in Figure 5.6(a)). Therefore, the thermal degradation of MoTe2 crystals is mainly caused by the low Te-Mo bonding strength itself, independent of the unexpected Te doping from the crystal growth process.

5.4.3 Passivation of MoTe2 with monolayer graphene

Current work and previous 2H-MoTe2-to-Mo6Te6 NW studies (described in Chapter 6) demonstrate that Te atoms desorb easily from both the basal plane and the edge plane even at low temperatures. For high temperatures (i.e., above the 400 C), the Te loss is accelerated making it

102

impossible to maintain the initial 2H structure. Figure 5.9 shows an example of a bulk crystal annealed to 500 C for one hour to form thick Mo6Te6 NW layers so that the underlying 2H signals may below the limit of Raman detection (close to 1 micrometer). It seems Te loss can occur deeply down to a few micrometers at high temperatures, probably facilitated by the voids formed inside.

-1 2H-MoTe2 has three characteristic Raman peaks including out-of-plane A1g (~173.3 cm ), in-

1 -1 -1 plane E2g (234.8 cm ) and out-of-plane B2g (~290.5 cm ) peaks, among which the B2g peak is

Raman inactive in monolayer and bulk states and therefore can be used as a convenient thickness

50 index. When the Mo6Te6 forms, Raman spectroscopy shows two new peaks located at ~156.4

-1 -1 12 cm and 244.3 cm , respectively, irrelevant of the peak positions of the 1T’-MoTe2 peaks and therefore are assigned to the Mo6Te6 state.

Figure 5.9. Thick Mo6Te6 NW layers formed on top of 2H-MoTe2 bulk crystal by a 500 C annealing for one hour. (a) A large-scale cross-sectional STEM image. Inset: high-resolution nanowire structures from the “NW” region. (b) Raman spectra of this bulk crystal taken from surface thick Mo6Te6 NW region, uncovered 2H region, and NW-2H mixed regions.

16 As noted previously, Te loss facilitates the Mo6Te6 NW formation; however, it is not clear if encapsulation of the MoTe2 with thermally stable materials, such as 2D materials and high-k dielectric films, can mitigate the Te loss rate and enhance the thermal and chemical stability of

103

MoTe2. To examine this possibility, MoTe2 flakes partially covered (Figure 5.10(a)) and fully covered (Figure 5.10(b)) with CVD graphene (1LG) are examined using in situ temperature dependent Raman microscopy (see Methods for experiment setup).

Figure 5.10. The temperature dependent Raman study of MoTe2 flakes (a) partially covered or (b) fully covered with monolayer graphene. A long working distance 50 objective lens with a scale bar of 20 µm is used for recording the optical images. Raman spectra taken at the central of the yellow stars/dots are recorded at room temperature before and after the annealing experiment (up to 500 °C for 30 min).

Few-layer MoTe2 samples are chosen because they are more widely developed for device purposes and they have a much larger surface-to-volume ratio to suffer from crystal degradation than bulk crystals. Meanwhile, graphene is used as the capping material because of its excellent thermal and

104

chemical stability, availability for large-scale integration, as well as its demonstrated exceptional possibility as a diffusion barrier.51 The layer thickness and good crystallinity of the graphene are demonstrated with the intensity ratio of I2D/IG (3.0-3.2) and absence of the D peak, respectively

(see the Raman spectra of the 1LG in Figure 5.10(b)). The slightly blue shifting (~5.4 cm-1) of the graphene 2D peak obtained on the MoTe2 flake with respect to the SiO2/Si substrate is also seen

52 on the graphene/MoS2 heterostructure and arises from the interfacial van der Waals interaction.

Figure 5.10(a) shows the optical images of the half covered MoTe2 flakes during the temperature ramp-up process. As shown, the uncovered, thicker MoTe2 regions (right side) demonstrate a much faster decomposition rate than the thinner regions (left side), so that pits and cracks occur at right side at 475 C and grow fast with time and temperature. Notable, with the path created by the cracks/pits, the neighboring covered MoTe2 also starts to decompose slowly. However, under the graphene covered region, there is one small, single layer MoTe2 flake detached from the larger flake which retains integrity during the annealing process, suggesting that a full covered surface without Te loss paths is necessary to prevent the thermal degradation. This can be further demonstrated in the example shown in Figure 5.10(b), where the Raman spectra of a fully covered

MoTe2 flake remains unchanged before and after the annealing (up to 500 C). Moreover, no

Mo6Te6 phase is detected through Raman spectroscopy.

A major concern is the Raman spectra modulation of the graphene layer after the annealing,

-1 forming a reduced I2D/IG, a broad Raman band roughly at 1120-1700 cm near the G peak, and a

-1 clear blue shift (~ 8-13 cm ) of the 2D peak. Such phenomena exist on both the MoTe2 flake and the SiO2/Si substrate and have been noticed before on the poly-methyl methacrylate (PMMA) residue contaminated graphene,53.54 suggesting they are not originating from Te desorption or

105

graphene degradation. Rather, the temperature as well as the tape residue or possible PMMA residue may cause such behavior.53.54

5.5 Conclusions

In Summary, we investigated the thermal stability of MoTe2 and the role of excess Te that is present within the CVT-synthesized MoTe2 crystals. The excess Te does not affect the crystallinity or lower the chemical stability, but only induces an n-type doping of the synthesized MoTe2 crystals. The heating treatment can outgas excess of Te; however, the poor structural stability as evidenced in this work can also desorb the bonded Te as well. Te vacancies are easily formed at a temperature as low as 200 C, and facilitate one type of 60 inversion domain boundaries at the

400 C, which further intertwined as a wagon wheel morphology when the domain boundary intensity increases. Further Te deficiency at higher temperature leads to the formation of either

Mo6Te6 NWs or substantial pits/holes so that it is hard to maintain the hexagonal lattice structure

16 for MoTe2. The work demonstrates a particularly low thermal stability of MoTe2 even with an excess of Te inside. Importantly, it is also demonstrated that an efficient passivation of MoTe2, such as graphene encapsulation is of crucial importance in enhancing its thermal stability and chemical stability.

5.6 References

(1) Liu, H.; Han, N.; Zhao, J. Atomistic Insight into the Oxidation of Monolayer Transition Metal Dichalcogenides: From Structures to Electronic Properties. RSC Adv. 2015, 5, 17572–17581.

(2) Keum, D. H.; Cho, S.; Kim, J. H.; Choe, D.-H.; Sung, H.-J.; Kan, M.; Kang, H.; Hwang, J.- Y.; Kim, S. W.; Yang, H.; Chang, K. J.; Lee, Y. H. Bandgap Opening in Few-Layered Monoclinic MoTe2. Nat. Phys. 2015, 11, 482–486.

106

(3) Cho, S.; Kim, S.; Kim, J. H.; Zhao, J.; Seok, J.; Keum, D. H.; Baik, J.; Choe, D.; Chang, K. J.; Suenaga, K.; Kim, S. W.; Lee, Y. H.; Yang, H. Phase Patterning for Ohmic Homojunction Contact in MoTe2. Science 2015, 349, 625–628.

(4) Zhou, Y.; Reed, E. J. Structural Phase Stability Control of Monolayer MoTe2 with Adsorbed Atoms and Molecules. J. Phys. Chem. C 2015, 119, 21674–21680.

(5) Vellinga, M. B.; Jonge, R. De; Haas, C. Semiconductor to Metal Transition in MoTe2. J. Solid State Chem. 1970, 2, 299–302.

(6) Song, S.; Keum, D. H.; Cho, S.; Perello, D.; Kim, Y.; Lee, Y. H. Room Temperature Semiconductor-Metal Transition of MoTe2 Thin Films Engineered by Strain. Nano Lett. 2015, 16, 188–193.

(7) Zhang, C.; Kc, S.; Nie, Y.; Liang, C.; Vandenberghe, W. G.; Longo, R. C.; Zheng, Y.; Kong, F.; Hong, S.; Wallace, R. M.; Cho, K. Charge Mediated Reversible Metal-Insulator Transition in Monolayer MoTe2 and WxMo1-xTe2 Alloy. ACS Nano 2016, 10, 7370–7375.

(8) Roy, A.; Movva, H. C. P.; Satpati, B.; Kim, K.; Dey, R.; Rai, A.; Pramanik, T.; Guchhait, S.; Tutuc, E.; Banerjee, S. K. Structural and Electrical Properties of MoTe2 and MoSe2 Grown by Molecular Beam Epitaxy. ACS Appl. Mater. Interfaces 2016, 8, 7396–7402.

(9) Park, J. C.; Yun, S. J.; Kim, H.; Park, J. H.; Chae, S. H.; An, S. J.; Kim, J. G.; Kim, S. M.; Kim, K. K.; Lee, Y. H. Phase-Engineered Synthesis of Centimeter-Scale 1T’- and 2H- Molybdenum Ditelluride Thin Films. ACS Nano 2015, 9, 6548–6554.

(10) Diaz, H. C.; Ma, Y.; Chaghi, R.; Batzill, M. High Density of (Pseudo) Periodic Twin-Grain Boundaries in Molecular Beam Epitaxy-Grown van Der Waals Heterostructure: MoTe2/MoS2. Appl. Phys. Lett. 2016, 108, 191606.

(11) Naylor, C. H.; Parkin, W. M.; Ping, J.; Gao, Z.; Zhou, Y. R.; Kim, Y.; Streller, F.; Carpick, R. W.; Rappe, A. M.; Drndic, M.; Kikkawa, J. M.; Johnson, A. T. C. Monolayer Single-Crystal 1T’-MoTe2 Grown by Chemical Vapor Deposition Exhibits a Weak Antilocalization Effect. Nano Lett. 2016, 16, 4297–4304.

(12) Zhou, L.; Xu, K.; Zubair, A.; Liao, A. D.; Fang, W.; Ouyang, F.; Lee, Y.-H.; Ueno, K.; Saito, R.; Palacios, T.; Kong, J.; Dresselhaus, M. S. Large-Area Synthesis of High-Quality Uniform Few-Layer MoTe2. J. Am. Chem. Soc. 2015, 137, 11892–11895.

(13) Brainard, W. A. The Thermal Stability and Friction of the Disulfides, Diselenides, and Ditellurides of Molybdenum and in Vaccum (10-9 to 10-6 Torr), 1968.

(14) Gamble, F. R. Ionicity, Atomic Radii, and Structure in the Layered Dichalcogenides of Group IVb, Vb, and VIb Transition Metals. J. Solid State Chem. 1974, 9, 358–367.

107

(15) Bernède, J. C.; Amory, C.; Assmann, L.; Spiesser, M. X-Ray Photoelectron Spectroscopy Study of MoTe2 Single Crystals and Thin Films. Appl. Surf. Sci. 2003, 219, 238–248.

(16) Zhu, H.; Wang, Q.; Zhang, C.; Addou, R.; Cho, K.; Wallace, R. M.; Kim, M. J. New Mo6Te6 Sub-Nanometer-Diameter Nanowire Phase from 2H-MoTe2. Adv. Mater. 2017, 29, 1606264.

(17) Wallace, R. M. In-Situ Studies on 2D Materials. ECS Trans. 2014, 64, 109–116.

(18) Herrera-Gómez, A.; Hegedus, A.; Meissner, P. L. Chemical Depth Profile of Ultrathin Nitrided SiO2 Films. Appl. Phys. Lett. 2002, 81, 1014–1016.

(19) Lin, J.; Lin, Y. C.; Wang, X.; Xie, L.; Suenaga, K. Gentle Transfer Method for Water- and Acid/alkali-Sensitive 2D Materials for (S)TEM Study. APL Mater. 2016, 4, 116108.

(20) Ishizuka, K. DeConvHAADF: Software Cs-Corrector for STEM-HAADF Microscopy. 8th Asia-Pacific Conf. Electron Microsc. 2004, 64, 1991–1992.

(21) Suk, J. W.; Kitt, A.; Magnuson, C. W.; Hao, Y.; Ahmed, S.; An, J.; Swan, A. K.; Goldberg, B. B.; Ruoff, R. S. Transfer of CVD-Grown Monolayer Graphene onto Arbitrary Substrates. ACS Nano 2011, 5, 6916–6924.

(22) Cheng, L.; Jandhyala, S.; Mordi, G.; Lucero, A. T.; Huang, J.; Azcatl, A.; Addou, R.; Wallace, R. M.; Colombo, L.; Kim, J. Partially Fluorinated Graphene: Structural and Electrical Characterization. ACS Appl. Mater. Interfaces 2016, 8, 5002–5008.

(23) Lin, Z.; Carvalho, B. R.; Kahn, E.; Lv, R.; Rao, R.; Terrones, H.; Pimenta, M. A.; Terrones, M. Defect Engineering of Two-Dimensional Transition Metal Dichalcogenides. 2D Mater. 2016, 3, 22002.

(24) Mcdonnell, S.; Addou, R.; Buie, C.; Wallace, R. M.; Hinkle, C. L. Defect-Dominated Doping and Contact Resistance in MoS2. ACS Nano 2014, 8, 2880–2888.

(25) Lehtinen, O.; Komsa, H.; Pulkin, A.; Whitwick, M. B.; Chen, M.; Lehnert, T.; Mohn, M. J.; Yazyev, O. V; Kis, A.; Kaiser, U.; Krasheninnikov, A. V. Atomic Scale Microstructure and Properties of Se-Deficient. ACS Nano 2015, 9, 3274–3283.

(26) Addou, R.; McDonnell, S.; Barrera, D.; Guo, Z.; Azcatl, A.; Wang, J.; Zhu, H.; Hinkle, C. L.; Quevedo-Lopez, M.; Alshareef, H. N.; Colombo, L.; Hsu, J. W. P.; Wallace, R. M. Impurities and Electronic Property Variations of Natural MoS2 Crystal Surfaces. ACS Nano 2015, 9, 9124–9133.

(27) Addou, R.; Wallace, R. M. Surface Analysis of WSe2 Crystals: Spatial and Electronic Variability. ACS Appl. Mater. Interfaces 2016, 8, 26400–26406.

108

(28) Komsa, H. P.; Kotakoski, J.; Kurasch, S.; Lehtinen, O.; Kaiser, U.; Krasheninnikov, A. V. Two-Dimensional Transition Metal Dichalcogenides under Electron Irradiation: Defect Production and Doping. Phys. Rev. Lett. 2012, 109, 1–5.

(29) Tang, S.; Kasowski, R.; Parkinson, B. Scanning Tunneling Microscopy of the Subsurface Structures of Tungsten Ditelluride and Molybdenum Ditelluride. Phys. Rev. B 1989, 39, 9987– 9991.

(30) Diaz, H. C.; Chaghi, R.; Ma, Y.; Batzill, M. Molecular Beam Epitaxy of the van Der Waals Heterostructure MoTe2 on MoS2: Phase, Thermal, and Chemical Stability. 2D Mater. 2015, 2, 1–5.

(31) Caulfield, J. C.; Fisher, A. J. Electronic Structure and Scanning Tunnelling Microscope Images of Missing-Atom Defects on MoS2 and MoTe2 Surfaces. J. Phys. Condens. Matter 1997, 9, 3671–3686.

(32) Lezama, I. G.; Ubaldini, A.; Longobardi, M.; Giannini, E.; Renner, C.; Kuzmenko, A. B.; Morpurgo, A. F. Surface Transport and Band Gap Structure of Exfoliated 2H-MoTe2 Crystals. 2D Mater. 2014, 1, 21002.

(33) Matthes, T. W.; Sommerhalter, C.; Rettenberger, A.; Bruker, P.; Boneberg, J.; Lux-Steiner, M. C.; Leiderer, P. Imaging of Dopants in Surface and Sub-Surface Layers of the Transition Metal Dichalcogenides WS2 and WSe2 by Scanning Tunneling Microscopy. Appl. Phys. A. 1998, 66, 1007–1011.

(34) Zheng, J. F.; Liu, X.; Newman, N.; Weber, E. R.; Ogletree, D. F.; Salmeron, M. Scanning Tunneling Microscopy Studies of Si Donors (SiGa) in GaAs. Phys. Rev. Lett. 1994, 72, 1490– 1493.

(35) Johnson, M. B.; Albrektsen, O.; Feenstra, R. M.; Salemink, H. W. M. Direct Imaging of Dopants in GaAs with Cross-Sectional Scanning Tunneling Microscopy. Appl. Phys. Lett. 1993, 63, 2923–2925.

(36) Sinthiptharakoon, K.; Schofield, S. R.; Studer, P.; Brázdová, V.; Hirjibehedin, C. F.; Bowler, D. R.; Curson, N. J. Investigating Individual Arsenic Dopant Atoms in Silicon Using Low- Temperature Scanning Tunneling Microscopy. J. Phys. Condens. Matter 2014, 26, 12001.

(37) Sommerhalter, C.; Matthes, T. W.; Boneberg, J.; Lux-Steiner, M. C.; Leiderer, P. Investigation of Acceptors in P-Type WS2 by Standard and Photo-Assisted Scanning Tunneling Microscopy/spectroscopy. Appl. Surf. Sci. 1999, 144–145, 564–569.

(38) van der Wielen, M. C. M. M.; van Roij, A. J. A.; van Kempen, H. Direct Observation of Friedel Oscillations around Incorporated SiGa Dopants in GaAs by Low-Temperature Scanning Tunneling Microscopy. Phys. Rev. Lett. 1996, 76, 1075–1078.

109

(39) Whangbo, M.-H.; Ren, J.; Magonov, S. N.; Bengel, H.; Parkinson, B. A.; Suna, A. On the Correlation between the Scanning Tunneling Microscopy Image Imperfections and Point Defects of Layered Chalcogenides 2H-MX2 (M = Mo, W; X = S, Se). Surf. Sci. 1995, 326, 311–326.

(40) Parkinson, B. A.; Ohuchi, F. S.; Ueno, K.; Koma, A. Periodic Lattice Distortions as a Result of Lattice Mismatch in Epitaxial Films of Two-Dimensional Materials. Appl. Phys. Lett. 1991, 58, 472–474.

(41) Murata, H.; Koma, A. Modulated STM Images of Ultrathin MoSe2 Films Grown on MoS2(0001) Studied by STM/STS. Phys. Rev. B 1999, 59, 10327–10334.

(42) Liu, H.; Zheng, H.; Yang, F.; Jiao, L.; Chen, J.; Ho, W.; Gao, C.; Jia, J.; Xie, M. Line and Point Defects in MoSe2 Bilayer Studied by Scanning Tunneling Microscopy and Spectroscopy. ACS Nano 2015, 9, 6619–6625.

(43) Jiao, L.; Liu, H. J.; Chen, J. L.; Yi, Y.; Chen, W. G.; Cai, Y.; Wang, J. N.; Dai, X. Q.; Wang, N.; Ho, W. K.; Xie, M. H. Molecular-Beam Epitaxy of Monolayer MoSe2: Growth Characteristics and Domain Boundary Formation. New J. Phys. 2015, 17, 53023.

(44) Liu, H.; Jiao, L.; Yang, F.; Cai, Y.; Wu, X.; Ho, W.; Gao, C.; Jia, J.; Wang, N.; Fan, H.; Yao, W.; Xie, M. Dense Network of One-Dimensional Midgap Metallic Modes in Monolayer MoSe2 and Their Spatial Undulations. Phys. Rev. Lett. 2014, 113, 66105.

(45) Barja, S.; Wickenburg, S.; Liu, Z.; Zhang, Y.; Ryu, H.; Ugeda, M. M.; Hussain, Z.; Shen, Z.- X.; Mo, S.; Wong, E.; Salmeron, M. B.; Wang, F.; Crommie, M. F.; Ogletree, D. F.; Neaton, J. B.; Weber-Bargioni, A. Charge Density Wave Order in 1D Mirror Twin Boundaries of Single-Layer MoSe2. Nat. Phys. 2016, 12, 1–26.

(46) Kobayashi, K. Moiré Patterns in Scanning Tunneling Microscopy Images of Layered Materials. J. Vac. Sci. Technol. B 1996, 14, 1075–1078.

(47) Lin, J.; Pantelides, S. T.; Zhou, W. Vacancy-Induced Formation and Growth of Inversion Domains in Transition-Metal Fichalcogenide Monolayer. ACS Nano 2015, 9, 5189–5197.

(48) Zhou, W.; Zou, X.; Najmaei, S.; Liu, Z.; Shi, Y.; Kong, J.; Lou, J.; Ajayan, P. M.; Yakobson, B. I.; Idrobo, J. C. Intrinsic Structural Defects in Monolayer Molybdenum Disulfide. Nano Lett. 2013, 13, 2615–2622.

(49) Komsa, H. P.; Kurasch, S.; Lehtinen, O.; Kaiser, U.; Krasheninnikov, A. V. From Point to Extended Defects in Two-Dimensional MoS2: Evolution of Atomic Structure under Electron Irradiation. Phys. Rev. B 2013, 88, 1–8.

110

(50) Yamamoto, M.; Wang, S. T.; Ni, M.; Lin, Y. F.; Li, S. L.; Aikawa, S.; Jian, W. Bin; Ueno, K.; Wakabayashi, K.; Tsukagoshi, K. Strong Enhancement of Raman Scattering from a Bulk- Inactive Vibrational Mode in Few-Layer MoTe2. ACS Nano 2014, 8, 3895–3903.

(51) Mehta, R.; Chugh, S.; Chen, Z. Transfer-Free Multi-Layer Graphene as a Diffusion Barrier. Nanoscale 2017, 9, 1827–1833.

(52) Zhou, K.; Withers, F.; Cao, Y.; Hu, S.; Yu, G.; Casiraghi, C. Raman Modes of MoS2 Used as Fingerprint of van Der Waals Interactions. ACS Nano 2014, 8, 9914–9924.

(53) Gong, C.; Floresca, H. C.; Hinojos, D.; McDonnell, S.; Qin, X.; Hao, Y.; Jandhyala, S.; Mordi, G.; Kim, J.; Colombo, L.; Ruoff, R. S.; Kim, M. J.; Cho, K.; Wallace, R. M.; Chabal, Y. J. Rapid Selective Etching of PMMA Residues from Transferred Graphene by Carbon Dioxide. J. Phys. Chem. C 2013, 117, 23000–23008.

(54) Lin, Y. C.; Lu, C. C.; Yeh, C. H.; Jin, C.; Suenaga, K.; Chiu, P. W. Graphene Annealing: How Clean Can It Be? Nano Lett. 2012, 12, 414–419.

111

CHAPTER 6

NEW MO6TE6 SUB-NANOMETER-DIAMETER NANOWIRE PHASE FROM 2H-

MOTE2

6.1 Preface

In Chapter 5, a poor thermal stability of MoTe2 under vacuum annealing conditions are observed due to Te diffusion and desorption. Te deficiency drives the formation of intense inversion domain boundaries at 400 C, which adapted into wagon wheel morphologies. This is the first time such wagon wheel morphology on TMDs have been observed just by annealing and resolving its lattice structure at the atomic scale. More importantly, a novel phase transition from the initial 2H phase to a parallel bundle of subnanometer-diameter metallic Mo6Te6 nanowires (NWs) was also discovered around T= 400-500 C. The NWs form along the <11-20> 2H-MoTe2 crystallographic directions with lengths in the micrometer range. The metallic NWs can act as an efficient hole injection layer on top of 2H-MoTe2 due to the favorable band-alignment. In particular, an atomically sharp MoTe2/Mo6Te6 interface and van der Waals gap with the 2H layers are preserved.

The work highlights an alternative pathway for forming a new transition metal dichalcogenide

(TMD) phase and will enable future exploration of their intrinsic transportation properties. In this chapter, this 2H-to-NW phase transition mechanism, as well as the atomic structure and electronic properties of the NWs, are discussed in detail with a combination of STM/STS, XPS, DFT calculations, and in situ STEM characterization.

The contents of this section are adapted with permission from a paper entitled “New Mo6Te6 sub- nanometer-diameter nanowire phase from 2H-MoTe2”; Advanced Materials, 2017, 29, pp

1606264. Copyright (2017) WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim. The authors

112

are Hui Zhu, Qingxiao Wang (coauthor), Chenxi Zhang, Kyeongjae Cho, Robert M. Wallace,* and

Moon J. Kim*. Mr. Q. Wang performed the STEM experiments and contributed equally to this publication. C. Zhang has acknowledged for the DFT and formation energy calculations under the supervision of Prof. K. Cho.

6.2 Introduction

Recently, 2D nanomaterials, in particular, graphene and transition metal dichalcogenides (TMDs), have attracted tremendous research interest because of novel electronic, optical, and mechanical properties associated with their atomically thin nature, van der Waals (vdW) interactions, and wide

1–4 range of band gaps/band alignments. TMDs, with a general formula of MX2 (M = Mo and W,

X = S, Se, and Te) and polymorphs including semiconducting hexagonal (2H), metallic octahedral

(1T), and semi-metallic distorted octahedral (1T’) phases. As noted in literature, a semiconducting- metal phase transition among polymorphs provides an appealing phase engineering alternative strategy for atomically thin nanoelectronics and optoelectronic device applications, when compared to the traditional chemical doping of contact regions to lower the contact resistance.3–9

The ability to realize lateral/vertical metal-semiconductor heterojunctions with trap free interfaces is highly desirable to reduce interfacial scattering and, hence, to reduce contact resistance. Though the synthesis of heterojunctions is still not mature enough for contact purposes, methods of selective phase engineering, such as chemical (intercalation) doping to form a 1T phase in MoS2,

6,7,10,11 and charge/strain/defect engineering to form a 1T’ phase in MoTe2 are being developed.

Despite these efforts, the metastable 1T or 1T’ phases are an obstacle for their applications.12

1D, metallic M-X nanowires (NWs) with unique structures and intrinsic anisotropic metallic properties remain to be developed as an important complementary building block for

113

13,14 nanodevices. Previously, M-X NWs were mainly synthesized as quasi-1D ternary AxMo6X6 crystals, requiring the intercalation of ternary elements (A = ternary elements such as alkali metals, indium, iodine, etc. filling the interstitial voids between NWs, x = 1, 2, or 3) at either high temperatures (>1000 C)15,16 or long growth durations17,18. Due to van der Waals (vdW) interactions, NWs usually form a hexagonal close-packed bundle.15 The ternary atoms were claimed to help stabilization of the NW structure,17 but in turn can also affect the electronic properties of NWs, altering the NW metallic property to semiconducting.19,20 In comparison, the synthesis of pure M6X6 phase without ternary atoms has not been reported yet, with the exception

19,21 of pure Mo6S6. Recently, an isolated, nanometer-length M6X6 NW (X = S and Se) has been fabricated forming a “self-adopted” junction with MX2 monolayers using electron-beam irradiation methods.22–25 Such a top-down, subtractive fabrication method requires a precise beam control, relies on irradiation removal of MX2 regions, and may limit its practical application for nanodevices. Such a top-down, subtractive fabrication method requires a precise beam control, relies on irradiation removal of MX2 regions, and may limit its practical application for nanodevices.

We present an alternative strategy for long, stable metallic Mo6Te6-NW formation, not previously reported through annealing of 2H-MoTe2 crystals (~15-20 layers thick) under vacuum. The associated phase transition temperature (400-500 C) is amenable to device integration, and the length of the NWs can be in the micrometer range. Importantly, the NWs are thermally stable upon reannealing to 450 C after their initial formation, and stable for long storage times. We not only demonstrate that this type of phase transition exhibits an atomically sharp interface between the

2H-MoTe2 and metallic Mo6Te6-NW-bundles, but also show from band bending behavior that

114

such NWs may exhibit a hole injection effect due to band alignment with the 2H-MoTe2. Finally, in contrast to previous reports,15,16,18,26,27 this NW phase formation is observed within the bulk of layered MoTe2 and does not require the introduction of a ternary intercalant species.

6.3 Experimental Section

6.3.1 STEM Specimen Preparation and characterization

(1) Plan-view specimen preparation: A MoTe2 thin flake was exfoliated from bulk 2H-MoTe2 crystals (HQ Graphene supplies), which were also used in Scanning tunneling microscopy (STM)/

X-ray photoelectron spectroscopy (XPS) studies, with scotch tape28 and then transferred onto a

Quantifoil TEM grid by the dry transfer method. In the next step, the TEM grid with the MoTe2 flake was loaded in Nova 200 FIB (FEI Company), and the MoTe2 flake was transferred to a heating E-chips (Protochips) using our mask assisted method for in situ heating studies.

(2) Cross-Section specimen preparation of the plan-view specimen after heating: In order to study the cross-section morphology of the plan-view specimen after heating, we prepared two

STEM cross-section lamellas at two selected positions: one across the nanowire bundles and the other along the nanowire bundles growth direction, respectively. Prior to ion beam milling, the specimen surface is protected by e-beam assisted carbon deposition followed by SiO2 and Pt deposition on the region of interest. The routine TEM lamella preparation method and in situ lift out (ISLO) method29 have been applied to transfer the STEM specimen onto an Omniprobe TEM grid for TEM study.

(3) Cross-Section specimen preparation for in situ heating study: We used electron beam assisted SiO2 and Pt deposition on the bulk MoTe2 surface, then used the routine TEM specimen preparation method to prepare a lamella specimen down to ~100 nm in thickness. Following the

115

recipe of plan-view specimen preparation method using Omniprobe, the lamella was rotated to the horizontal orientation on the Omniprobe needle and then transferred to a heating E-chip by ISLO method for heating study. Final thinning was performed with 5 kV Ga ions to remove the damaged layer on the lamella surface during 30 kV Ga ion milling.

(4) STEM imaging and spectroscopy analysis: In situ STEM heating was performed on the

Protochips Aduro heating specimen holder which enables heating a specimen from room temperature (RT) to the target temperature within one second so that the thermal drift is minimized even for high-resolution STEM imaging. The accuracy of the applied temperature on Protochips heating grid is less than 5 °C. The base chamber pressure of the microscope is ~1.510-6 mbar.

STEM Imaging was performed using a JEM-ARM200F (JEOL USA Inc.) equipped with a spherical aberration (Cs) corrector (CEOS GmbH, Heidelberg, Germany). The microscope was operated at 200 kV and the probe convergence semi-angle was 23 mrad with a probe current of 18 pA. The corrector has been carefully tuned by the Zemlin-tableau method with Cs = 0.5 µm and the resolution is about 1 Å. The acquisition semi-angle for high angle annular dark field (HAADF) detector and annular bright field (ABF) detector was 90-370 mrad and 12-24 mrad, respectively.

Energy Dispersive X-ray Spectroscopy (EDS) was performed with an Oxford X-MaxN100TLE with 100 mm2 silicon drift detector. Prior study shows that the displacement threshold energy to

30 create a Te vacancy in MoTe2 is 5.9 eV, corresponding to the electron energy of 270 keV. In this work, we use 200 kV for the imaging, so electron beam induced irradiation effects are not responsible for the thermally activated Te desorption here.

6.3.2 Density functional theory (DFT) calculations

The structure optimizations of the Mo6Te6 NWs were performed within the framework of DFT

116

using Vienna ab initio package (VASP). Calculations based on the generalized gradient approximation (GGA) using the Perdew-Burke-Ernzerhof (PBE) function were carried out with the projector augmented wave (PAW) pseudopotential plane-wave method. The Monkhorst-Pack k-point sampling method in Brillouin zone is Γ-centered with a 10×10×8 mesh in the ionic optimization of 2H-MoTe2. The sampling mesh for the ionic relaxation of Mo6Te6 NW is 4410.

The cutoff energy is 450 eV, and the criteria of convergence for energy and force are set to be

1×10-4 eV and 0.02 eV/Å, respectively. The Grimme-D3 Van der Waals correction is applied to include the interaction between Mo6Te6 NWs.

6.3.3 STM and XPS characterizations

The following in situ annealing experiment is the same one described in Chapter 5. A bulk MoTe2 crystal was used for the surface STM and XPS characterization. The annealing and surface characterization was carried out in an Omicron Nanotechnology designed ultrahigh vacuum

(UHV) system, the second UHV system described in Chapter 2, with a base pressure of ~10-10 mbar. The UHV system is equipped with a load-lock chamber, an annealing chamber, an XPS analysis chamber, and a room temperature STM (Omicron variable temperature STM.31 A freshly exfoliated MoTe2 sample was quickly transferred into the UHV system for initial surface analysis by XPS and STM. Then the sample was annealed in UHV at 200 C, 300 C, 400 C, and 450 C for 2 h, 1 h, 0.5 h and 0.25 h, respectively, and followed by XPS and STM characterization after the sample was cooled down to room temperature. A longer annealing time at lower temperature is maintained to desorb possible (weakly bound) surface contaminants due to ex-situ sample preparation, whereas shorter annealing time is kept at higher temperature to prevent significant

117

tellurium desorption. During the annealing, the vacuum in the annealing chamber remains below

510-8 mbar. The error of the annealing temperature is less than 20 C.

The STM images were obtained in constant current feedback mode at room temperature, and with polycrystalline W tips that were electrochemically etched and pulse cleaned for the STM/STS data.

All bias voltages are applied to the sample with respect to ground. The STM data were analyzed with WSxM software. XPS spectra of Te 3d, Mo 3d, O 1s, C 1s, and Te 4d core levels and valence band regions were recorded with a monochromatic Al K X-ray source (h = 1486.7 eV) with a pass energy of 15 eV and a take-off angle of 45 from the sample surface normal. The analytical spot size is ~640 µm2. The XPS spectra were analyzed with AAnalyzer software,32 and the stoichiometry of the MoTe2 were determined using relative sensitivity factor of 5.705 and 3.321 for Te 3d5/2 and Mo 3d peaks, respectively. To check the uniformity of the surface chemistry, stoichiometry and morphology, XPS and STM characterization is performed on multiple, independent surface regions on the initial surface or after annealing.

6.4 Results and Discussion

6.4.1 Transition and growth of Mo6Te6 nanowires

In this work, the 2H MoTe2-to-Mo6Te6 NW transition process is monitored through three MoTe2

STEM specimens: plan-view [0001] and cross-sectional views [1-100] and [11-20].

Transformation of 1D NWs of Mo6Te6 from 2H-MoTe2 is illustrated in Figure 6.1A. Figure 6.1B presents the overall morphology in plan-view of the 2H-MoTe2 [0001] at 450 C, showing the resulting “streak line” morphologies due to the Te desorption and formation of Mo6Te6 NW bundles along the three-fold 2H-MoTe2 <11-20> crystallographic directions at 450 C. NW

118

bundles can be up to 1 micrometer in length. Energy dispersive X-ray spectroscopy (EDS, Figure

6.1C) demonstrates that the vacuum annealing process resulting in Mo6Te6 NW formation is associated with Te loss from the 2H-MoTe2 structure so that the Te/Mo ratio at the NW region decreases to 1.07, which is consistent with the stoichiometry of Mo6Te6.

Figure 6.1. The transition and growth of Mo6Te6 from 2H-MoTe2 by the STEM. (A) Schematic of the transition from 2H-MoTe2 to Mo6Te6 subnanometer-diameter NWs. (B) Large-scale plan-view image of Mo6Te6 NW bundles grown on 2H-MoTe2 (0001) surface at T = 450 C along the <11- 20> crystallographic directions (red arrows). The inset shows a zoomed-in image of the end of one NW bundles, which has a width of ~50 nm. (C) EDS analysis on top of Mo6Te6 NW bundles (red dot) and the nearby 2H-MoTe2 region (black dot in the inset panel of B), respectively, showing the corresponding Te/Mo ratios of 1.07 (NWs) and 1.87 (2H phase). The Si signals in the EDS spectrum come from the underlying SiC supporting film of the heating E-chip. (D) Time sequence images of 2H-MoTe2 (0001) show a fast growth of Mo6Te6 NWs along the 2H-MoTe2 <11-20> directions at 450 C. (E-F) Time sequence images viewed along the 2H-MoTe2 [11-20] direction (or Mo6Te6 [001]) at 450 C, showing new Mo6Te6 NWs formed from 2H-MoTe2.

119

This type of transformation is neither predicted in the Mo-Te phase diagram nor reported in

33–37 previous growth of MoTe2 polymorphs (2H and 1T’ phases). This is likely, as the 2H-to-1T’ phase transition of MoTe2 is favorable under thermodynamic equilibrium due to the small formation energy difference (0.03 eV) between its 2H and 1T’ structure.38 Indeed, the 2H-to-1T’ phase transition can be triggered through thermal,9,39 strain,10 charge,11 or laser irradiation7 approaches. The thermally driven 2H-to-1T’ transition temperature for MoTe2 under thermodynamic equilibrium is roughly in the range of 500-880 C, and likely depends upon the concentration of Te.12,39 However, the similar electronegativity values for Mo and Te impact the

Mo-Te bond strength/stability, making it easier for Mo-Te bond scission relative to other MX2 transition-metal dichalcogenides. This bond scission, and thus desorption of volatile Te, are further enhanced under vacuum annealing conditions as described in Chapter 5. Thus, the H-to-1T’ transition is inhibited and not observed during this experiment.

The NW transformation temperature usually occurs at 450 °C and modulated by the Te desorption rate so that the NW formation rate/temperature is faster/lower with facilitated Te desorption. The

STM thermal stability study of MoTe2 in Chapter 5 has shown that NWs only grow regionally at pit edges at 400 C and dominate all over the sample surface at 450 C. The STEM studies shown in this chapter demonstrate that the NW formation is relatively facile on the plan-view specimen where Te loss is clearly detected at 300 C in comparison to the cross-sectional [11-20] and [1-

100] specimens where Te loss is detected upon annealing at 450 C. As a result, Mo6Te6 NW- phase formation occurs near T = 450 C for the plan-view [0001] specimen (Figure 6.1B-D), and

T = 500 C for the cross-sectional view [1-100] and [11-20] specimens. Before the NW phase forms, the 2H-MoTe2 structure is maintained, and the STEM image contrast is only affected by

120

some surface decomposition and Te desorption. The extent of the Te desorption increases with the annealing time or temperature.

Figure 6.2. Dislocation core regions where two MoTe2 layers join to become one Mo6Te6 NW. Images (A) and (B) are viewed along the axial direction of Mo6Te6 NWs and 2H-MoTe2 [11-20], respectively. Clearly, 7 layers of NWs are aligned with 8 MoTe2 layers, and some layers of Mo6Te6 (blue arrows) are formed by two adjacent MoTe2 layers. It needs to note that the interstitial atoms in panel (A) are Cu impurities incorporated from the STEM grid.

The role of Te loss/desorption in the formation of Mo6Te6 NWs is further accentuated upon comparing the formation rate of NWs on these STEM specimens at the same temperature. Real- time growth of Mo6Te6 NWs (T = 450 C) are recorded in time sequential STEM images along the plan-view (Figure 6.1D) and cross-sectional [11-20] directions (Figure 6.1E-F), respectively, showing a much faster formation rate of Mo6Te6 NWs along their c axial direction (which is also in alignment with the 2H-MoTe2 <11-20> directions) than along their [100] direction. It is noted that the specimen described in Figures 6.1E-F has been used for the initial RT-to-500 C annealing experiment to study the thermal stability of the [11-20] specimen and then cooled down to RT for

121

STEM imaging. The Figures 6.1E-F are recorded at 450 C upon reannealing the specimen. The lowered NW formation temperature is also attributed to an enhanced Te loss through pre-heating.

As the layer thickness of the Mo6Te6 NWs (~7.6 Å) is larger than that of 2H-MoTe2 (7 Å), dislocation core-like regions where two MoTe2 layers join become one Mo6Te6 NW can be observed during the phase transition process (see Figure 6.2). In Figure 6.2, it is obvious that the

2H-to-NW transition may not be limited within the same 2H layer. Regardless of the NW orientations and lattice mismatch with 2H-MoTe2, an atomically sharp MoTe2/Mo6Te6 interface and vdW gap with the 2H-MoTe2 substrate are preserved (see Figure 6.1-Figure 6.3).

6.4.2 Atomic structure and morphology of Mo6Te6 nanowires

A 1D chain of M-X NWs, with a basic formula of M6X6 (Figure 6.3A), consists of an infinitely staggered stack of two equilateral M3Te3 units (red triangles in Figure 6.3A). Each M3X3 unit has

3 X atoms at its vertexes and 3 M atoms between each pair of X atoms. EDS analysis in Figure

6.3B confirms the inner Mo core and outer Te decoration within one single Mo6Te6 NW. The binary Mo6Te6 NWs formed here follows a monoclinic assembly as schematically shown in Figure

6.3A and confirmed from a c/2 shift along the axial direction between two NW neighbors (Figure

6.3C). This monoclinic assembly is distinct from the majority hexagonal ternary crystal compounds (AxMo6X6) without the c/2 shift, such as those described by, for example, Cheverel et al.40 and others,15,16,18,26,27 requiring intercalation of elements during crystal growth at higher temperatures or long durations.

122

Figure 6.3. Monoclinic Assembly of the Mo6Te6 NWs. (A) Atomic structure models of Mo6Te6 NWs viewed along different crystallographic directions.  is the relative rotation angle of the NW. (B) EDS line scan across one NW center, confirming the Mo and Te atomic positions in the NW structure. (C-D) High resolution cross-sectional STEM images of Mo6Te6 NWs along its (C) [100] and (D) [001] directions. In the STEM images, c is ~4.6 Å and  is ~111. All STEM images are taken in HAADF mode.

The orientation and separation of the stacked NWs are affected by intercalated atoms.17 The orientation can be defined by the rotation angle (, shown in Figure 6.3A) with respect to the neighboring NWs, and can change to ~0 when inserted with interstitial Mo or Te atoms (Table

6.1). Here, the binary Mo6Te6 NWs without interstitial atoms show a configuration with an NW separation of 8.90.1 Å and each NW is slightly rotated for  ~111 (Figure 6.3D). This is consistent with the density functional theory (DFT) study of the Mo6Te6 NWs after formation, where the 11 rotated configuration without interstitial atoms is the energetically most stable, and

123

the formation energy of Mo6Te6 NWs with interstitial Mo is higher than that with interstitial Te

(See Table 6.1). In addition, the NW separation observed in our monoclinic assembly is also smaller than that of the previous predicted hexagonal assembly from previous DFT calculations

(NW separation of 9.45 Å, c = 4.58 Å).41

Table 6.1 shows the formation energy of Mo6Te6 NWs with multiple configurations to elucidate the thermodynamic stability. The formation energy is normalized (eV/atom) for comparison purpose. For example, the formation energy for Mo6Te6_11° configuration is calculated

() by and the formation energy for Mo6Te6 with one Te intercalated atom is

calculated by . They can be expressed as 퐸 = , where x indicates the Te/Mo stoichiometric ratio for different phases of molybdenum (MoTex):

Mo6Te6 (x=1), and Mo6Te6 NW with Te intercalation (x=7/6), etc.

The chemical potential used in the formula is an independent parameter which is irrelevant with x. Its accurate value for this nonequilibrium phase transition is difficult to be calculated, so a rough but simple method is used instead. The total energy for Mo6Te6_11° configuration is selected as a reference value. For Te poor condition, the energy of bulk Mo is used to calculate 휇 and thus

() 휇 is calculated using . While for Te rich condition, the energy of bulk Te is

() used to calculate 휇 and thus 휇 is calculated using . The formation energy for each MoTex configuration under different chemical potential (i.e. Te poor and Te rich) in Table

6.1 has confirmed the experimental and theoretical consistence that the Mo6Te6_11° configuration is the most stable form.

124

Table 6.1. The formation energy (Ef) schematic illustrations of different configurations of molybdenum tellurides. The formation energy is normalized to eV/atom.

Ef(Te-poor) Ef(Te-rich) Configuration (eV/atom) (eV/atom)

Mo6Te6-11 0.000 0.000

Mo6Te6-0 0.016 0.016

Mo6Te6-0-Te-octahedral 0.034 0.022

Mo6Te6-0-Te-prismatic 0.041 0.028

Mo6Te6-0-Te - 0.078 0.055 octahedral + prismatic

Mo6Te6-0-Mo- 0.061 0.073 octahedral

The surface morphology, electronic, and chemical properties of Mo6Te6 NWs formed through the phase transition of the regions near the 2H-MoTe2 surface are further investigated through in situ

STM and XPS with a bulk 2H-MoTe2 crystal heated under UHV condition (see Method section).

Figure 6.4 shows the overall STM morphologies of the formed Mo6Te6 NWs. Consistent with the

STEM observations, intense 2H-MoTe2-to-Mo6Te6 NW phase transition on the bulk sample is detected at 450 C, resulting in a full coverage of Mo6Te6 NW bundles on the entire sample surface including at least the second sublayer (Figure 6.4A). The Mo6Te6 NWs can be discontinuous, bent and even misaligned, either in the forms of NW rods (Figure 6.4B) or NW arrays (Figure 6.4C-D).

125

Figure 6.4. STM morphologies of Mo6Te6 nanowires formed on a bulk MoTe2 crystal. (A-D) STM images of thick layers of Mo6Te6 rods or bundles. (E) Line profiles measured along the line drawn in (A) and (C), respectively. (F) High-resolution STM image of Mo6Te6 NWs showing the periodicity along the row of NWs is ~ 4.60.2 Å. The tunneling conditions for the STM images are (A) Vb = 0.25 V, and It =1 nA, (B-D) Vb = -0.5 V and It =1.5 nA, (E) Vb = -1 V and It = 0.1 nA.

The lengths of Mo6Te6 NWs observed in the STM images vary from several nanometers to above

50 nm, much shorter than the NWs in the STEM specimens which can be up to 1 micrometer. The main difference may come from different annealing time and surface defect concentrations. From the line profile analysis in Figure 6.4E, the relative height of single NW layer with respect to the bottom layer and the NW separation are measured to be 7.8 Å and 9.00.2 Å, respectively. In addition, the high-resolution STM image of NWs (Figure 6.4F) suggests that the lattice constant c along the row of a single NW is 4.60.2 Å. The error bar is related with the thermal drift. The lattice constants of NWs extracted from the STM measurements are consistent with that of the 11

126

rotated NW configuration determined from the STEM, and much larger than the lattice constants

42 of 1T’-MoTe2 structure (a= 6.33 Å and b= 3.47 Å).

6.4.3 Chemistry and electronic properties of Mo6Te6 nanowires

Figure 6.5. XPS results of the surface chemical information of MoTe2 during the annealing procedure. (A) XPS spectra of the Te 3d5/2 and Mo 3d core levels. (B) Derived Te/Mo ratios from the Te 3d5/2 and Mo 3d spectra and measured on multiple surface regions. (C) Valence band regions measured on multiple surface regions.

In situ XPS analysis is performed on multiple surface regions to carefully elucidate the surface chemical states and the stoichiometry evolution during the annealing procedure. The represented

Te 3d5/2 and Mo 3d core levels spectra are shown in Figure 6.5A, and the Te/Mo ratios derived from these two spectra and measured from various surface regions are shown in Figure 6.5B. The

2- 4+ freshly exfoliated surface shows the presence of Te and Mo peaks located at 573.2 (Te 3d5/2),

37 228.5 (Mo 3d5/2), and 231.65 eV (Mo 3d3/2), consistent with the reported 2H-MoTe2. The error bar for binding energy is 0.03 eV. Te oxides (i.e., TeO2 at ~576 eV) and Mo oxides (MoO3 at

~532 eV) are below the XPS detection limit.43 Spurious contaminants such as C-C, C-O, and O-C

127

chemical states (not shown) originated from the ex situ sample preparation process are the only detectable impurity species and can decrease in intensity with the annealing.

The Te/Mo ratio on the initial surface is around 2.120.02, indicating a homogeneous Te-rich environment. The subsequent thermal treatment reveals that the Te/Mo ratio (Figure 6.5B) is extremely temperature sensitive. The Te/Mo ratio increases to the maximum (2.350.04) after the

200 and 300 C annealing, then decreases to 2.040.02 after the 400 C annealing. The ratio quickly drops to a Te deficient value (1.540.05) after 450 C. The Te/Mo ratio behavior, therefore, is a possible manifestation of the Te diffusion besides the Te desorption during the annealing process. Indeed, the phase transition of MoTe2-to-Mo6Te6 at 450 C is not limited to the topmost layers.

XPS also corroborates a significant presence of the new Mo6Te6 NW components (dark red curves,

572.2 eV for Te 3d5/2 and 227.5 eV for Mo 3d5/2) after the 450 C annealing. An almost 0.4 eV downward shift of the substrate 2H-MoTe2 components (blue curves) is induced with the coverage of Mo6Te6 NWs and indicates an upward band-bending from interfacial charge transfer (possibly hole injection) upon the Mo6Te6 NWs formation. As a result, the substrate Te 3d5/2 and Mo 3d5/2 peaks are shifted to 572.82 and 228.06 eV, respectively, and the NW states are finally stabilized at 572.2 (Te 3d5/2) and 227.5 eV (Mo 3d5/2), respectively, after the 450 C anneal. Corresponding to the NW formation, a metallic feature, aligned with the Fermi level position (at 0 eV), appears in the valence band region (Figure 6.5C) confirming the metallic property of NWs.

128

Figure 6.6. Electronic property of Mo6Te6 NWs. (A) Normalized differential conductive dI/dV spectra measured on the initial 2H-MoTe2 surface (blue) and the formed Mo6Te6 NWs (dark red), respectively, showing the corresponding band gaps of ~1.02 eV and ~0 eV. DFT band diagrams of (B) single and (C) multiple Mo6Te6 NWs; Fermi level is set to zero as a reference.

Scanning tunneling spectroscopy (Figure 6.6A) further provides another direct evidence that

Mo6Te6 NW bundles are metallic, which is also consistent with the observation of the alignment of valence band maximum with the Fermi level position (at 0 eV, Figure 6.5C). DFT band structures of a single Mo6Te6 nanowire and bulk Mo6Te6 NWs in a monoclinic assembly are shown in Figure 6.6B and 6.6C respectively, confirming the intriguing semiconducting property of an isolated nanowire with a bandgap of ~0.3 eV and the metallic nature of Mo6Te6 NW bundles

44,45 determined by a partially occupied conduction band. However, we only detect Mo6Te6 NW bundles formed from the bulk MoTe2 crystal in this work which are ternary element free, and consistent with the observed 11 orientation.

6.5 Conclusions

In conclusion, we present the first observation of the thermally driven phase transition from 2D

2H-MoTe2 to 1D arrays of Mo6Te6 subnanometer-diameter NWs by vacuum annealing, in situ high-resolution STEM imaging and surface sensitive techniques (STM and XPS). The 2D-to-1D

129

transition temperature range is 400-500 C and primarily along the 2H-MoTe2 <11-20> directions.

Bundles of binary Mo6Te6 NWs without interstitials exist in the specimen, and their relative stabilities with respect to Mo6Te6 NWs with Te/Mo interstitials have been confirmed by DFT modeling. DFT modeling also shows that an isolated NW possesses a small gap (~0.3 eV) semiconducting property, while the NW bundles are predicted to be metallic. The metallic property of these bundles has been confirmed by STS and XPS measurements. The atomically sharp 2H-

MoTe2/Mo6Te6 NW interface highlights a potential strategy for contact engineering to improve the performance of MoTe2 devices. Moreover, this low temperature, thermally-driven transition can be readily integrated into the fabrication of MoTe2 based electronic devices as well.

6.6 References

(1) Bockrath, M.; Cobden, D. H.; McEuen, P. L.; Chopra, N. G.; Zettl, A.; Thess, A.; Smalley, R. E. Single-Electron Transport in Ropes of Carbon Nanotubes. Science 1997, 275, 1922–1925.

(2) Radisavljevic, B.; Radenovic, A.; Brivio, J.; Giacometti, V.; Kis, A. Single-Layer MoS2 Transistors. Nat. Nanotechnol. 2011, 6, 147–150.

(3) Chhowalla, M.; Shin, H. S.; Eda, G.; Li, L.-J.; Loh, K. P.; Zhang, H. The Chemistry of Two- Dimensional Layered Transition Metal Dichalcogenide Nanosheets. Nat. Chem. 2013, 5, 263– 275.

(4) Bhimanapati, G. R.; Lin, Z.; Meunier, V.; Jung, Y.; Cha, J. J.; Das, S.; Xiao, D.; Son, Y.; Strano, M. S.; Cooper, V. R.; Liang, L.; Louie, S. G.; Ringe, E.; Zhou, W.; Sumpter, B. G.; Terrones, H.; Xia, F.; Wang, Y.; Zhu, J.; Akinwande, D.; Alem, N.; Schuller, J. A.; Schaak, R. E.; Terrones, M.; Robinson, J. A. Recent Advances in Two-Dimensional Materials Beyond Graphene. ACS Nano 2015, 9, 11509–11539.

(5) Kiriya, D.; Tosun, M.; Zhao, P.; Kang, J. S.; Javey, A. Air-Stable Surface Charge Transfer Doping of MoS2 by Benzyl Viologen. J. Am. Chem. Soc. 2014, 136, 7853–7856.

(6) Kappera, R.; Voiry, D.; Yalcin, S. E.; Branch, B.; Gupta, G.; Mohite, A. D.; Chhowalla, M. Phase-Engineered Low-Resistance Contacts for Ultrathin MoS2 Transistors. Nat. Mater. 2014, 13, 1128–1134.

130

(7) Cho, S.; Kim, S.; Kim, J. H.; Zhao, J.; Seok, J.; Keum, D. H.; Baik, J.; Choe, D.; Chang, K. J.; Suenaga, K.; Kim, S. W.; Lee, Y. H.; Yang, H. Phase Patterning for Ohmic Homojunction Contact in MoTe2. Science 2015, 349, 625–628.

(8) Dawson, W.; Bullett, D. Electronic Structure and Crystallography of MoTe2 and WTe2. J. Phys. C Solid State 1987, 20, 6159–6174.

(9) Hughes, H. P.; Friend, R. H. Electrical Resistivity Anomaly in β-MoTe2. J. Phys. C Solid State Pysics 1978, 11, 103–105.

(10) Song, S.; Keum, D. H.; Cho, S.; Perello, D.; Kim, Y.; Lee, Y. H. Room Temperature Semiconductor-Metal Transition of MoTe2 Thin Films Engineered by Strain. Nano Lett. 2015, 16, 188–193.

(11) Zhang, C.; Kc, S.; Nie, Y.; Liang, C.; Vandenberghe, W. G.; Longo, R. C.; Zheng, Y.; Kong, F.; Hong, S.; Wallace, R. M.; Cho, K. Charge Mediated Reversible Metal-Insulator Transition in Monolayer MoTe2 and WxMo1-xTe2 Alloy. ACS Nano 2016, 10, 7370–7375.

(12) Keum, D. H.; Cho, S.; Kim, J. H.; Choe, D.-H.; Sung, H.-J.; Kan, M.; Kang, H.; Hwang, J.- Y.; Kim, S. W.; Yang, H.; Chang, K. J.; Lee, Y. H. Bandgap Opening in Few-Layered Monoclinic MoTe2. Nat. Phys. 2015, 11, 482–486.

(13) Brusetti, R.; Monceau, P.; Potel, M.; Gougeon, P.; Sergent, M. The Exotic Superconductor Tl2Mo6Se6 Investigated by Low Field Magnetization Measurements. Solid State Commun. 1988, 66, 181–187.

(14) Armici, J. C.; Decroux, M.; Fischer, Ø.; Potel, M.; Chevrel, R.; Sergent, M. A New Pseudo- One-Dimensional Superconductor: Tℓ2Mo6Se6. Solid State Commun. 1980, 33, 607–611.

(15) Potel, M.; Chevrel, R.; Sergent, M.; Armici, J. C.; Decroux, M.; Fischer, Ø. New Pseudo- One-Dimensional Metals: M2Mo6Se6 (M = Na, In, K, Tl), M2Mo6S6 (M = K, Rb, Cs), M2Mo6Te6 (M = In, Tl). J. Solid State Chem. 1980, 35, 286–290.

(16) Tarascon, J. M.; DiSalvo, F. J.; Waszczak, J. V. Physical Properties of Several M2Mo6X6 Compounds (M = Group IA Metal; X= Se, Te). Solid State Commun. 1984, 52, 227–231.

(17) Tarascon, J. M.; Hull, G. W.; DiSalvo, F. J. A Facile Synthesis of Pseudo One- Monodimensional Ternary Molybdenum Chalcogenides M2Mo6X6 (X = Se,Te; M = Li,Na..Cs). Mater. Res. Bull. 1984, 19, 915–924.

(18) Sheridan, J. G.; Heidelberg, A.; Brougham, D. F.; Nellist, P. D.; Langford, R. M.; Boland, J. J. Self-Assembly of LiMo3Se3 Nanowire Networks from Nanoscale Building-Blocks in Solution. Langmuir 2012, 28, 15344–15349.

131

(19) Kibsgaard, J.; Tuxen, A.; Levisen, M.; Lagsgaard, E.; Gemming, S.; Seifert, G.; Lauritsen, J. V.; Besenbacher, F. Atomic-Scale Structure of Mo6S6 Nanowires. Nano Lett. 2008, 8, 3928– 3931.

(20) Meden, A.; Kodre, A.; Gomilšek, J. P.; Arčon, I.; Vilfan, I.; Vrbanic, D.; Mrzel, A.; Mihailovic, D. Atomic and Electronic Structure of Mo6S9−xIx Nanowires. Nanotechnol. 2005, 16, 1578–1583.

(21) Le, D.; Sun, D.; Lu, W.; Aminpour, M.; Wang, C.; Ma, Q.; Rahman, T. S.; Bartels, L. Growth of Aligned Mo6S6 Nanowires on Cu(111). Surf. Sci. 2013, 611, 1–4.

(22) Liu, X.; Xu, T.; Wu, X.; Zhang, Z.; Yu, J.; Qiu, H.; Hong, J.-H.; Jin, C.-H.; Li, J.-X.; Wang, X.-R.; Sun, L.-T.; Guo, W. Top-down Fabrication of Sub-Nanometre Semiconducting Nanoribbons Derived from Molybdenum Disulfide Sheets. Nat. Commun. 2013, 4, 1776.

(23) Lin, J.; Cretu, O.; Zhou, W.; Suenaga, K.; Prasai, D.; Bolotin, K. I.; Cuong, N. T.; Otani, M.; Okada, S.; Lupini, A. R.; Idrobo, J.-C.; Caudel, D.; Burger, A.; Ghimire, N. J.; Yan, J.; Mandrus, D. G.; Pennycook, S. J.; Pantelides, S. T. Flexible Metallic Nanowires with Self- Adaptive Contacts to Semiconducting Transition-Metal Dichalcogenide Monolayers. Nat. Nanotechnol. 2014, 9, 436–442.

(24) Lin, J.; Zhang, Y.; Zhou, W.; Pantelides, S. T. Structural Flexibility and Alloying in Ultrathin Transition-Metal Chalcogenide Nanowires. ACS Nano 2016, 10, 2782–2790.

(25) Lehtinen, O.; Komsa, H.; Pulkin, A.; Whitwick, M. B.; Chen, M.; Lehnert, T.; Mohn, M. J.; Yazyev, O. V; Kis, A.; Kaiser, U.; Krasheninnikov, A. V. Atomic Scale Microstructure and Properties of Se-Deficient. ACS Nano 2015, 9, 3274–3283.

(26) Çakır, D.; Durgun, E.; Gülseren, O.; Ciraci, S. First Principles Study of Electronic and Mechanical Properties of Molybdenum Selenide Type Nanowires. Phys. Rev. B 2006, 74, 235433.

(27) Honle, W.; Von Schnering, H. G.; Lipka, A.; Yvon, K. New Compounds with Infinite Chains of Face-Condensed Octahedral Mo6 Clusters: InMo3Se3, InMo3Te3, TlMo3Se3 and TlMo3Te3. J. Less-Common Met. 1980, 71, 135–145.

(28) Castellanos-Gomez, A.; Buscema, M.; Molenaar, R.; Singh, V.; Janssen, L.; van der Zant, H. S. J.; Steele, G. A. Deterministic Transfer of Two-Dimensional Materials by All-Dry Viscoelastic Stamping. 2D Mater. 2014, 1, 11002.

(29) Mayer, J.; Giannuzzi, L. A.; Kamino, T.; Michael, J. TEM Sample Preparation and FIB- Induced Damage. MRS Bull. 2007, 32, 400–407.

132

(30) Komsa, H. P.; Kotakoski, J.; Kurasch, S.; Lehtinen, O.; Kaiser, U.; Krasheninnikov, A. V. Two-Dimensional Transition Metal Dichalcogenides under Electron Irradiation: Defect Production and Doping. Phys. Rev. Lett. 2012, 109, 1–5.

(31) Wallace, R. M. In-Situ Studies on 2D Materials. ECS Trans. 2014, 64, 109–116.

(32) Herrera-Gómez, A.; Hegedus, A.; Meissner, P. L. Chemical Depth Profile of Ultrathin Nitrided SiO2 Films. Appl. Phys. Lett. 2002, 81, 1014–1016.

(33) Diaz, H. C.; Chaghi, R.; Ma, Y.; Batzill, M. Molecular Beam Epitaxy of the van Der Waals Heterostructure MoTe2 on MoS2: Phase, Thermal, and Chemical Stability. 2D Mater. 2015, 2, 1–5.

(34) Roy, A.; Movva, H. C. P.; Satpati, B.; Kim, K.; Dey, R.; Rai, A.; Pramanik, T.; Guchhait, S.; Tutuc, E.; Banerjee, S. K. Structural and Electrical Properties of MoTe2 and MoSe2 Grown by Molecular Beam Epitaxy. ACS Appl. Mater. Interfaces 2016, 8, 7396–7402.

(35) Park, J. C.; Yun, S. J.; Kim, H.; Park, J. H.; Chae, S. H.; An, S. J.; Kim, J. G.; Kim, S. M.; Kim, K. K.; Lee, Y. H. Phase-Engineered Synthesis of Centimeter-Scale 1T’- and 2H- Molybdenum Ditelluride Thin Films. ACS Nano 2015, 9, 6548–6554.

(36) Naylor, C. H.; Parkin, W. M.; Ping, J.; Gao, Z.; Zhou, Y. R.; Kim, Y.; Streller, F.; Carpick, R. W.; Rappe, A. M.; Drndic, M.; Kikkawa, J. M.; Johnson, A. T. C. Monolayer Single-Crystal 1T’-MoTe2 Grown by Chemical Vapor Deposition Exhibits a Weak Antilocalization Effect. Nano Lett. 2016, 16, 4297–4304.

(37) Zhou, L.; Xu, K.; Zubair, A.; Liao, A. D.; Fang, W.; Ouyang, F.; Lee, Y.-H.; Ueno, K.; Saito, R.; Palacios, T.; Kong, J.; Dresselhaus, M. S. Large-Area Synthesis of High-Quality Uniform Few-Layer MoTe2. J. Am. Chem. Soc. 2015, 137, 11892–11895.

(38) K.C., S.; Zhang, C.; Hong, S.; Wallace, R. M.; Cho, K. Phase Stability of Transition Metal Dichalcogenide by Competing Ligand Field Stabilization and Charge Density Wave. 2D Mater. 2015, 2, 35019.

(39) Vellinga, M. B.; Jonge, R. De; Haas, C. Semiconductor to Metal Transition in MoTe2. J. Solid State Chem. 1970, 2, 299–302.

(40) Chevrel, R.; Sergent, M.; Seeber, B.; Fischer, Ø. New Ternary Mo (II)-Compounds InxMo15Se19 Containing Mo6Se8 and Mo9Se11 Units. Mater. Res. Bull. 1979, 14, 567–577.

(41) Murugan, P.; Kumar, V.; Kawazoe, Y.; Ota, N. Lithiated Assemblies of Metal Chalcogenide Nanowires. Appl. Phys. Lett. 2008, 92, 210–212.

(42) Hla, S. W.; Marinkovic, V.; Prodan, A.; Musevic, I. STM/AFM Investigations of β-MoTe2, -MoTe2 and WTe2. Surf. Sci. 1996, 352, 105–111.

133

(43) Amory, C.; Bernede, J. C.; Hamdadou, N. A Study of Textured Non-Stoichiometric MoTe2 Thin Films Used as Substrates for Textured Stoichiometric MoS2 Thin Films. Vacuum 2004, 72, 351–361.

(44) Gougeon, P.; Potel, M.; Padiou, J.; Sergent, M. AgMo6Te6: Nouveau Type Structural Unidimensional a Linear chains Mo . J. Solid State Chem. 1987, 68, 137–142. / (45) Hor, P. H.; Fan, W. C.; Chou, L. S.; Meng, R. L.; Chu, C. W. Study of the Metal- Semiconductor Transition in Rb2Mo6Se6, Rb2Mo6Te6 and Cs2Mo6Te6 under Pressures. Solid State Commun. 1985, 55, 231–235.

134

CHAPTER 7

SURFACE AND INTERFACIAL STUDY OF ATOMIC LAYER DEPOSITED AL2O3 ON

MOTE2 AND WTE2

7.1 Preface

In Chapter 1, we mentioned that atomic layer deposition (ALD) of high-k dielectrics could build an efficient barrier against moisture and O2 adsorption on black phosphorous. Similarly, such a barrier is also necessary for MoTe2 and WTe2 because MoTe2 has a poor structural stability as demonstrated in chapters 5-6, and WTe2 oxidizes quickly in ambient air. Actually, density functional theory (DFT) calculations in this chapter suggest that WTe2 and MoTe2, if both are ideally defect-free, are both resistive against O2 adsorption, thereby giving rise to different oxidation rates due to different defect concentrations. Chapter 5 revealed that transferred graphene could be an effective barrier preventing the Te loss and thus enhancing the structural stability of

MoTe2. However, the metallic graphene is not suitable for channel passivation in a metal oxide field effect transistor (MOSFET) structure and residue contamination induced by the graphene transferring process is another concern.

In this chapter, we continue to explore the possibility of using ALD-Al2O3 as the barrier on MoTe2 and WTe2 and mainly focus on the conformality of the Al2O3 dielectric as well as the interface quality between the Al2O3 and substrates. ALD methods using H2O (thermal ALD) and remote O2 plasma (PEALD) as the oxidant precursors are both studied for these two substrates. The thermal

ALD results in an islanding growth of Al2O3 on MoTe2 due to the dearth of dangling bonds, while a uniform coverage of Al2O3 on WTe2 likely contributed to the high concentration of intrinsic defects. The PEALD behavior is consistent between MoTe2 and WTe2 providing a linear growth

135

rate (~0.08 nm/cycle) on these two materials, which correlates with the creation of Te-O and Mo-

O nucleation sites by the O2 plasma functionalization. However, the reduction of Te-O bonds by the successive triethylaluminium precursor removes Te atoms and enhances the adsorption of oxygen atoms at defective sites. As a result, the topmost 1-2 layers are damaged, and a thin MoOx interfacial layer is formed for MoTe2. Attempts to enhance the Al2O3/MoTe2 interfacial quality by physically evaporating an Al2O3 seed layer are investigated as well. However, the evaporated

Al2O3 causes thermal damage on MoTe2, necessitating a more “gentle” ALD technique for the passivation of MoTe2.

The authors are Hui Zhu, Qingxiao Wang, Yifan Nie, Xiaoye Qin, Ruoyu Yue, Christopher L.

Hinkle, Kyeongjae Cho, Moon J. Kim, and Robert M. Wallace. In this work, my contribution was to design and perform the ALD experiments, analyze the XPS and AFM data, and write the manuscript. Q. Wang and Prof. M. Kim are acknowledged for the cross-sectional STEM analysis,

Y. Nie and Prof. K. Cho are acknowledged for the DFT calculations, Dr. X. Qin is appreciated for the seeding layer idea and the seeding layer depositions. R. Yue, under the supervision of Prof. C.

Hinkle, contributed to deposition of the Al2O3 seeding layer using the cleanroom Cryo e-beam evaporator.

7.2 Introduction

In recent decades, transition metal dichalcogenides (TMDs) have received considerable attention because of their atomic layer thickness and the associated remarkable mechanical, electronic, and optical properties. Among those TMDs, MoTe2 and WTe2 exhibit distinct phase stabilities and physical properties. For example, MoTe2 is most stable in the semiconducting hexagonal structure

(2H phase) and metastable in the metallic distorted-octahedral structure (1T’ phase) while WTe2

136

1 2 is only found in the 1T’ phase. MoTe2 is famous for its feasible phase transition possibility , and

3 4 WTe2 is attractive because of its unsaturated magnetoresistance, superconductivity, and quantum spin Hall effect5. Despite those benefits, the real application of these materials is limited by the poor thermal stability of MoTe2 and the air oxidation of WTe2. Surface passivation or diffusion barriers are necessary to prevent Te desorption in MoTe2 and oxygen adsorption on WTe2.

Accompanied by the scaling of conventional metal oxide semiconductor field effect transistors

(MOSFETs), atomic layer deposition (ALD) has emerged as a priority technique to prepare conformal and pinhole-free high-k dielectric thin films for gate insulator and diffusion barrier applications.6 ALD of high-k dielectrics is also extended to 2D materials because it can enhance the carrier mobilities through the dielectric screening on Coloumb scatterings7 and provide efficient moisture and oxygen permeation barriers.8 Unfortunately, efficient ALD is hindered on most 2D materials by the dearth of dangling bonds on their basal plane the resultant hydrophobic nature of the majority of 2D materials leads to a non-continuous, island growth behavior of a high- k dielectric film.

To overcome this problem, a chemically functionalized surface or a seed/buffer layer to activate the nucleation sites is indispensable for a uniform growth of high-k dielectric thin films. In

9 10 11 particular, NO2, ozone (O3), UV-O3, and O2 plasma pretreatments can be used as surface functionalization, and using O3 as the oxidant precursor can greatly improve the nucleation and

10,12 9,13 conformality of ALD-Al2O3 on MoS2 and Graphene . Meanwhile, the seeding layer typically consists of a thin metal oxide layer (metal + oxygen14,15 or directly metal oxides7) or organic

(residue) polymers16. However, many previous efforts mainly focus on the coverage of the

137

dielectric layer rather than the interfacial quality between the dielectrics and substrates. Interfacial defects and traps will also be detrimental to the device’s performance.

In this work, we focus on the surface coverage and interfacial quality of ALD-Al2O3 on MoTe2 and WTe2 substrates and explore the practicality of various ALD techniques. Particularly, the H2O based thermal ALD and the remote O2 plasma enhanced ALD (PEALD) are compared on those two substrates. Additionally, physical evaporation of an Al2O3 seeding layer is also investigated on MoTe2. The interfacial chemistry is monitored through half-cycle X-ray photoelectron spectroscopy (XPS) characterization, and the interface quality is studied through cross-sectional scanning transmission electron microscopy (STEM) analysis.

7.3 Experimental Section

Bulk MoTe2 and WTe2 crystals purchased from HQ Graphene (Netherlands) with a Te-rich stoichiometry are employed in this work. As WTe2 crystal oxidizes easily in ambient condition, fresh sample surfaces are prepared by mechanical exfoliation and then promptly, within 10 min, loaded into an ultra-high vacuum (UHV, base pressure ~10-10 mbar) system for ALD and corresponding XPS analysis. The UHV apparatus employed is the first UHV system described in

Chapter 2. A half-cycle XPS study is employed to investigate the nucleation and growth behavior of the thermal ALD-Al2O3 using trimethyl aluminum (TMA) and H2O as precursors as well as

PEALD-Al2O3 using TMA and a remote O2 plasma as precursors. The thermal or plasma enhanced

ALD experiments were both performed in a PEALD chamber (Picosun) which is interconnected to the UHV system through a buffer chamber. During the thermal ALD process, the TMA and

H2O precursors were introduced into the reactor chamber with a pulse time of 0.1 s, followed by a

6 s N2 purge. During the PEALD process, the introduction of the TMA precursor was the same as

138

the thermal ALD process, while each remote O2 plasma cycle was introduced with a 3 s pulse followed by 6 s N2 purge. The remote O2 plasma was generated by a Litmas RF-plasma source with an RF power of 2000 W and an O2 gas flow rate of 10010 sccm integrated onto the tool.

The fluctuation of the O2 gas flow is unavoidable due to the short pulse time. XPS measurements

st st were performed on initial surfaces and after the 1 pulse of H2O (or remote O2 plasma), the 1

nd nd pulse of TMA, the 2 pulse of H2O (or remote O2 plasma), the 2 pulse of TMA, and also after

5, 10 , 20, 50, and 100 full cycles of Al2O3.

To solve the interfacial quality degradation problem that arises during the PEALD-Al2O3 process, a combination of an e-beam deposited Al2O3 buffer/seeding layer and thermal ALD-Al2O3 processes were also investigated on MoTe2. Two types of physical deposition methods were compared for the Al2O3 buffer layer deposition: 1) e-beam evaporation of metallic Al under an O2 environment base pressure of 3.7×106 mbar in the in situ physical vapor deposition (PVD) chamber and 2) e-beam deposition of Al2O3 quartz crystals. The second method was performed in an ex situ

Cryo evaporator in NSERL cleanroom so that the MoTe2 sample needs to be moved out of the vacuum after the initial XPS scanning and then loaded into the UHV system again after the ex situ

Al2O3 deposition. All of the ALD depositions were performed at a substrate temperature of 200

°C. As the deposition rate is slow for the PVD chamber (~0.25 Å/min) and much faster in the Cryo e-beam evaporator (~0.1 Å/s), the deposition time for the first and second methods are set to be 20 min and 12 s, respectively to produce 0.5 nm and 1.3 nm seed layers.

DFT Calculations. The adsorption and dissociation energy of H2O and O2 on the TMDs are calculated by density functional theory (DFT) with Vienna ab-initio Simulation Packages

(VASP).17,18 The shell electron wavefunctions are expanded in a periodical plane with the projector

139

augmented wave (PAW) approach. The Perdew-Burke-Ernzerhof exchange-correlation function is used.19 The wavefunction cutoff energy is 400 eV, and the convergence criteria for the electronic calculation and ionic relaxation are 10-4 eV and 0.01 eV/Å, respectively. Integration over the

Brillouin zone is performed with a Γ-centered 4×4×1 k-point mesh. The supercell of 3×3 trigonal-prismatic monolayer MoTe2 and the supercell of 2×2 distorted-T (Td) WTe2 are used in the adsorption calculations. A vacuum layer of over 20 Å is added to each supercell to avoid unrealistic interlayer interactions. The energies of the bare TMD surface and the isolated molecules are used as the zero-energy reference, which means that the physisorption and chemisorption energies as calculated as:

퐸 = 퐸 − 퐸 − 퐸 (7.1) in which Ead is the adsorption energy, Esys is the energy of the adsorption system understudy, with the adsorbed molecule either dissociated (chemisorption) or intact (physisorption), ETMD is the energy of the bare TMD monolayer, and Emol is the energy of the adsorption molecule in isolation.

This common reference energy allows us to compare the energy differences before and after the chemical bond in an adsorption molecule breaks, where the energy difference can be expressed as:

∆퐸 = 퐸, − 퐸, (7.2)

Surface and Interface Characterizations. XPS is carried out using a monochromatic Al K source

(hυ = 1486.7 eV) and an Omicron EA125 hemispherical analyzer with a pass energy of 15 eV.

The sample take-off angle is 45º. XPS spectra are deconvolved using the AAnalyzer software. The surface morphology of the deposited Al2O3 is characterized by a Veeco atomic formic microscope

(AFM) in the non-contact mode. Scanning transmission electron microscopy (STEM) cross- sectional samples are prepared by SEM-FIB Noba 200 with a lift-out method. A JEM-ARM200F

140

transmission electron microscopy (JEOL USA Inc.) operated at 200 kV is employed for the cross- section imaging and electron energy loss spectroscopy (EELS) analysis.

7.4 Results and discussion

7.4.1 Thermal ALD and PEALD of Al2O3 on MoTe2 and WTe2

(b) MoTe (a) MoTe2 2 (c) WTe (d) WTe 2 2.00 nm nm 2 2 2.00 nm 20 20.00 nm nm 5 5.00 nm nm 2 nm TMA/O TMA/H2O TMA/O2 TMA/H2O 2

400 nm 400 nm 400 nm 400 nm 0.00 nm 0.00 nm 0 0.00 nm nm 0 nm 0 0.00 nm nm 0 nm 12 3 3 3 9 2 2 6 2 3 1 1 1 Z (nm) Z(nm) Z(nm) 0 Z (nm) 0 0 0 0.0 0.5 1.0 1.5 2.0 0.0 0.5 1.0 1.5 2.0 0.0 0.5 1.0 1.5 2.0 0.0 0.5 1.0 1.5 2.0 X (m) X (m) X (m) X (m)

Figure 7.1. AFM images of the 100 cycles ALD-Al2O3 layer deposited using (a) TMA/H2O and (b) TMA/O2 on MoTe2, and (c) TMA/H2O and (d) TMA/O2 on WTe2. Specifically, the Al2O3 deposited on the image (a) is the only one using a non-stop successive ALD process, while the others use a half-cycle ALD process which is to be discussed later in this work. The surface roughness is around 3.15 nm, 0.23 nm, 0.39 nm, 0.16 nm for images (a-d), respectively.

Figure 7.1 shows AFM topographic images of ALD-Al2O3 on MoTe2 and WTe2, with TMA/H2O based thermal ALD and TMA/O2 plasma-based PEALD method, respectively. As expected, an islanding growth behavior is accompanied with the thermal ALD-Al2O3 method on MoTe2 (Figure

7.1(a)) due to the dearth of dangling bonds, and consistent with the thermal ALD-Al2O3 behavior on most 2D materials. Due to the poor Al2O3 coverage, the XPS determined Al2O3 thickness, 2.7 nm, is reasonably lower than the estimated value of 10 nm from the step-height line profile AFM measurement because the XPS-thickness determination is based on the assumption of a full

141

coverage and uniform Al2O3 thickness. This low coverage issue on MoTe2 is greatly improved through the PEALD-Al2O3 method (Figure 7.1(b)), which exhibits a conformal coverage with a surface roughness of 0.23 nm. In comparison, an almost full coverage of the thermal ALD-Al2O3 is surprisingly achieved on WTe2 with a low surface roughness of 0.39 nm (Figure 7.1(c)), suggesting that a very different nucleation mechanism is responsible other than the simple physical adsorption of TMA/H2O precursors. Furthermore, the PEALD method results in a lower surface roughness (~0.16 nm, Figure 7.1(c)) due to a thicker Al2O3 layer, as deduced from the associated

XPS measurement.

MoTe2 (a) (b) 150 TMA/H O based TMA/O based 4 (c) Te 3d 2 Mo 3d Te 3d 2 Mo 3d 8 TMA/H O based 5/2 5/2 Mo-O 2 125 initial 50 cyc 7 TMA/O based 6 2 H O 1 20 cyc 3 2 10 cyc 6 100 TMA 1 H O 2 5 cyc 5 2 4

75 2 TMA 2 TMA 2 4 O 2 50 5 cyc 2 3 2 TMA 1 (nm) Thickness 10 cyc 3 1 2 25 20 cyc O 1 O

2 2

50 cyc Intensity (kcts/s) initial 1 0 0 Te-O Al 100 cyc 0 0 Intensity (Normalization) 580 576 572 236 232 228 580 575 570 236 232 228 0 20 40 60 80 100 Binding Energy (eV) Binding Energy (eV) Number of cycles WTe2 (d) (e) 150 TMA H O based 10 TMA/O based (f) Te 3d 2 W 4f Te 3d 2 W 4f 8 TMA/H O based 5/2 initial 5/2 50 cyc W 4p 2 125 8 7 TMA/O based H O 1 20 cyc 2 2 2 100 TMA 1 6 10 cyc 6 H O 2 5 cyc 5 2

75 TMA 2 TMA 2 4 O 2 4 5 cyc 2 1 50 3

TMA 1 (nm)Thickness

10 cyc 2 3 O2 1 25 20 cyc O 2 50 cyc initial 2

Intensity(kcts/s) 0 Al 1 0 100 cyc Te-O W-O 0 0 Intensity(Normalization) 580 575 570 38 36 34 32 30 580 576 572 568 3836343230 0 20 40 60 80 100 Binding Energy (eV) Number of cycles Binding Energy (eV)

Figure 7.2. XPS analysis of thermal ALD and PEALD of Al2O3 on MoTe2 and WTe2, respectively.

To explore the essential nucleation difference between the thermal ALD-Al2O3 on MoTe2 and

WTe2 and the reasons why the PEALD can enhance the Al2O3 nucleation, the surface and

142

interfacial chemistry of the thermal ALD-Al2O3 and the PEALD-Al2O3 on MoTe2 and WTe2 are investigated through “half-cycle” XPS studies. Figure 7.2 compares the development of the substrate Mo 3d, Te 3d, and W 4f core levels during the thermal ALD and PEALD processes, respectively, and summarizes the growth rate of the deposited Al2O3 during the half-cycle ALD processes as well. The Al2O3 thickness is calculated based on the attenuation of the substrate Te

3d peak intensity or the Te 4d peak intensity (only when the Te 3d signal is below the XPS detection limit, which is after the final 100 PEALD cycles). The Te 4d electrons would be attenuated less than the Te 3d electrons due to a longer free mean path related with their higher kinetic energy. The Al2O3 thickness calculated from the attenuation of Te 4d signal is consistent with the STEM cross-sectional analysis.

In Figure 7.2(a,d), the thermal ALD-Al2O3 on MoTe2 and WTe2 seems very similar in that no apparent oxidation and peak broadening are observed in the normalized Mo 3d, Te 3d, and W 4f core levels. However, the deposition rates of Al2O3 in Figure 7.2(c,f) indicate that WTe2 has a faster nucleation and growth rate of the Al2O3 relative to that detected on MoTe2, so that the deposited Al2O3 thicknesses after the 100 thermal-ALD cycles are around 0.3 nm and 3.3 nm on

MoTe2 and WTe2, respectively. The value of the Al2O3 thickness on MoTe2 is also underestimated from the islanding growth behavior confirmed in Figure 7.1(a) and is approximately around 1.5 nm determined from the AFM step-height measurement (not shown).

In general, surface contamination, oxides, and dangling bonds created from step-edges or intrinsic vacancy defects can serve as nucleation centers and enhance the nucleation rate of thermal ALD process.8,20 Here, the surface contamination and oxides are not considered. Firstly, the surface C

1s peak is presented as C-C/H bonds located at 284-284.5 eV on those sample surfaces, and their

143

atomic concentrations are 3.0% and 1.6%, respectively, on the employed MoTe2 and WTe2 samples. Secondly, the Te-O and W/Mo-O bonds are both below the XPS detection limits. In comparison, the surface Te vacancy-enhanced ALD nucleation could be the more reasonable explanation. During our previous STM studies on MoTe2 and WTe2, we found that Te vacancies are scarce in MoTe2 crystals while they can be abundant in WTe2 even though both materials are a certain degree of Te-rich. Moreover, the higher vacancy concentration for WTe2 leads to a much faster oxidation degradation than that for MoTe2 under ambient air or the same O2 plasma conditions (discussed below).

Table 7.1. Adsorption energy (Ead) of H2O and O2 molecules on the surface of monolayer MoTe2 and WTe2. The energy differences between the chemcial and physical adsorptions (ΔE) after the bond breaking of adsorption molecules are also calculated.

(eV) H2O (phy.) H, OH (chem.) O2 (phy.) 2O (chem.)

Ead on MoTe2 -0.16 2.87 -0.12 0.02

ΔE on MoTe2 3.02 0.14

Ead on WTe2 -0.20 2.21 0.23 -0.05

ΔE on WTe2 2.42 -0.27

DFT calculations (Table 7.1) are also performed to examine the oxygen and water adsorption on pristine MoTe2 and WTe2 lattices. The calculation shows that, in the absence of vacancies, both materials have similar water adsorption resistance, and the breaking of the O-H bond is thermodynamically unfavorable. The oxidation of both MoTe2 and WTe2 via O2 adsorption and dissociation, on the other hand, is much easier compared to H2O. In the case of WTe2, though it is slightly more difficult for O2 molecules to adsorb than H2O, much less energy expense is required to break the O-O bond than the O-H bond and the breaking of O-O bond is even

144

thermodynamically favorable. The DFT results also indicate that the defect-free MoTe2 and WTe2 have low oxidation rates. Therefore, the explanation of defect-enhanced nucleation and oxidation is reasonable for WTe2.

The surface morphology examination in Figure 7.1 shows that PEALD results in a smoother surface and better coverage of the Al2O3 on both WTe2 and MoTe2 surfaces compared to the thermal-ALD method. This is consistent with the creation of Te-O and Mo/W-O nucleation sites during the remote O2 plasma treatment (see Figure 7.2(b,e)). Consider the MoTe2 sample in Figure

7.2(b) for example, around 3.0 % of Te atoms are oxidized after the first O2 plasma pulse, forming

Te-O bonding (likely to be TeO2) located at ~576.2 eV. Then these Te-O bonds are almost completely reduced (“cleaned-up”) by the successive TMA pulse exposures through ligand exchange reactions and are below the XPS detection limits after the successive ALD cycles.

Meanwhile, the Mo-O bonds, ~3.4 % of Mo atoms, are also generated after the first remote O2 plasma purge. However, the “clean up” of Mo-O bonds by TMA precursors is not as obvious as that detected for the Te-O bonds since the intensity of Mo-O bonds only slightly decreases after the first TMA pulse and increases again after the second O2 plasma exposure. As a result, an interfacial MoOx layer is slowly built up during the PEALD process. The phenomenon is related to the high dissociation energy of Mo-O bonds (597.2±33.5 kJ mol−1).21

Similar surface behavior is also confirmed on WTe2. As shown in Figure 7.2(e), Te-O bonds that are mainly generated during the ex situ preparation process (short time air exposure) are also cleaned up by the first TMA exposure, which decreases the relative intensity of the W-O bonds as well. The first pulse of O2 plasma does not modify the relative concentration of Te-O bonds (~3.9% of the Te atoms) but slightly increases the relative concentration of W-O bonds from the initial

145

4.5% to 5.9%. The binding energy of the initial W-O bonding peak (35.2 eV, WOx) is slightly shifted upwards by 0.15 eV after the first O2 plasma treatment, and then gradually stabilized at

36.1 eV (associated with WO3) during the successive ALD cycles. The main difference between the PEALD on WTe2 and MoTe2 is that, after the first full cycle of Al2O3 deposition, the surface oxidation rate for WTe2 begins slowing down. Only less than 8% of W atoms get oxidized after

20 ALD cycles which is much lower than the observed Mo oxide concentration in MoTe2 where

36% of Mo atoms are oxidized under the same ALD conditions. We speculate that the higher concentrations of Te-O and W-O oxides on the initial WTe2 sample surface lead to a better Al2O3 coverage/passivation effect than that on MoTe2. Contributed by the surface oxidation and self- cleaning effect, an almost linear growth behavior with a deposition rate of ~0.08 nm/cycle has been detected on both WTe2 and MoTe2 samples (see red curves in Figure 7.2(c,f)).

It is noted that using remote O2 plasma as the oxidant precursor requires great care on the particular chemically reactive WTe2 surface compared to the more chemically stable substrate like MoS2.

Based on our previous remote O2 plasma study of MoS2, the remote O2 plasma exposure can selectively form a layer of weak O-S-Mo-S bonds through physisorption of oxygen atoms on top of sulfur atoms without damaging the underlying MoS2 lattice structure or forming the MoOx. If the 3 s O2 plasma pulse is extended to 6 s, ~0.7 nm thick WOx is quickly formed on the WTe2 surface after the first plasma exposure, while the surface oxidation of MoTe2 is still similar to that of the 3 s plasma treatment. To reduce the oxidation induced interfacial degradation, each pulse of the remote O2 plasma shown in Figure 7.2 is set to be 3 s: long enough only to get the plasma ignited. Otherwise, the initial O2 flow rate would not be stable enough to ignite the plasma.

Additionally, the plasma pulse time does not significantly influence the Al2O3 growth rate.

146

Figure 7.3. Cross-sectional STEM-ABF images obtained after the 100 cycles of PEALD-Al2O3 on MoTe2.

Cross-sectional STEM imaging (Figure 7.3) is performed on the MoTe2 sample after 100 cycles of PEALD-Al2O3 deposition. 8.4 nm of Al2O3 is confirmed in Figure 7.3, which is consistent with the XPS calculation. However, the annular bright field (ABF) STEM images in Figure 7.3 demonstrate that the cleaning effect is detrimental to the substrate surface. At least 1-2 topmost layers are damaged, and some surface Te atoms have been scavenged away. This might account for the accumulation of MoOx as the MoTe2 surface becomes more and more chemically reactive to adsorb oxygen.

7.4.2 Buffer layer engineering for thermal ALD coverage of Al2O3 on MoTe2

The above section has compared the advantages and drawbacks of PEALD-Al2O3 and thermal

ALD-Al2O3 on MoTe2 and WTe2 and demonstrated that the thermal ALD-Al2O3 could work well on WTe2. Neither the Al2O3 coverage nor the interfacial quality of MoTe2 is satisfied through the thermal ALD and PEALD, respectively. To address the issue, a thin Al2O3 seed/buffer layer is considered for the thermal ALD process. For the deposition of the Al2O3 seed layer, electron-gun evaporation of Al2O3 crystal is chosen instead of evaporating Al metal under an oxygen environment due to its risk of forming interfacial Mo-Al alloy when the evaporated Al metal is not

147

fully oxidized before it reaches the substrate surface (Fig. 7.4(a)). In comparison, no apparent surface degradation is observed through the direct evaporation of Al2O3 (Figure 7.4(b)). However, the evaporated aluminum oxides are sub-stoichiometric so that the binding energy of its Al 2p peak

(74.9 eV) is lower than the stoichiometric Al2O3 peak (75.6 eV) in Figure 7.4(a). Also, due to different deposition rates, the thickness of the Al2O3 seed layer is around 0.5 nm after 20 min deposition in Figure 7.4(a) and 1.3 nm after ~12 s deposition in Figure 7.4(b).

Figure 7.4. Interface chemistry before and after an Al2O3 buffer layer deposition on MoTe2 through (a) e-beam evaporation of Al metal under O2 environment and (b) evaporation of Al2O3 quartz crystal, respectively. The experiment (a) is finished in situ in the PVD chamber, while the experiment (b) is performed in a Cyro evaporator in the cleanroom.

Followed by the Cryo evaporator deposition of 1.3 nm Al2O3, thermal ALD-Al2O3 up to 100 cycles was deposited on this MoTe2 sample. Figure 7.5 shows the XPS spectra of the Te 3d, Mo 3d, and

Al 2p core levels and the corresponding Al2O3 thickness during the ALD process. It is found that no interfacial Te-O and Mo-O oxides are detected during the thermal-ALD process. A gradually upward shifted Al 2p peak suggests a fully oxidized Al2O3 after the H2O precursor exposure.

148

Contributed to the existing buffer layer, the deposition rate of the thermal ALD-Al2O3 is greatly enhanced (~0.4 Å/cycle). After the 100 ALD cycles, a total thickness of 5.5 nm Al2O3 is obtained.

Figure 7.5. XPS spectrum of Te 3d, Mo 3d, and Al 2p core levels and the deposited Al2O3 thickness on the Al2O3/MoTe2 surface upon the combination of e-beam evaporation of Al2O3 and thermal ALD-Al2O3.

To understand the surface morphology and the interfacial quality, AFM and cross-sectional STEM analysis are performed and presented in Figure 7.6. As shown, a smooth conformal Al2O3 coverage is achieved with a surface roughness of 0.21 nm (Figure 7.6(a)), whereas the topmost 1-2 layers are damaged in a way similar to those observed during the above PEALD process except that no interfacial oxides are detected in this case (Figure 7.6(b)). EELS analysis across the MoTe2-Al2O3 interface (Figure 7.6(c)) reveals that the damaged layers are mixtures of Al2Ox and MoTex, and there is no detectable Te in the Al2O3 region that far from the MoTe2/Al2O3 interface. The destruction of this interface is likely due to the thermal damage from the Al2O3 seed layer (the

149

evaporation temperature of which is above 1000 ºC) other than the substrate temperature of the thermal ALD process, which is consistently kept at 200 ºC. Otherwise, the damaged MoTe2 would oxidize extremely fast during the PEALD process or have a comparable Al2O3 coverage with WTe2 through the thermal ALD method in Figure 7.1. Nevertheless, any temperature effect on MoTe2 cannot be underestimated because of the poor thermal stability demonstrated in Chapters 5 and 6.

The surface dissociation can also take place if MoTe2 is annealed at 200 ºC for several hours. Here, the time at which the sample stays in the ALD chamber is relatively short and an efficient encapsulation prevents further Te loss. The critical issue is that the top-down thermal damage necessitates low-temperature processing techniques for MoTe2. Possibly, the metal alloy formed in Figure 7.4(a) is also related to the thermal damage of the evaporated Al.

Figure 7.6. (a) AFM topographic morphology and (b) Cross-section ABF-STEM image of the buffered thermal ALD-Al2O3 on MoTe2. (c) EELS spectra measured across the blue line in panel (b). Beam damage is detected on the Al2O3 region after the EELS analysis, and the blue dash line is the same position, the central position, marked in panel (b).

150

7.5 Conclusion

In this work, to achieve a conformal growth of Al2O3 layers on MoTe2 and WTe2, we first compared the traditional thermal ALD using TMA and H2O as precursors to the PEALD using remote O2 plasma and TMA as precursors. The thermal ALD results in an islanding growth behavior of Al2O3 on MoTe2 due to the dearth of dangling bonds, while a much more conformal

Al2O3 coverage on WTe2. The difference is not a result of different water adsorption energies but possibly due to a high Te vacancy concentration on WTe2. Nevertheless, since the thermal-ALD is already effective for WTe2, no particular surface treatment is required. In comparison, the

PEALD-Al2O3 behaviors on MoTe2 and WTe2 are very similar: a linear growth rate of Al2O3

(~0.08 nm/cycle) as well as low surface roughnesses are obtained through the surface oxidation and “clean-up” effects. However, the “clean-up” of Te-O bonds by the successive TMA precursor exposure scavenges the related Te atoms and leads to the accumulation of interfacial molybdenum oxides. With the purpose of improving the interfacial quality, an e-beam evaporated Al2O3 seed layer is proposed and tested for the thermal ALD of Al2O3 on MoTe2. Though a conformal and smooth Al2O3 layer is achieved with this method, thermal damage to the topmost two layers of

MoTe2 from the e-beam evaporated Al2O3 unexpectedly happens. This work suggests that the application of MoTe2 demands rigorous low-temperature processing techniques.

7.6 References

(1) K.C., S.; Zhang, C.; Hong, S.; Wallace, R. M.; Cho, K. Phase Stability of Transition Metal Dichalcogenide by Competing Ligand Field Stabilization and Charge Density Wave. 2D Mater. 2015, 2, 35019.

(2) Zhu, H.; Wang, Q.; Zhang, C.; Addou, R.; Cho, K.; Wallace, R. M.; Kim, M. J. New Mo6Te6 Sub-Nanometer-Diameter Nanowire Phase from 2H-MoTe2. Adv. Mater. 2017, 29, 1606264.

151

(3) Zhu, Z.; Lin, X.; Liu, J.; Fauqué, B.; Tao, Q.; Yang, C.; Shi, Y.; Behnia, K. Quantum Oscillations, Thermoelectric Coefficients, and the Fermi Surface of Semimetallic WTe2. Phys. Rev. Lett. 2015, 114, 1–5.

(4) Pan, X.-C.; Chen, X.; Liu, H.; Feng, Y.; Song, F.; Wan, X.; Zhou, Y.; Chi, Z.; Yang, Z.; Wang, B.; Zhang, Y.; Guanghou Wang. Pressure-Driven Dome-Shaped Superconductivity and Electronic Structural Evolution in Tungsten Ditelluride. Nat. Commun. 2015, 6, 7805.

(5) Qian, X.; Liu, J.; Fu, L. Quantum Spin Hall Effect in Two-Dimensional Transition Metal Dichalcogenides. Science. 2014, 346, 6215.

(6) Steven M. George. Atomic Layer Deposition: An Overview. Chem. Rev. 2010, 110, 111–131.

(7) Hollander, M. J.; Labella, M.; Hughes, Z. R.; Zhu, M.; Trumbull, K. A.; Cavalero, R.; Snyder, D. W.; Wang, X.; Hwang, E.; Datta, S.; Robinson, J. A. Enhanced Transport and Transistor Performance with Oxide Seeded High-K Gate Dielectrics on Wafer-Scale Epitaxial Graphene. Nano Lett. 2011, 11, 3601–3607.

(8) Zhu, H.; McDonnell, S.; Qin, X.; Azcatl, A.; Cheng, L.; Addou, R.; Kim, J.; Ye, P. D.; Wallace, R. M. Al2O3 on Black Phosphorus by Atomic Layer Deposition: An in Situ Interface Study. ACS Appl. Mater. Interfaces 2015, 7, 13038–13043.

(9) Lee, B.; Park, S. Y.; Kim, H. C.; Cho, K.; Vogel, E. M.; Kim, M. J.; Wallace, R. M.; Kim, J. Conformal Al2O3 Dielectric Layer Deposited by Atomic Layer Deposition for Graphene- Based Nanoelectronics. Appl. Phys. Lett. 2008, 92 1–4.

(10) Azcatl, A.; McDonnell, S.; K. C., S.; Peng, X.; Dong, H.; Qin, X.; Addou, R.; Mordi, G. I.; Lu, N.; Kim, J.; Kim, M. J.; Cho, K.; Wallace, R. M. MoS2 Functionalization for Ultra-Thin Atomic Layer Deposited Dielectrics. Appl. Phys. Lett. 2014, 104, 111601.

(11) Yang, J.; Kim, S.; Choi, W.; Park, S. H.; Jung, Y.; Cho, M.-H.; Kim, H. Improved Growth Behavior of Atomic-Layer-Deposited High-K Dielectrics on Multilayer MoS2 by Oxygen Plasma Pretreatment. ACS Appl. Mater. Interfaces 2013, 5, 4739–4744.

(12) Cheng, L.; Qin, X.; Lucero, A. T.; Azcatl, A.; Huang, J.; Wallace, R. M.; Cho, K.; Kim, J. Atomic Layer Deposition of a High-K Dielectric on MoS2 Using Trimethylaluminum and Ozone. ACS Appl. Mater. Interfaces 2014, 6, 11834–11838.

(13) McDonnell, S.; Pirkle, A.; Kim, J.; Colombo, L.; Wallace, R. M. Trimethyl-Aluminum and Ozone Interactions with Graphite in Atomic Layer Deposition of Al2O3. J. Appl. Phys. 2012, 112, 104110.

(14) Liu, H.; Neal, A. T.; Si, M.; Du, Y.; Ye, P. D. The Effect of Dielectric Capping on Few-Layer Phosphorene Transistors : Tuning the Schottky Barrier Heights. IEEE Electron device Lett. 2014, 35, 795–797.

152

(15) Kim, S.; Nah, J.; Jo, I.; Shahrjerdi, D.; Colombo, L.; Yao, Z.; Tutuc, E.; Banerjee, S. K. Realization of a High Mobility Dual-Gated Graphene Field-Effect Transistor with Al2O3 Dielectric. Appl. Phys. Lett. 2009, 94, 92–95.

(16) Cheng, L.; Lee, J.; Zhu, H.; Ravichandran, A. V.; Wang, Q.; Lucero, A. T.; Kim, M. J.; Wallace, R. M.; Colombo, L.; Kim, J. Sub-10 Nanometer Tunable Hybrid Dielectric Engineering on MoS2 for Two-Dimensional Material-Based Devices. ACS Nano 2017, DOI: 10.1021/acsnano.7b04813.

(17) Kresse, G.; Joubert, D. From Ultrasoft Pseudopotentials to the Projector Augmented-Wave Method. Phys. Rev. B 1999, 59, 1758–1775.

(18) Kresse, G.; Furthmüller, J. Efficient Iterative Schemes for Ab Initio Total-Energy Calculations Using a Plane-Wave Basis Set. Phys. Rev. B 1996, 54, 11169–11186.

(19) Perdew, J. P.; Burke, K.; Ernzerhof, M. Generalized Gradient Approximation Made Simple. Phys. Rev. Lett. 1996, 77, 3865–3868.

(20) McDonnell, S.; Brennan, B.; Azcatl, A.; Lu, N.; Dong, H.; Buie, C.; Kim, J.; Hinkle, C. L.; Kim, M. J.; Wallace, R. M. HfO2 on MoS2 by Atomic Layer Deposition: Adsorption Mechanisms and Thickness Scalability. ACS Nano 2013, 7, 10354–10361.

(21) Azcatl, A.; Kc, S.; Peng, X.; Lu, N.; Mcdonnell, S.; Qin, X.; Dios, F. De. HfO2 on UV-O3 Exposed Transition Metal Dichalcogenides : Interfacial Reactions Study. 2D Mater. 2015, 2, 14004.

153

CHAPTER 8

CONCLUSIONS AND FUTURE WORK

In summary, this dissertation focuses on the employment of surface characterization techniques and thin film deposition methods to explore the basic properties of two-dimensional materials, including the surface/interface chemistry and surface engineering of 2D materials.

In chapter 3, we investigated the nucleation behavior of the atomic layer deposited Al2O3 on black-

P, as well as the Al2O3/black-P interfacial qualities. The hygroscopic and readily oxidizable nature of black-P necessitates an efficient ALD high-k dielectric layer which can prevent oxygen and water adsorption. The results suggest that the hygroscopic property of black-P facilitates uniform nucleation of ALD-Al2O3 on black-P, however, the interfacial quality of Al2O3/black-P is dependent on the cleanliness and the degree of oxidation of the initial sample surfaces. An oxide- free black-P sample is necessary to maintain the integrity and sharpness of the Al2O3/black-P interface.

In chapter 4, we discussed the oxidation characteristics of MoS2 under a remote O2 plasma environment and found that MoS2 is chemically and structurally stable, and the surface oxidation rate and oxide species can be controlled by regulating the substrate temperature and the remote plasma conditions. By varying these parameters, a weakly bonded S-O seeding layer favorable for

ALD high-k dielectric nucleation or a layer-limited MoOx for P-type doping is achievable.

Importantly, both results will not damage the underlying crystal’s structures, and by thermal evaporation of MoOx or S-O, one can recover a clean and lattice undamaged MoS2 surface. The formation of MoOx and subsequent thermal evaporation can be utilized as a two-step plasma-

154

assisted atomic layer etching method, which has been demonstrated on MoS2 bulk crystal and thin films as well.

The work in chapters 5-6 explored the structural, chemical, and electronic evolution of MoTe2 during the vacuum annealing conditions and discovered phase transformation processes in MoTe2 driven by thermal activation and Te desorption. Particularly, the most exciting discovery is the

2H-MoTe2 to metallic Mo6Te6 nanowire phase transition. This process is comprehensively investigated and discussed in chapter 6. In the future, it will be interesting to explore possible applications of this phase transition and the related physical properties of this Mo6Te6 nanowires.

In chapter 7, we explored the ALD of Al2O3 on 2H-MoTe2 and 1T’-WTe2 because of the potential application of Al2O3 as a Te diffusion and oxygen adsorption barriers. Both the H2O and remote

O2 plasma have been used as the oxidant precursors. While DFT calculations suggest that defect- free WTe2 and MoTe2 have similar water adsorption energies and are both resistant to oxidation in ambient air, H2O based thermal ALD results in island growth of Al2O3 on MoTe2 and conformal coverage of Al2O3 on WTe2. The contrast in thermal ALD behaviors is likely due to the higher defect concentrations on WTe2, which also oxidizes faster in ambient air. When PEALD, with short O2 plasma pulses (3 s), is used to deposit Al2O3, similar nucleation behavior for the two materials is observed and the growth rate of Al2O3 is greatly enhanced. However, the

Al2O3/ditelluride interface is gradually degraded by the accumulated metal oxides during the

PEALD process. While we tried e-beam evaporation of an Al2O3 seeding layer to enhance the nucleation of the thermal ALD on MoTe2, thermal damage occurs and making the MoTe2 surface amorphous. In the future, a low-temperature ALD technique is desired for MoTe2 to prevent interfacial damage.

155

One topic for future studies is the plasma-assisted atom layer etching (PALE) of WSe2. Though

WSe2 and MoS2 have a similar 2H structure, it is more difficult to control the oxidation rate of

WSe2 through the remote O2 plasma treatments and remove the WOx by thermal evaporation. The primary reason is the lower formation energy of W-O bonds (~-2.8 eV) compared to Se-O bonds

1 (-0.1 eV) . Figure 8.1 shows the results for several trials with similar remote O2 plasma treatment conditions to that of MoS2 and demonstrates that the oxidation of WSe2 usually is not layer-limited.

Figure 8.1. PALE of WSe2 assisted with remote O2 plasma treatments and different annealing processes. Sample 01 has been treated with a remote O2 plasma (flow rate 130 sccm) for 5 min and then vacuum annealed at 300 ºC and 500 ºC for 0.5 h, respectively. Around 1.6 nm of WOx is formed after the remote O2 plasma treatment. Sample 02 is first exposed to 190 sccm remote O2 plasma for 5 min and then treated with 300 C vacuum annealing and atomic hydrogen (AH, pressure ~ 1×10-6 mbar) annealing for 0.5 h, respectively. Sample 03 is only exposed to the 130 sccm remote O2 plasma for 2 min and then treated with 450 C forming gas (FG, 95% N2+ 5% H2) annealing and 500 C AH annealing for 0.5 h, respectively. The oxide thickness is ~1.4 nm after the remote O2 plasma treatment. The remote O2 plasma treatment is performed in the PEALD chamber, the vacuum or FG annealing and the AH annealing are performed in the sputtering chamber and PVD chamber, respectively.

To realize dry etching of WSe2, other plasma etching techniques are considered and tested. Here, a plasma-enhanced chemical vapor deposition chamber in the UT-Dallas cleanroom

156

(Plasmatherm-UNAXIS 790 PECVD, see Appendix-B) is selected because of its plethora of gas choices including NH3, He, N2, N2O, CH4, and SF6 (see Table 8.1). Etching is done at 50 W, the lowest power the tool is stable, and at 900 mTorr.

Table 8.1. Experimental trials of different plasma recipes on WSe2. The substrate temperature is 150 ºC, and the plasma power and pressure are 50 W and 900 mTorr, respectively.

# N2O NH3 He SF6 Time Results sccm sccm sccm sccm min

01 100 20 1 Too fast, all flakes are etched

02 150 5 1 Too fast, all flakes are etched

03 150 1 15 Partial etched; but create apparent holes and cracks

04 100 5 1 Too fast, all flakes are etched

05 100 3.9 1 15 NH3 contaminates the Si/SiO2 substrate

06 100 1 1 20 nm is etched

07 3.9 1 Plasma is not stable

08 100 5 > 1 layer is oxidized

09 100 3.9 5 ~ 1 layer is oxidized

The conventional plasma etching methods relying on purely energetic ion bombardments

(sputtering) or the reactive chemical reactions with etchant gas/plasma (e.g., halogen species) to form gaseous byproducts are not considered due to their poor etching rate control. For example, a direct N2 plasma can cause non-uniform in-depth damage, while the energy of a remote N2 plasma is not sufficient to reduce the WSe2 film thickness. Halogen SF6 plasma can quickly etch away thick layers (>100 nm) of WSe2 in just one minute. By reducing the chemical-etching mechanism though changing gas ratios, however, the above-mentioned shortcomings can be surmounted.

157

Table 8.1 lists several experimental trials with different plasma recipes and suggests that the combination of He or N2O with SF6 plasma may control the etching rate. However, likely due to the higher momentum transfer energy of He species, the He+SF6 based plasma causes surface damage from energetic He ions and results in the generation of holes and cracks in WSe2. In comparison, the N2O+SF6 based plasma usually results in a smooth etched surface for WSe2. Since

He causes only a weak physical damage, maybe the N2O+SF6 based plasma is an oxidation/etch process, which would slow the purely F etching rate since W-O is harder to react than W-Se.

To control the etching rate of the N2O+SF6 recipe, the N2O flow rate and the base pressure are regulated. Note the SF6 flow rate fluctuates within 0.3-0.6 sccm when setting to 1 sccm. Table 8.2 lists the experimental results and suggests that when the plasma pressure is fixed at 900 mTorr, the 50 sccm N2O + 1 sccm SF6 would yield the lowest etching rate: 2 nm WSe2 is etched away at the first 2 min, and 6 nm at the second 2 min of the plasma exposure. The slower etching rate at the first 2 min trial is due to the existence of surface tape residue contaminants from the exfoliation process. However, such etching rates are still too high for the atomic layer etching control as there is no convenient, in situ thickness monitoring mechanism. Raman is efficient for quick thickness determination of MoS2 thin films but does not work well for WSe2 as the peak positions and intensities are weakly dependent on the film thickness. Atomic force microscopy (AFM) or photoluminescence (PL) provides a more accurate prediction but are time-consuming. Therefore, retarding the etching rate is still necessary for WSe2 to achieve precise atomic layer etching control and lower the risk of plasma damage. At this time, the etching rate cannot be further reduced due to instrument limitations: the plasma power is already at the lowest stable level, and as Table 8.2 shows that the etching window for adjusting the plasma pressure is extremely narrow. When the

158

plasma pressure is increased by 50 mTorr from 900 to 950 mTorr, physical damage is dominant.

As a result, the N2O+SF6 recipe provided by the PECVD chamber can only be used as a fast etching method for WSe2.

Table 8.2. Testing the etching rate of WSe2 by N2O+SF6 plasma treatment under different N2O flow rates or plasma pressures. The substrate temperature is 150 ºC, and the plasma power is 50 W.

Pressure: 900 mTorr

N2O: SF6 /sccm Etching rate 100 : 1 20 nm the first 1 min 50 : 1 2 nm the first 2 min; 6 nm the second 2 min 45 : 1 4.25 nm / the first 1 min 40 : 1 0 nm /10 min 25 : 1 0 nm /10 min

N2O : SF6 50 sccm: 1 sccm Pressure / mTorr Etching rate 2000 0 nm /10 min 1500 0 nm /10 min 1200 0 nm /10 min 1100 begin to etch, physical damage > etching 950 begin to etch, physical damage > etching 900 2 nm the first 2 min; 6 nm the second 2 min

159

APPENDIX:

A. Plasma Enhanced Atomic Layer Deposition (PEALD) Tool

Figure A-1. (Left) Litmas Remote plasma source integrated ALD system. (Right) “PI Chart” in user interface for PEALD system.

The remote O2 remote plasma employed in this work is generated by a Litmas remote plasma source (Litmas PRS) in a Picosun PEALD chamber, as shown in Figure A-1. The Litmas PRS is a cylindrical inductive plasma source working at an RF power range of 1500-3000 W. The O2 gas flow rate and the gas pressure are controlled by the O2 cylinder as well as the topmost gas leak valve that connected to the Litmas PRS. The O2 flow and the O2 leak valve are correspond to “G4” and “V742”, respectively, in the computer user interface (see the “PI Chart” page in Figure A-1).

Here are quick tips to check and regulate the gas pressure and flow rate without igniting the plasma:

160

1. Load an O2 plasma-related recipe to activate the O2 plasma setup. The plasma parameters (see

Figure A-2), e.g., power, flow rate, and gas stabilization time, and RF power on time, are not

important in this step unless the next step is to ignite the plasma.

Figure A-2. The “RECIPE” menu of the PEALD system.

2. Go to the “Manual” page for manual valve control, and activate the valves “V740” and “V742”

until the valve pattern turns to a green color (see Figure A-3).

Figure A-3. The “MANUAL” menu for the PEALD system.

161

3. Then the O2 gas pressure and flow rate will be shown in the “PI CHART” page. Regulating the

O2 leak valve and the O2 cylinder until the gas pressure and flow rate reach the desired plasma

conditions (see Figure A-4).

Figure A-4. The “PI CHART” menu for the PEALD system.

4. When finishing the gas pressure/flow rate regulation, deactivate the “V740” and “V742” valve

in the “Manual” page until they turn to the default gray color.

It is also possible to regulate the plasma pressure and O2 flow rate during the ignition conditions.

Here are steps for the plasma treatment setup:

5. Skip this step if the O2 plasma recipe is already set up in step 1. Load the O2 plasma recipe,

and input the plasma details: power, flow rate, and gas stabilization time, and RF power on

time. The actual flow rate of this PEALD chamber may be offset from the default set value, so

it is important to manually adjust the flow rate and check the real pressure and flow rate from

the “PI CHART” menu. It usually takes more than 20 seconds to reach a stabilized gas flow.

The plasma power is not regulatable after ignition. Save this recipe if any parameters are

changed.

162

6. Go to the “Manual” page, enable the “V3” valve which will turn on the carrier gas flow.

7. Go to the “Deposit” page, click start to initiate the plasma procedure (or the plasma enhanced

ALD procedure). When plasma is ignited, watch the monitor window (see Figure A-1) to check

the plasma status or repeat step 3 to regulate the plasma pressure and gas flow rate again.

8. When the plasma treatment is completed, the gas flow rate will automatically set to zero, so

just follow the normal ALD procedure to take out samples.

B. Plasma Enhanced Chemical Vapor Deposition (PECVD) Tool

Figure B-1. Plasma configuration of the PECVD chamber.

The plasma etching of WSe2 is performed in a Plasmatherm-UNAXIS 790 PECVD chamber located in the UTD clean room Bay 3. In this chamber, multiple gas choices are available (see

Figure B-1), and the plasma ignition power may be stable as low as 50 W for certain conditions.

163

The substrate temperature is set to 150 ºC during our plasma treatments, and all the gas control are remote control through the computer user interface.

All the procedure is set up in the “Process” menu. Here is one example of sample loading and plasma treatment procedures:

1. From the “Utilities” menu, click “vent” to open PECVD chamber. When it is ready, lift the lid

and place the sample at the center of the hot plateau, close the lid, and select the “pump” from

the “Utilities” menu to pump the chamber. When the pressure is pumped down, the pressure

window at the center of the screen will turn from red to green.

2. To load a recipe, click “Standby” first, and then go to the “Process” menu, click “load” to select

the related recipe, and click “OK”. Then the recipe’s name will show at the screen’s bottom

right (see Figure B-2).

Figure B-2. The program running interface of the PECVD chamber.

164

3. To start the recipe, click “Ready” and “Run” successively, then all the procedures in the recipe

will begin to run one by one. The Figure A-6 shows the first temperature stabilization

procedure: the system is waiting for the substrate temperature and the base pressure to reach

the set values (110 ºC and 15 mbar, respectively in the above figure), and then keeps at these

conditions for 5 min before entering to the next gas stabilization stage. At the gas stabilization

stage, the system will start to leak the gas and regulate the pump until the gas flow/pressure

reaches the set value. Then it will enter the plasma ignition and treatment procedure.

4. After the plasma treatment, the system will shut off the gas flow and start to pump down the

chamber’s pressure again.

5. When all the procedures are finished, just vent the chamber by selecting the “pump” in the

“utilities” menu and take out the sample.

165

BIOGRAPHICAL SKETCH

Hui Zhu was born in 1985, Huangshi city, Hubei province, China. She received her bachelor’s degree in 2008 majoring in Electronic Science and Technology, and master’s degrees in 2011 majoring in Microelectronics & Solid State Electronics, from Huazhong University of Science and

Technology, China. In 2014, she enrolled in the master program of Materials Science and

Engineering under the supervision of Dr. Robert M. Wallace at The University of Texas at Dallas,

USA and finished her master-to-Ph.D. degree’s transition in 2016. Her research project was focused on the surface science of two-dimensional materials for future nanotechnology.

166

CURRICULUM VITAE

Hui Zhu

EDUCATION Ph. D, Materials Science & Engineering, University of Texas at Dallas Feb. 2014 – Dec. 2017 M. S, Electrical Engineering, Huazhong Univ. of Sci. & Tech. (China) Mar. 2011 B. S, Electrical Engineering, Huazhong Univ. of Sci. & Tech. (China) Jun. 2008

EXPERIENCE

Graduate Research Assistant, University of Texas at Dallas Jan. 2014 – Present

 Fundamental Studies of Defects, Thermal Stability and Phase Transition in MoTe2

 Demonstrated a poor thermal stability of MoTe2 through STM, XPS, and STEM characterizations.

 Observed inversion domain boundary formation at 400 C and metallic Mo6Te6 nanowire formation at 450 C driven by Te deficiency and thermal equilibrium. This is the first time such a novel 2D-to-1D phase transition has been observed on a transition metal dichalcogenide just by annealing.

 Demonstrated that the structural stability of 2H-MoTe2 can be greatly improved (up to 500 C) through the surface and edge passivation with a monolayer graphene encapsulation.

 Controlled Layer Limited Oxidation of MoS2 for Atomic Layer Etching Applications using remote plasma.

 Investigated the oxidation mechanisms of MoS2 under remote O2 plasma to provide uniform and layer limited oxidation method without damaging the underlying crystal structure.  Demonstrated the integral lattice structure after evaporating the oxidation layer in a vacuum because of the low vapor pressure of the formed oxide layer on MoS2. This research provides a new pathway of a non-destructive, atomic layer etching method.

 Surface and Interfacial Study of Half Cycle Atomic Layer Deposited Al2O3 on 2D materials

 Compared the thermal ALD of Al2O3 on MoTe2, WTe2 and black phosphorous, and discovered different nucleation/growth behaviors using XPS and TEM. Optimized the growth of Al2O3 on 2D semiconductor materials.  Demonstrated the importance of the initial surface conditions on the subsequent interfacial quality of the deposited Al2O3 on black phosphorus.

 Employed remote O2 plasma enhanced ALD (PEALD) or physically evaporated Al2O3 seeding layer to greatly improve the conformality and growth rate of the deposited Al2O3 on

MoTe2; However, a slow interfacial degradation was also observed during the PEALD process due to surface oxidation and “oxide-cleaning” effect from precursors. Also, the thermal damage existed for the seeding layer deposition process.

 Atomic layer etching of WSe2 (Currently Pursuing since Mar. 2017)

 Extended work from the atomic layer etching of MoS2. Comparing different plasma techniques, direct N2O+SF6 and He+SF6 plasma in a PECVD chamber, or remote O2 plasma in a PEALD chamber to study their etching behaviors on WSe2. Hardware Test Engineer of Mobile Phone, ZTE, Shanghai, China Apr. 2011 – Apr. 2012  Project lead of two hardware mobile phone testing programs and responsible for developing, implementing and analyzing various product tests of interface functionality, abnormalities, and stability. Technical Skills and Expertise  Thin film processing or deposition techniques:  Extensive experience in plasma enhanced atomic layer deposition (PEALD), plasma etching and treatments  Experience in electron beam evaporation, physical vapor deposition, sputtering or ion beam etching, scanning electron microscopy-focused ion beam (SEM-FIB)  Surface Analysis and Materials Characterization Techniques  Extensive experience in X-ray Photoelectron Spectroscopy (XPS), Scanning Tunneling Microscopy (STM), Atomic Force Microscopy (AFM), Low Energy Electron Diffraction (LEED), and Raman spectroscopy.  Experience in UPS, X-ray Diffraction (XRD), Low Energy Ion Scattering (LEIS), photoluminescence (PL), transmission electron microscopy (TEM)  Software skills:  Proficient in data analysis using MS office, Origin Pro, WSxM, and AAnalyzer Publications & Presentations  Journal Articles 1) Zhu, H.; Wang, Q.; Cheng, L.; Addou, R.; Kim, K.; Kim, M.; Wallace, R.; Kim, M.; Defects and structural stability of 2H-MoTe2 under vacuum annealing. ACS Nano 2017, accepted.

2) Zhu, H.; Wang, Q.; Zhang, C.; Addou, R.; Cho, K; Wallace, R.; Kim, M.; New Mo6Te6 Subnanometer-Diameter Nanowire Phase from 2H-MoTe2. Adv. Mater. 2017, 1606264. 3) Zhu, H.; Qin, X.; Cheng, L.; Azcatl, A.; Kim, J.; Ye, P. D.; Wallace, R. M. Remote plasma oxidation and atomic layer etching of MoS2. ACS Appl. Mater. Interfaces 2016, 7, 19119– 19126. 4) Zhu, H.; McDonnell, S.; Qin, X.; Azcatl, A.; Cheng, L.; Addou, R.; Kim, J.; Ye, P. D.;

Wallace, R.; Al2O3 on Black Phosphorus by Atomic Layer Deposition: An in situ Interface Study. ACS Appl. Mater. Interfaces 2015, 7, 13038–13043. 5) Zhu, H.; Qin, X.; Azcatl, A.; Addou, R.; McDonnell, S.; Ye, P. D.; Wallace, R.; Surface and Interfacial Study of Half Cycle Atomic Layer Deposited Al2O3 on Black Phosphorus. Microelectron. Eng. 2015, 147, 1–4.

6) Zhu, H.; Lu, W.-Z.; Lei, W. Microwave Dielectric Properties of the (1-x)Mg2TiO4-xCaTiO3- y wt.% ZnNb2O6 Ceramics System. Ceram. Int. 2011, 37, 1515–1519. 7) Cheng, L.; Lee J.; Zhu, H.; Ravichandran A.; Wang, Q.; Lucero, A.; Kim, M.; Wallace, R.; Colombo, L.; Kim, J.; Sub-10 nm tunable hybrid dielectric engineering on MoS2 for 2D materials based devices. Accepted by ACS Nano 2017. 8) Yue, R.; Nie, Y.; Walsh, L.; Addou, R.; Liang, C.; Lu, N.; Barton, A.; Zhu, H.; Che, Z.; Barrera, D.; Cheng, L.; Cha, P.-R.; Chabal, Y.; Hsu, J.; Kim, J.; Kim, M.; Colombo, L.; Wallace, R.; Cho, K.; Hinkle, C.; Nucleation and growth of WSe2: Enabling large grain transition metal dichalcogenides. Accepted by 2D Materials 2017. 9) Walsh, L.; Yue, R.; Wang, Q.; Barton, A.; Addou, R.; Smyth, C.; Zhu, H.; Kim, J.; Colombo, L.; Kim, M.; Wallace, R.; Hinkle, C.; W Te2 thin films grown by beam-interrupted molecular beam epitaxy. 2D Materials 2017, 4, 025044. 10) Barton, A. T.; Yue, R.; Anwar, S.; Zhu, H.; Peng, X.; McDonnell, S.; Lu, N.; Addou, R.; Colombo, L.; Kim, M. J.; Wallace, R.; Hinkle, C.; Transition Metal Dichalcogenide and Hexagonal Boron Nitride Heterostructures Grown by Molecular Beam Epitaxy. Microelectron. Eng. 2015, 147, 306–309. 11) Addou, R.; McDonnell, S.; Barrera, D.; Guo, Z.; Azcatl, A.; Wang, J.; Zhu, H.; Hinkle, C. L.; Quevedo-Lopez, M.; Alshareef, H. N.; Colombo, L.; Hsu, J. W. P.; Wallace, R. M. Impurities and Electronic Property Variations of Natural MoS2 Crystal Surfaces. ACS Nano 2015, 9, 124–9133. 12) Qin, X.; Cheng, L.; McDonnell, S.; Azcatl, A.; Zhu, H.; Kim, J.; Wallace, R. M. A Comparative Study of Atomic Layer Deposition of Al2O3 and HfO2 on AlGaN/GaN. J. Mater. Sci. Mater. Electron. 2015, 1–6. 13) Lin, Y.-C.; Ghosh, R. K.; Addou, R.; Lu, N.; Eichfeld, S. M.; Zhu, H.; Li, M.-Y.; Peng, X.; Kim, M. J.; Li, L.-J.; Wallace, R. M.; Datta, S.; Robinson, J. A. Atomically Thin Resonant Tunnel Diodes Built from Synthetic van der Waals Heterostructures. Nat. Commun. 2015, 6, 7311. 14) Yue, R.; Barton, A. T.; Zhu, H.; Azcatl, A.; Pena, L. F.; Wang, J.; Peng, X.; Lu, N.; Cheng, L.; Addou, R.; Mcdonnell, S.; Colombo, L.; Hsu, J. W. P.; Kim, J.; Kim, M. J.; Wallace, R. M.; Hinkle, C. L. HfSe2 Thin Films: 2D Transition Metal Dichalcogenides Grown by Molecular Beam Epitaxy. ACS Nano 2015, 9, 474–480. 15) Lin, Y.; Chang, C. S.; Ghosh, R. K.; Li, J.; Zhu, H.; Diaconescu, B.; Ohta, T.; Peng, X.; Lu, N.; Kim, M. J.; Robinson, J. T.; Wallace, R.; Mayer, T. S.; Datta, S.; Li, L.; Robinson, J. A. Atomically Thin Heterostructures Based on Single-Layer Tungsten Diselenide and Graphene.

Nano Lett. 2014, 14, 6936–6941.  Selected Presentations

1. Oral presentation “The structural stability and phase transition of MoTe2” TECHCON, September 11-12, 2017, Austin, Texas & AVS 64st International Symposium and Exhibition, October 29-November 3, 2017, Tampa, Florida

2. Oral presentation “A Two-step Atomic Layer Etching on MoS2 Realized by Remote O2 Plasma” AVS 63st International Symposium and Exhibition, November 6-11, 2016, Nashville, Tennessee

3. Oral presentation “Al2O3 on Black Phosphorus by Atomic Layer Deposition: An in situ Study”, AVS 62st International Symposium and Exhibition, October 18-23, 2015, San Jose, California