Tokyo Electron Corporate Update February 9, 2021

CORP IR / 2021.02.09 1

Contents

1. TEL Overview 3 2. TEL Initiatives for Medium-term Business Growth 11 3. Financial Model of Medium-term Management Plan and Growth Investment 18 4. Business Environment and Financial Estimates 22

Appendix 1: Diversity of Technology and TEL’s Business Strategies 28 Appendix 2: Technical Challenges for Application and Effects of EUV Lithography Adoption 34 Appendix 3: Etch System 41 Appendix 4: Deposition System 45 Appendix 5: Cleaning System 51 Appendix 6: TEL’s Strategies toward Digital Transformation 58 Appendix 7: Financial Data 64

CORP IR / 2021.02.09 2

TEL Overview

CORP IR / 2021.02.09 3

TEL is Innovative and Flexible to Market Change

Established development/ Striving for Distributor of other suppliers’ products Globalization Production reform (Billion Yen) manufacturing functions new growth 1,500 TEL consolidated net sales 1,200

900

600

300

0 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 (FY) (E)

Established first Listed on TSE #2 in1980 and #1 in 1984 Strengthened corporate governance manufacturing JV (TEL-Thermco) Discontinued export business of Began overseas direct operations consumer products

Digital consumer Semiconductor Mainframe Mobile , applications computer PC phone etc.

CORP IR / 2021.02.09 4

Financial Performance: Sales and Operating Margin

(Billion Yen) 1,500 50% TEL Net sales 1,360.0 1,278.2 Operating income 1,200 1,130.7 40% Operating margin 1,127.2

906.0 900 30% 799.724.9% 723.8 24.3% 668.7 663.9 22.5% 18.6% 19.5% 16.7% 612.1613.1 600 14.6% 497.2 20% 14.4% 21.0% 17.6% 300 5.3% 10% 2.5%

0 0% -0.5% -4.4% -300 -10% 90 91 92 93 94 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 20 21 (FY) (E)

4M DRAM oversupply • Financial crisis in Asia • IT bubble crash • World financial crisis • Effects of European debt crisis, • 64M DRAM oversupply • Excessive logic foundry • Memory oversupply slowdown in emerging markets investment • Weak demand for PC, mobile

CORP IR / 2021.02.09 5

The Market TEL Participates in

CY2019 World Market FY2020 TEL Sales (April 2019 - March 2020) Others FPD Production ¥0.1B, Equipment ¥66.0B, 0% Electronic System US$ 1,992B (FPD) 6%

Semiconductor US$ 419B ¥1,127.2B

¥1,060.9B, Semiconductor WFE* CAPEX US$ 55B 94% Production Equipment (SPE)

Source: Gartner, “Forecast: Semiconductor Fab Equipment, Worldwide, 4Q20 Update”, Bob Johnson, Gaurav Gupta, 22 December 2020 Charts/graphics created by Tokyo Electron based on Gartner research. Electronic System=Electronic Equipment Production/Semiconductor=Semiconductor Revenue /WFE* CAPEX=Total Wafer Fab Equipment Revenue Basis.

CORP IR / 2021.02.09 6

TEL Main Products World Market Share (CY2019)

Semiconductor Production Equipment

28% 37% 27% 40% 91%

Coater/Developer Dry Etch System Deposition System Cleaning System Wafer Prober*

FPD Production Equipment (FY2020) 28% 40% 53% 21% 65% ALD CVD Oxidation/Diffusion

Source SPE (excluding Wafer Prober) : Gartner, “Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 2019”, Bob Johnson, FPD Coater/Developer FPD Etcher/Asher Gaurav Gupta, 14 April 2020 Graph/chart created by TEL based on Gartner research. Coater/Developer: Photoresist processing (Track), Dry Etch: Dry etch, Deposition: Tube CVD + Atomic layer deposition tools + Source (FPD) : TEL survey Oxidation/Diffusion Furnaces + Nontube LPCVD, ALD: Atomic layer deposition tools, CVD: Tube CVD + Nontube LPCVD, Oxidation/Diffusion: Oxidation/diffusion Furnaces , Cleaning: Single Wafer Processors + Wet stations +Batch Spray processors + Other clean Equipment

CORP IR / 2021.02.09 * SPE (Wafer Prober) : VLSI Research, Preliminary, May 2020 Charts/graphics created by Tokyo Electron based on VLSI Research 7

CY2019 SPE Makers Top 15

CY2019 Sales (Billions of US$) 1 13.46 2 ASML 12.76 3 Tokyo Electron 9.55 4 9.54 5 KLA 4.66 6 2.46 7 SCREEN Semiconductor Solutions 2.20 8 Teradyne 1.55 9 High-Technologies 1.53 10 ASMI 1.26 11 Kokusai 1.13 12 Daifuku 1.10 13 1.10 14 ASM Pacific 0.89 15 Canon 0.69 Source:VLSI Research, May 2020

CORP IR / 2021.02.09 8 * Presentations – IR Day announced on January 20, 2021 Environmental Medium-term Targets for 2030

CO2 emissions reduction targets

Products Facilities

30% reduction 70% reduction per wafer (vs. 2018) of total emissions (vs. 2018)

Reduce energy consumption at Note: Of the total CO2 emissions from TEL’s value chain, Toward 100% approx. 87% are generated during product use each facility by 1% per year (per-unit basis, annual target, YoY) renewable energy use

As a leading corporation in environmental management, Tokyo Electron works actively to conserve the global Long-term goal environment. We strive to contribute to the development of a dream-inspiring society by proactively promoting (2050) the reduction of the environmental burden of both our products and facilities, and at the same time, providing evolutionary manufacturing technologies that effectively reduce the power consumption of electronic products. Support the development of a sustainable society through proactive initiatives for environmental issues CORP IR / 2021.02.09 9

External assessments toward our activities

TEL is included on several ESG-related indices

TEL ESG programs have received very positive reviews

CORP IR / 2021.02.09 10

TEL Initiatives for Medium-term Business Growth

CORP IR / 2021.02.09 11

Rising Added-value in SPE

WFE investment (100k WSPM*, greenfield/TEL estimates)

DRAM 3D NAND Logic ~$20B

~$8B ~$7B

2Z 1X 1Y 1Z 4X 6/7X 9X 12X 14/16nm 10nm 7nm 5nm

Expanding business opportunities for SPE manufacturers on arrival of new applications and rising level of technological difficulty

CORP IR / 2021.02.09 * WSPM: Wafer starts per month 12 * Presentations - Medium-term Management Plan announced on May 28, 2019 Etch System Growth Scenario Focus on processes with growing SAM and aim to 20.0 Sales targets by process type within 3500 19.0 TEL’s SAM for etch systems* capture a high SAM share 18.0 * TEL forecasts. SAM shown on a dollar basis and sales on a yen basis 17.0 3000 . HARC process 16.0 15.0 – Increase sales by growing 3D NAND and DRAM SAM 14.0 2500 13.0 and capturing new PORs 12.0 2000 11.0 . Patterning process 10.0 9.0 1500 – Expand SAM share by offering production cost 8.0 7.0 reductions 6.0 1000 5.0 . Interconnect/contact process 4.0 3.0 500 – Maintain high market share with growing SAM and by 2.0 differentiating technology 1.0 0.0 0 CY'17 CY'18 CY'19 CY'20 CY'21 CY'22 . Gas chemical etch process SAM (in dollars) HARC Patterning – Increase sales by creating new markets Interconnect/contact Gas chemical etch Aim for 30-35% SAM share by CY2023 CORP IR / 2021.02.09 13 * Presentations - Medium-term Management Plan announced on May 28, 2019 Deposition System Growth Scenario

5.0 Sales targets by application within 160000 . Expand business by choosing the most TEL’s SAM for deposition systems* appropriate method among batch, semi-batch 140000 * TEL forecasts. SAM shown on a dollar basis and sales on a yen basis and single wafer, and providing high value- 4.0 120000 added technologies

100000 . In deposition technologies, which are becoming 3.0 increasingly advanced, accelerate development 80000 of new materials and new deposition method by 2.0 60000 leveraging our strengths. Aim for further growth – Deposition of new metal material to achieve lower 40000 1.0 resistance

20000 – New deposition methods to promote scaling, such as anisotropic deposition and selective deposition 0.0 0 CY'17 CY'18 CY'19 CY'20 CY'21 CY'22 – Pre-treatment technology to realize better electric SAM (in dollars) DRAM NAND Logic/Foundry Others characteristics Deposition System: Tube CVD + Atomic layer deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD Focus on high value-added deposition processes, aim for 40%+ SAM share by CY2023 CORP IR / 2021.02.09 14 * Presentations - Medium-term Management Plan announced on May 28, 2019 Cleaning System Growth Scenario Sales targets by system type within 5.0 TEL’s SAM for cleaning systems* 150% . Single wafer cleaning * TEL forecasts. SAM shown on a dollar basis and sales on a yen basis 130% – Grow sales with pattern collapse reduction 4.0 SAM share 110% technology and by improving productivity 25%

90% – Maintain a high market share for bevel wet etch 3.0 and expand applications through removal of new 70% materials

2.0 50% . Batch cleaning

30% – Expand POR in 3D NAND critical processes 1.0

10% . Scrubber cleaning – SAM will grow as importance of back/bevel 0.0 -10% CY'17 CY'18 CY'19 CY'20 CY'21 CY'22 processing increases due to introduction of EUV SAM (in dollars) Single wafer cleaning Batch cleaning Scrubber Aim for 30% SAM share by CY2023 CORP IR / 2021.02.09 15

FPD Manufacturing Equipment Growth Scenario

Sales and operating margin (Billion yen) 120 35% . Raise competitiveness of dry etch 30% 100 system and coater/developer 25% 80 20% . Create inkjet market for large OLED 15% 60 displays 10%

40 5% . Launch new products that reflect 0% 20 -5% evolution of displays

0 -10% FY'15 FY'16 FY'17 FY'18 FY'19 FY'20 FY'21 FY'22 FY'23 Sales Operating margin

Aim for 30% operating margin by CY2023 CORP IR / 2021.02.09 16

Field Solutions (FS) Sales Results and Growth Strategy

FS sales Growth strategy key points 380.0B yen . Grow SAM through increase in installed units 304.8 130.0 Used equipment 288.2 and modification (installed base of over 74,000 units) 251.0 104.5 99.4 208.0 101.0 250.0 . Respond to new customer needs 93.0 • 200mm renewal equipment 188.8 200.2 Parts and services • Comprehensive contract services 150.0 115.0 . Enhance business efficiency by

0 cooperating with Business Innovation FY'17 FY'18 FY'19 FY'20 Target for Project 5 years hence Leverage our strengths as an equipment manufacturer to increase earnings in both the used equipment/modification and parts/services segments CORP IR / 2021.02.09 17

Financial Model of Medium-term Management Plan and Growth Investment

CORP IR / 2021.02.09 18

Medium-term Management Plan (Billion yen) FY2020 By FY2024 (Actual) (Plan) WFE market $54B $55 – 60B $60 – 65B $65 – 70B Net sales 1,127.2 1,500.0 1,700.0 2,000.0 SPE 1,060.9 ------FPD 66.0 ------Gross profit 451.9 650.0 740.0 890.0 Gross profit margin 40.1% 43.3% 43.5% 44.5% SG&A expenses 214.6 252.0 264.0 290.0 SG&A expenses to sales ratio 19.1% 16.8% 15.5% 14.5% Operating income 237.2 398.0 476.0 >600.0 Operating margin 21.0% 26.5% 28.0% >30.0% ROE 21.8% >30%

No change to financial model

CORP IR / 2021.02.09 19

Gross Profit, SG&A Expenses (Sales ¥2,000.0B Model) (Billion yen) FY2020 FY2021 By FY2024 Growth rate (Actual) (Estimates) (Plan) (FY’20-FY’24) Gross profit 451.9 549.0 890.0 +96.9% Gross profit margin 40.1% 40.4% 44.5% +4.4pts . Raise gross profit margin of core SPE, FPD products – Timely introduction of new products to an expanding market – Lower cost ratio through product quality improvements (Billion yen) FY2020 FY2021 By FY2024 Growth rate (Actual) (Estimates) (Plan) (FY’20-FY’24) SG&A expenses 214.6 243.0 290.0 +35.1% SG&A expenses to sales ratio 19.1% 17.9% 14.5% -4.6pts . Proactively invest in growth areas while planning appropriate SG&A and R&D expenses

CORP IR / 2021.02.09 20

R&D Expenses, Capex Plan

(Billion Yen) 150 135.0 R&D expenses 113.9 120.2 97.1 100 78.6 83.8 73.2 71.3 76.2 400.0B yen 50 3 year total

0 FY'13 FY'14 FY'15 FY'16 FY'17 FY'18 FY'19 FY'20 FY'21 (E)

(Billion Yen)

60 Capex Depreciation 54.6 56.0 49.7 45.6 40.0-50.0 38.0 40 35.0-37.0 26.6 29.1 21.7 24.8 24.3 20.8 19.2 20.617.8 20.6 20 12.7 13.1 13.3

0 FY’13 FY’14 FY’15 FY’16 FY’17 FY’18 FY’19 FY’20 FY’21 (E) Conduct proactive investment towards further growth Enhancing development and production structures in growth areas CORP IR / 2021.02.09 21

Business Environment and Financial Estimates

CORP IR / 2021.02.09 22

FY2020 (April 2019 – March 2020) Highlights Net Sales and Operating Income and Net Income Attributable to Gross Profit Margin Operating Margin Owners of Parent and ROE Operating income (¥B) Net income attributable to owners Net sales (¥B) 30.0% 250 Operating margin of parent (¥B) Gross profit margin 300 30.1% ROE 1,200 42.0% 24.3% 41.2% 25.0% 29.0% 40.2% 40.3% 40.1% 200 250 24.9% 21.0% 19.5% 17.6% 20.0% 200 150 19.1% 800 21.8% 15.0% 150 310.5 248.2 1,278.2 281.1 13.0% 204.3 1,130.7 1,127.2 237.2 100 185.2 100 10.0% 400 799.7 663.9 155.6 115.2 116.7 50 50 5.0% 77.8

0 0 0.0% 0 FY'16 FY'17 FY'18 FY'19 FY'20 FY'16 FY'17 FY'18 FY'19 FY'20 FY'16 FY'17 FY'18 FY'19 FY'20 . Although net sales decreased on the effect of adjustments in SPE*1 and FPD*2 capex, there was continued growth investment in response to market growth . TEL was able to maintain profitability as a result of initiatives to optimize and sustain earnings even amid lower sales CORP IR / 2021.02.09 *1 SPE: Semiconductor production equipment *2 FPD: Flat panel display production equipment 23

Business Environment (Outlook as of January 2021)

► WFE*1 capex Currently the CY2021 market growth rate is approaching 20% YoY driven by strong investment in logic/foundry as well as a recovery in investment in memory for data centers and the spread of 5G mobile

►FPD production equipment capex for TFT array process*2 YoY rise in OLED investment for mobile applications, but LCD investment in large panels is expected to weaken. Although market growth driven by OLED investment can be looked forward to, a YoY decrease of around 30% is expected in CY2021 amid the transition from LCD to OLED in investment in large panels

*1 WFE (Wafer fab equipment): The semiconductor production process is divided into front-end production, in which circuits are formed on wafers and inspected, and back-end production, in which wafers are cut into chips, assembled and inspected again. Wafer fab equipment refers to the production equipment used in front-end production and in wafer-level packaging production. *2 TFT array process: The processes of manufacturing the substrates with the electric circuit functions that drive displays CORP IR / 2021.02.09 24

CY2021 WFE Market and Business Opportunities by Application

. Logic/Foundry – Market environment: Drive WFE market with further proactive investment on expanded applications accompanying advances in ICT* – Opportunities: Business expansion in more complex patterning processes . DRAM – Market environment: Inventory adjustments proceeding well amid increased 5G mobile, PC and data center demand. Investment to recover from the start of the year, including some pulled forward – Opportunities: Response to new technologies and materials for scaling . Non-volatile memory – Market environment: Continuation of last year’s strong investment accompanying expansion of applications – Opportunities: Differentiation through high value-added etch and clean

CORP IR / 2021.02.09 * ICT: Information and communication technology 25

Revision of FY2021 Financial Estimates (Billion yen) FY2021 (Estimates) FY2020 st nd (Actual) 1 half 2 half Full year Full year Actual New estimates New estimates Adjustments* YoY change Net sales 1,127.2 668.1 691.8 1,360.0 +60.0 +20.6% SPE 1,060.9 635.4 644.5 1,280.0 +60.0 +20.6% FPD 66.0 32.6 47.4 80.0 0 +21.0% Gross profit 451.9 264.8 284.1 549.0 +26.0 +97.0 Gross profit margin 40.1% 39.6% 41.1% 40.4% +0.2pts +0.3pts SG&A expenses 214.6 117.3 125.6 243.0 +1.0 +28.3 Operating income 237.2 147.4 158.5 306.0 +25.0 +68.7 Operating margin 21.0% 22.1% 22.9% 22.5% +0.9pts +1.5pts Income before income taxes 244.6 147.7 158.3 306.0 +25.0 +61.3 Net income attributable to owners of parent 185.2 112.0 118.0 230.0 +20.0 +44.7

Net income per share (Yen) 1,170.57 720.29 - 1,479.06 +128.49 +308.49 Upwardly revised full year estimates to reflect higher demand for our equipment

CORP IR / 2021.02.09 * Adjustments: changes from the figures announced on October 29, 2020. SPE: Semiconductor production equipment, FPD: Flat panel display production equipment 26

FY2021 Dividend Forecast

Dividend per share (Yen)

800 758 yen 740 yen TEL shareholder return policy 624 yen 588 yen 600 Year-end Dividend payout ratio: 50% 380 yen Annual DPS of not less than 150 yen 400 352 yen We will review our dividend policy if the company does not generate net income for two consecutive fiscal years 237 yen We will flexibly consider share buybacks 200 Interim 360 yen

0 FY'16 FY'17 FY'18 FY'19 FY'20 FY'21(E)

Expect to pay DPS of 740 yen, in-line with 50% dividend payout ratio

CORP IR / 2021.02.09 27

Appendix 1: Diversity of Semiconductor Technology and TEL’s Business Strategies

CORP IR / 2021.02.09 28

Performance Enhancement through Scaling, Material Development and 3D Structures 3D NAND large stack 3D NAND

Planar FET High AR of DRAM 3D Architecture FinFET

[nm] 1000 KrF Nanowire FET ArF i-ArF i-line 180 100 130 Planar NAND 110 90 65 45 32 EUV Planar FET 22 10 14 10 Wavelength 7 Technology node Scaling 5 1 1990 1993 1996 1999 2002 2005 2008 2011 2014 2017 2020 Architecture, design, process are also being actively investigated CORP IR / 2021.02.09 29

Semiconductor Technology Roadmap Demand for ultra-low power consumption technology Future device systems RF analog Power device High productivity Low energy for HPC/AI/IoT for IoT for IoT SPE tool consumption AI chip (Analog memory) HBM ReRAM MRAM Scaling >2 Tiers

High AR TSV RF/Analog DRAM 3D NAND High dense TSV Face-to-Face Logic BEOL Scaling (WL/BL) Higher-k capacitor New architecture bonding eMemory Logic BEOL Logic sub Logic sub NAND Interposer Low-k under CMOS array >150 layers WL metal >2 Tiers Capacitor for stable power supply High density RDL Logic BEOL structure Contact metal GAA Tr.* Systemize technology by integrating chips - DRAM tower with via Patterning - Analog/NAND/Logic by stacking Ad-SAQP EUV single EUV double Ad-package - Built-in AI (Analog memory) - Moore’s Law by package scaling TEL forecasts CORP IR / 2021.02.09 * Gate-all-around 30

Market Heading Towards Diversification Customization Moore’s Law Multi-functionality Improved performance through transistor integration Communication Mobility OS systems systems

CPU + Memory AI system Healthcare Robotic PC/Mobile systems systems systems

Hyper-mass Pursuit of ultra-efficient productivity Applications increase, production technology diversifies too

CORP IR / 2021.02.09 31

Maximize Utilization of TEL’s Comprehensive Strengths Sales Customer trust

Market- Broad product coverage ing FS Coater/ Deposition Etch Cleaning Test Advanced data Developer . Largest installed collection and base in industry: analysis abilities over 74,000 units R&D Manu- . Advanced FS . Strong next generation facturing product development . High quality . Process integration . Robust supply chain CORP IR / 2021.02.09 32

Continually Pursuing the Best Products and Best Service Advanced Front-loading field solutions

 Share roadmap for next several generations with customers  Business development leveraging industry’s largest installed  Promote early engagement base of 74,000 units  Realize maximum yield of customer devices and equipment  TELeMetrics™ remote maintenance availability from early stage of customers’ mass production and  Predictive maintenance with machine learning reduce burden on the environment  Further increase investment in human resources/R&D by raising operational efficiency and driving higher per-employee productivity Vision: A truly global company generating high added value and profits in the semiconductor and FPD industries through innovative technologies and groundbreaking proactive solutions that CORP IR / 2021.02.09 integrate diverse technologies 33

Appendix 2: Technical Challenges for Application and Effects of EUV Lithography Adoption

CORP IR / 2021.02.09 34

DRAM Process Challenges at a Glance

. Scaling increasingly difficult due to capacitor pitch limitation – Multi-patterning at Capacitor, WL, BL, STI levels Interconnects . To scale capacitor EOT, high-k (ZrO2/Al2O/ZrO2) dielectrics have been introduced with metal (MIM) Capacitor Contact . CMOS (periphery) portion moving to HKMG* & FinFETs to reduce area Buried gate . Capacitors will change from cylinders (dielectric Bitline on outside and in center) to pillars (dielectric on outside only) with thinner high-k for space saving STI – Aspect ratio increases to >50:1 @D16 node and continues to ~80:1

Conventional 6F2 . All aspects of high aspect ratio structure difficult to cell structure * HKMG: High-k metal gate fabricate (etch, film deposition, cleaning, …) DRAM faces scaling, materials and integration challenges CORP IR / 2021.02.09 35

NAND Process Challenges at a Glance Word line isolation (Slit) . Scaling is no longer limited by lithography. Rather, it is limited by the number of ONON or OPOP device

Contact layers one can stack for higher capacity . The high aspect ratio of the device structure is proving Staircase to be more challenging for every new generation . CMOS (periphery) portion moving under memory to

Gate Channel reduce area creates a difficult thermal budget problem

2020 - 2022 – 2016 - 2017 2018 - 2019 2021 2023

# of 3D tiers 4X 6X 9X 12X 25X 51X

Hole CD (nm) 65 - 100 65 - 100 65 - 100 65 - 100 65 - 100 65 - 100

Holes between slits 4 4 4 - 8 8 8 8

Vertical pitch (nm) 50 - 70 40 - 60 40 - 60 40 - 50 40 - 50 40 - 50

Bitline CD (nm) 20 20 20 - 40 ~40 ~40 ~40

Photo image: TECHINSIGHTS TEL forecasts Etch and deposition of the multi-layering

CORP IR / 2021.02.09 progressively difficult as AR increases 36

Logic: Overcoming Technological Hurdles (Placement Errors) Conventional Each exposure process Step 1: Line/Space with EUV Line exposure creates placement errors Too close 2 1

Too far Space 1 3 Closer Self-aligned multiple patterning (SAMP) 3 masks - high etch and dep usage Three exposures: (Litho + Etch) x 3 Lower yield EUV Step 2: Cut introduction Exposure using Cut EUV Placement errors reduced

1 1

Cross section of 1 a logic structure 1 LELELE = (Litho-Etch)3 1 mask - limited etch and dep usage Increased yield One exposure: (Litho + Etch) x 1 CORP IR / 2021.02.09 37

(Litho-etch)n Patterning for Logic MOL Contact/Cut Module

(Litho-etch)3 patterning EUV lithography Lithography1 Lithography2 Lithgraphy3 • PMD dep • Hard mask1 dep (Litho-etch)n patterning • Hard mask2 dep • Lithography1 • Contact HM2 etch1  short etch time • Lithography2 or • Contact HM2 etch2  short etch time Contact HM2 Contact HM2 Contact HM2 Contact HM2 • Lithography3 etch1 etch2 etch3 etch • Contact HM2 etch3  short etch time • Contact HM1 etch  short etch time • Contact PMD etch  long etch time • Post etch clean • Barrier metal Ti/TiN dep  Thicker layer • Contact plug W dep  Higher etch selectivity • Contact plug W CMP Contact HM1 etch Contact PMD etch Contact plug W CMP

CORP IR / 2021.02.09 38

Self-aligned Multiple Patterning for Line/Space 푝 • Had mask1 dep 1 SADP • Hard mask2 dep 푝 • Mandrel1 dep 2 • Hard mask3 dep Hard mask5 • Hard mask4 dep Mandrel2 Hard mask4 • Mandrel2 dep Hard mask3 Mandrel1 • Hard mask5 dep Hard mask2 • Lithography Hard mask1 • Hard mask5 etch • Mandrel2 etch • Sidewall1 dep Mandrel2 etch Sidewall1 dep Sidewall etch back1 • Sidewall1 etch back 1 SAQP • Mandrel2 pull 푝 • Hard mask4 etch 4 • Hard mask3 etch • Mandrel1 etch • Sidewall2 dep • Sidewall2 etch back

Lithography Hard mask3 etch Mandrel1 etch Sidewall2 dep Sidewall etch back2 Deposition Etch SADP: Self-aligned double patterning SAQP: Self-aligned quadruple patterning CORP IR / 2021.02.09 39

Effects of EUV Lithography Adoption

. EUV adoption will solve sophisticated technological hurdles our customers face (i.e. placement errors), bringing about quite positive effects and SPE industries – Advance miniaturization – Accelerate customers’ investment in next generation technologies by enhancing the yield

. Further miniaturization led by EUV will create more differentiation of our products and business opportunities – Increase our coater/developer market share even further – Expand demand for etch, deposition and cleaning equipment – Differentiate our product through advancing self-aligned patterning technology – Expand business with process integration, leveraging our robust product lineup

CORP IR / 2021.02.09 40

Appendix 3: Etch System

CORP IR / 2021.02.09 41 * Presentations - Medium-term Management Plan announced on May 28, 2019 Etch System SAM Outlook . HARC process 20.0 Etch system SAM by process type* – SAM will increase due to advancement of 3D NAND 19.0 *TEL forecasts 18.0 and DRAM scaling ($B) 17.0 15.8 16.0 15.5 . Patterning process 15.0 14.0 13.3 – Multi-patterning will continue to be used, even after the 13.0 12.1 adoption of EUV for mass production, and SAM will 12.0 10.8 11.0 10.1 remain at high levels 10.0 9.0 . Interconnect/contact process 8.0 7.0 – SAM will grow due to adoption of copper dual 6.0 damascene interconnects for DRAM and increasing 5.0 4.0 number of logic interconnects layers 3.0 2.0 . Critical conductor process 1.0 0.0 – Stable investment will continue despite low SAM ratio CY'17 CY'18 CY'19 CY'20 CY'21 CY'22

HARC Patterning Interconnect/contact . Gas chemical etch process Critical conductor Gas chemical etch Others – Growth trend for SAM due to introduction of 3D structures in devices CORP IR / 2021.02.09 42 * Presentations - Medium-term Management Plan announced on May 28, 2019 Etch System Strategy . HARC process – 3D NAND (multi-level contact, word line isolation), DRAM (capacitor): Continue to differentiate through process performance and productivity – 3D NAND (channel): Launch new systems that can differentiate by providing both precise process controllability Multi-level and even higher productivity contact . Patterning process – DRAM: Reduce customer production costs by combining etch steps Word line Channel – Logic: Differentiate through integration of etch and deposition isolation (slit) technologies Isotropic etch . Interconnect/contact process Interconnects – Apply knowledge cultivated in logic to DRAM Capacitor . Gas chemical etch process – Create a new market through plasma assist technology Nanosheet image: Courtesy of IBM

Source: N. Loubet, et al., Stacked Nanosheet Gate-All-Around Transistor to Enable Scaling Beyond FinFET CORP IR / 2021.02.09 43 * Presentations – IR Day announced on January 20, 2021 Episode™ UL

CORP IR / 2021.02.09 44

Appendix 4: Deposition System

CORP IR / 2021.02.09 45 * Presentations - Medium-term Management Plan announced on May 28, 2019 Deposition System SAM Outlook

Deposition system SAM by application* 7.0 . NAND ($B) * TEL forecasts 6.1 – Investment for 3D NAND scaling will continue. 6.0 5.8 Particularly, demand for high quality dielectric film, 5.2 5.0 mainly with ALD, is increasing for high aspect ratio 5.0 4.5 step 3.9 4.0 . DRAM – Scaling will continue. Investment is expected in new 3.0 structures and materials to improve capacitor performance 2.0 . Logic/Foundry 1.0 – SAM ratio will decrease, but stable investment 0.0 expected. Continued demand for technological CY'17 CY'18 CY'19 CY'20 CY'21 CY'22 innovation to suppress resistance increase in thin NAND DRAM Logic/Foundry wire

CORP IR / 2021.02.09 46 * Presentations - Medium-term Management Plan Deposition Business Strategy announced on May 28, 2019

DRAM 3D NAND • Lower temperatures • Channel film • High-k dielectric film • Charge trap film • Capacitor electrode • Block high-k dielectric film • Buried oxide film • Buried silicon film Single-wafer System Vertical Furnace Semi-batch System Triase+™ EX-II ProTM TiN TELINDY PLUSTM Super Large Batch NT333TM

AR ≃ 16:1 Gap-fill SiO2 Dep

Dia: 20nm, AR = 50:1 Top

Improved Continuous productivity/ /

film quality Bottom N2 coverage improvement Si precursor S/C >95% 100/125 150/175 Higher Equipment Oxidation tempera- stability N2 ture Provide high value-added technology by leveraging our advantage of having batch, semi-batch and single wafer Modification CORP IR / 2021.02.09 technologies 47 * Presentations – IR Day announced on January 20, 2021 Triase+™ EX-II™ HK: High Quality High-k Dielectric

. Features DRAM High-k dielectric – Designed for HfO process @ ~400℃ and ultra low carbon (~1E19 atoms/cm3) – Liquid Hf precursor with DLI*1 vaporizer unit enables ideal ASFD*2 process with high Hf flow – Unique gas insertion enables non-uniformity of < 1% within wafer – Enhanced exhaust line with high-speed APC*3 and 3D NAND Block high-k ~ core oxide dep 100A piping for longer wet PM*4 cycle

. Applications – DRAM peripheral high-k metal gates – 3D NAND block high-k dielectric

*1 DLI: Direct liquid injection *3 APC: Auto pressure control valve CORP IR / 2021.02.09 *2 ASFD: Advanced sequential flow deposition *4 PM: Preventative maintenance 48 * Presentations – IR Day announced on January 20, 2021

Triase+™ EX-II™ MS: Multi-source Supply for Controllable Film Composition Under development FeRAM . Features – New Chamber design for multi-source supply FeFET – Capable of composition ratio control

. Applications – Ferroelectric FET for non-volatile memory Neuromorphic – Extension to storage class memory Artificial synapse – Extension to neuromorphic devices (memorizing synaptic weight)

Memorizing synaptic weight

CORP IR / 2021.02.09 49 * Presentations – IR Day announced on January 20, 2021

New Opportunity: Area Selective Deposition for Sustainable Scaling

. Features Area selective deposition (ASD) Selective growth film – Selective growth of film on metal/dielectric surface

– Excellent surface pre-treatment technology for high Metal selectivity between growth and non growth surfaces Dielectric

Cross-sectional image by TEM . Applications Selective grown dielectric – Logic BEOL, Fully Self-Aligned Via (FSAV) Metal Metal – To every scaled patterning for enhancing Dielectric lithography misalignment margin Elemental mapping by EDX Conventional interconnects Fully self-aligned via (without ASD) (with ASD) Selective grown dielectric Metal line Metal Metal Via hole Dielectric

Metal line @TEL internal structure Reference: S. Azumo, 32nd symposium, SCEJ CORP IR / 2021.02.09 50

Appendix 5: Cleaning System

CORP IR / 2021.02.09 51 * Presentations - Medium-term Management Plan announced on May 28, 2019 Cleaning System SAM Outlook

Cleaning system SAM No significant changes to SAM ratios for 5.0 by system type* cleaning systems * TEL forecasts . Single wafer cleaning 4.0 ($B) 4.0 3.8 – Will continue to be the largest market 3.4 3.6 – Technological innovation aimed at reducing 3.0 3.0 2.9 defects and improving etch/drying performance will continue 2.0 . Batch cleaning – Demand will continue in the market for wet etch 1.0 for 3D NAND critical processes . Scrubber cleaning 0.0 CY'17 CY'18 CY'19 CY'20 CY'21 CY'22 – The importance of physical back/bevel cleaning Single wafer cleaning Batch cleaning Scrubber cleaning is increasing in pre-lithography process and other areas

CORP IR / 2021.02.09 52 * Presentations - Medium-term Management Plan announced on May 28, 2019 Single Wafer Cleaning Strategy

. Single wafer cleaning Without bevel wet etch With bevel wet etch – Bevel wet etch • Expect annual market growth rate of around 10% • Contribute to improving customers’ yields. Maintain a high market share by differentiating through performance in precisely removing film from Conventional drying New drying the outer part of the wafer technology technology Pattern collapses occur No collapse – Prevent pattern collapse Expand market share by TEL original technology to reduce collapse of high aspect ratio pattern

– Metal etch Metal etch process Launched new dedicated SPM chambers for controlling selectivity for metal in order to solve reduced yield TiN TiN issues caused by dry etch damage and residue W W W W

CORP IR / 2021.02.09 53 * Presentations - Medium-term Management Plan announced on May 28, 2019 Batch and Scrubber Cleaning Strategy SiN etch process Selectivity to SiO2 . Batch cleaning Wet Uniformity – SiN etch and W etch processes for 3D NAND etch Focus on processes that require long durations and advanced process technology. Differentiate by realizing high uniformity, high selectivity and high productivity in wet etch

Wafer back and defocus diagram . Scrubber cleaning Small particles – Pre-lithography process Provide high-value solutions such as reducing Embedded particles Surface particles Total focus spot count (>50nm) particles brought in by wafers, contributing to the 1,000 Before 787 improvement of exposure tool availability which After 539 have grown increasingly important due to the 500 introduction of EUV 1 1 0 Nitride Oxide CORP IR / 2021.02.09 54 * Presentations – IR Day announced on January 20, 2021 Technology Challenges in Cleaning for State-of-the-Art Devices

Chemicals Rinse Drying Pattern collapse

. DRAM Wet etch Interconnects – Post-STI etch cleaning Capacitor Contact – Mold wet etch after Buried gate capacitor electrode formation Bitline STI

. Logic – Post-fin etch cleaning – Post-nanowire/nanosheet formation cleaning FinFET Nanowire Nanosheet Drying technology more difficult due to further scaling and higher aspect ratios CORP IR / 2021.02.09 in device manufacturing 55 * Presentations – IR Day announced on January 20, 2021 Supercritical Drying Technology

Air CO2 Supercritical state Drying

alcohol Traditional drying TEL’s supercritical drying

Top View

Side View

Supercritical drying technology prevents pattern collapse CORP IR / 2021.02.09 56 * Presentations – IR Day announced on January 20, 2021 Drying Technology Roadmap

2005 2010 2015 2020 2025 2030

2D NAND IPA*3 STI*1/AA*2

DRAM IPA Supercritical STI/Capacitor

Logic IPA Supercritical Fin/GAA

*1 STI: Shallow trench isolation 3D NAND *2 AA: Active area 4 IPA Supercritical *3 IPA: Isopropyl alcohol RG* *4 RG: Replacement gate

CORP IR / 2021.02.09 57

Appendix 6: TEL’s Strategies toward Digital Transformation

CORP IR / 2021.02.09 58

TEL Digital Design Square

TEL DX Vision State-of-the-art office A global company where all employees drive the sustainable creation of enterprise value by leveraging digital technology in activities including Collaboration Casual meetings Intensive work adding value and raising efficiency Grand Opening Development activities November 24, 2020 Sapporo Equipment Proudly celebrating 30 years software in Sapporo, Hokkaido,

Osaka Digital AI Tokyo transformation application

Opened the TEL Digital Design Square as the home base for DX activities CORP IR / 2021.02.09 59

Value Creation and Enhancement of Capital Efficiency by DX

CORP IR / 2021.02.09 60

Overall Image of TEL’s DX Project

Develop applications that contribute to creation of corporate value via DX infrastructure Product life cycle Proto- Modifi- Planning Design type HVM Support cation

Profit Higher A Application profitability D Extended lifetime value B Shorter time to market data utilization Time

Cost C Lower production cost

Create DX infrastructure for a platform for skills/culture/data application

DX Infrastructure Improving Fostering Creating environment skills consciousness/culture (Governance/data plafform) Utilize DX infrastructure to develop applications that create corporate value

CORP IR / 2021.02.09 61

DX Activities

Digital enablers Added value creation/improved Achieving goals capital efficiency  High-performance computing  Resolution of high value  Cloud infrastructure 3. Control 1. Monitoring problems  AI technology Feedback to the Visualization  IoT, xR High value problem real world 4. Autonomy - Quality - Cost Autonomous Surrounding environment learning and - Speed decision-making - Productivity - Energy  Progress in data sharing and consumption collaboration in supply chains  High expectations for AI and robot support of humans 2. Analysis and prediction Analysis and prediction with digitalized data

Digital transformation in resolving high value problems CORP IR / 2021.02.09 62

Application of DX to Field Solutions

CORP IR / 2021.02.09 63

Appendix 7: Financial Data

CORP IR / 2021.02.09 64

Financial Summary (Billion yen) (Reference) YoY FY2020 estimates FY2019 FY2020 Change announced on Oct. 31, 2019 Net sales 1,278.2 1,127.2 -11.8% 1,110.0 SPE 1,166.7 1,060.9 -9.1% 1,035.0 FPD 111.2 66.0 -40.6% 74.8 Gross profit 526.1 451.9 -14.1% 445.0 Gross profit margin 41.2% 40.1% -1.1pts 40.1% SG&A expenses 215.6 214.6 -0.4% 220.0 Operating income 310.5 237.2 -23.6% 225.0 Operating margin 24.3% 21.0% -3.3pts 20.3% Income before income taxes 321.5 244.6 -23.9% 229.0 Net income attributable to -25.4% owners of parent 248.2 185.2 170.0 EPS (Yen) 1,513.58 1,170.57 -22.7% 1,074.47* R&D expenses 113.9 120.2 +5.5% 123.0 Capital expenditures 49.7 54.6 +9.9% 56.0 Depreciation and amortization 24.3 29.1 +19.7% 33.0 1. In principle, export sales of Tokyo Electron’s mainstay semiconductor and FPD production equipment are denominated in yen. While some settlements are denominated in dollars, exchange risk is hedged as forward exchange contracts are made individually at the time of booking. 2. Profit ratios are calculated using full amounts, before rounding. CORP IR / 2021.02.09 3. EPS forecast was announced on January 30, 2020. 65

Segment Information

SPE FPD Composition of Net Sales (Semiconductor Production Equipment) (Flat Panel Display Production Equipment) (Billion Yen) (Billion Yen) 1,600 60% 160 60% 100% Sales Sales 9 6 FPD Segment income Segment income Segment profit margin Segment profit margin 50% 50% 1,166.7 1,200 120 111.2 1,060.9 40% 40%

800 30% 80 30% 50% 66.0 91 94 SPE 28.0% 21.8% 25.5% 20% 16.0% 20% 400 326.7 40 270.4 24.2 10% 10% 10.5

0 0% 0 0% 0% FY'19 FY'20 FY'19 FY'20 FY'19 FY'20

1. Segment income is based on income before income taxes. 2. R&D expenses such as fundamental research and element research are not included in above reportable segments. 3. Composition of net sales figures is based on the sales to customers. CORP IR / 2021.02.09 66

SPE Division: New Equipment Sales by Application

(Billion Yen) 1,200 DRAM Non-volatile memory . In logic/foundry, increased investment to raise Logic foundry Logic & others (MPU, AP, Others) production capacity of leading-edge 888.7 technology made a significant contribution to 814.6 765.1 800 sales amid stronger demand for high 31% 24% 20% performance processors for data centers and 5G smartphones 20% 40% 38% 400 . In memory, investment underwent an 26% adjustment amid a lull following several years 11% 10% of investment to increase production capacity 34% 25% 21%

0 FY'18 FY'19 FY'20

CORP IR / 2021.02.09 Percentages on the graph show the composition ratio of new equipment sales. Field Solutions sales are not included. 67

SPE Division: New Equipment Sales by Product

(Billion Yen) 1,200 Coater/Developer . Coater/developer sales proportion rose amid Etch system Deposition system Cleaning system increased investment for leading-edge 888.7 Wafer prober Others 814.6 logic/foundry while investment for non-volatile 765.1 800 23% 20% memory decreased 26% . Etch systems sales proportion decreased as a 40% 40% 37% 400 result of the higher ratio of investment in

20% matured technologies including power devices 23% 20% and discrete 10% 9% 10% 6% 7% 6% 0 1% 1% 1% FY'18 FY'19 FY'20

CORP IR / 2021.02.09 Percentages on the graph show the composition ratio of new equipment sales. Field Solutions sales are not included. 68

SPE Division: Sales by Region Japan North America Europe Korea (Billion Yen) (Billion Yen) (Billion Yen) (Billion Yen) 400 400 400 400

305.4

206.0 205.7 200 200 200 159.1 200 151.5 131.9 93.1 58.8

0 0 0 0 FY'19 FY'20 FY'19 FY'20 FY'19 FY'20 FY'19 FY'20

Taiwan China S.E. Asia, Others Region Composition (Billion Yen) (Billion Yen) (Billion Yen) S.E. Asia, 400 400 400 100% 5 3 Others 18 18 China 256.4 14 24 Taiwan 206.9 193.6 200 162.9 200 200 50% 26 14 Korea 6 Europe 8 60.4 11 20 North America 35.5 18 15 Japan 0 0 0 0% FY'19 FY'20 FY'19 FY'20 FY'19 FY'20 FY'19 FY'20

CORP IR / 2021.02.09 69

Field Solutions Sales

(Billion Yen)

Used equipment and modification . FY2020 sales in the Field Solutions were ¥304.8 Parts and services 304.8 300 288.2 billion, +5.7% YoY 251.0 104.5 99.4 . Parts and services sales were firm on growth in

200 101.0 installed base and customers’ high utilization rate. Sales also rose in used equipment and modification, which enables customers to 100 188.8 200.2 150.0 effectively utilize their assets

0 FY'18 FY'19 FY'20

CORP IR / 2021.02.09 70

Financial Summary (Quarterly) (Billion Yen) FY2020 FY2021 vs. Q2 Q3 Q4 Q1 Q2 Q3 FY2021 Net sales 295.4 323.3 314.8 353.3 291.7 -17.4% SPE 282.0 308.9 303.7 331.6 264.3 -20.3% FPD 13.3 14.3 11.0 21.6 27.3 +26.7% Gross profit 117.5 129.8 128.4 136.3 121.9 -10.6% Gross profit margin 39.8% 40.2% 40.8% 38.6% 41.8% +3.2pts SG&A expenses 52.8 59.7 54.6 62.7 59.0 -5.9% Operating income 64.7 70.1 73.8 73.5 62.8 -14.6% Operating margin 21.9% 21.7% 23.5% 20.8% 21.6% +0.8pts Income before income taxes 64.6 73.3 74.6 73.0 62.3 -14.7% Net income attributable to -16.9% owners of parent 49.3 57.1 56.4 55.5 46.1 R&D expenses 29.8 33.4 30.1 36.2 33.0 -8.7% Capital expenditures 13.2 11.7 13.2 14.9 8.8 -40.9% Depreciation and amortization 7.6 8.6 7.1 8.1 8.8 +9.3% 1. In principle, export sales of Tokyo Electron’s mainstay semiconductor and FPD production equipment are denominated in yen. While some settlements are denominated in dollars, exchange risk is hedged as forward exchange contracts are made individually at the time of booking. 2. Profit ratios are calculated using full amounts, before rounding.

CORP IR / 2021.02.09 SPE: Semiconductor production equipment, FPD: Flat panel display production equipment 71

Segment Information (Quarterly)

SPE FPD Composition of Net Sales (Semiconductor production equipment) (Flat panel display production equipment) (Billion Yen) (Billion Yen) 400 50% 40 50% 100% 3 Sales Sales 5 4 6 9 FPD Segment income Segment income Segment profit margin 331.6 Segment profit margin 320 308.9 303.7 40% 32 40% 282.0 27.3 264.3

240 30% 24 21.6 30%

50% 26.8% 27.6% 96 97 25.7% 25.8% 25.7% 95 94 91 SPE 160 20% 16 14.3 17.9% 20% 13.3 19.3% 11.0 82.8 83.7 85.4 10.2% 72.5 80 67.9 10% 8 12.6% 10% 4.7% 4.8 2.7 1.6 2.1 0.5 0 0% 0 0% 0% Q3 Q4 Q1 Q2 Q3 Q3 Q4 Q1 Q2 Q3 Q3 Q4 Q1 Q2 Q3 FY'20 FY'21 FY'20 FY'21 FY'20 FY'21

1. Segment income is based on income before income taxes. 2. R&D expenses such as fundamental research and element research, etc. and other general and administrative expenses are not included in the above reportable segments. 3. Composition of net sales figures is based on the sales to customers. CORP IR / 2021.02.09 72

SPE Division: Sales by Region (Quarterly)

(Billion Yen) 400 331.6 308.9 303.7 288.7 282.0 300 271.8 264.3 239.5 198.1 200

100

0 2018…Q3 2019…Q4 2019…Q1 2019…Q2 2019…Q3 2020…Q4 2020…Q1 2020…Q2 2020…Q3 FY’19 FY’20 FY’21 Japan 54.0 42.7 32.5 41.0 35.9 49.6 49.1 56.8 36.1 North America 22.7 34.7 28.5 58.8 61.0 57.2 42.3 44.0 34.5 Europe 18.6 25.3 20.2 14.4 10.8 13.3 15.7 16.3 12.7 South Korea 60.9 68.9 36.9 36.4 31.0 47.1 67.0 72.1 39.3 Taiwan 29.3 59.1 48.3 48.7 76.2 83.1 50.9 53.9 52.5 China 38.0 42.5 27.0 56.8 59.1 50.6 73.9 79.1 70.5 S. E. Asia, Others 15.6 15.2 4.4 15.5 7.7 7.7 4.6 9.2 18.4

CORP IR / 2021.02.09 73

SPE Division: New Equipment Sales by Application (Quarterly)

(Billion Yen) 300

252.5 250 226.3 22% 221.9 17% 214.5 209.7 24% 198.4 14% 25% 200 12% 18% 22% 26% 169.8 16% 17%27% 173.6 35% 26% 18% 29% 12% 31% 26%40% 150 28% 130.6 20% 27% 26% 25% 16% 24% 35% 31% 25%40% 20% 38% 24%18% 25% 24% 26% 24% 32% 19% 100 38%23% 18% 27% 27% 18% 32% 16%8% 45%23% 15%17% 18%31% 27%34% 21% DRAM 30% 15% 31% 32% 16% 28% 17%27% 26% 34% Non-volatile memory 50 27% 22% 32% 9% 22% 32% 35% 36% 32%32% Logic foundry 26% 32% 25% 24% 28%27%24% 27% 21% 25% 33%23% 25% 26% 26% 27% Logic & others 18%25% 23% 19% 19% 0 (MPU, AP, Others) Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 FY'19 FY'20 FY'21

CORP IR / 2021.02.09 Percentages on the graph show the composition ratio of new equipment sales. Field Solutions sales are not included. 74

Field Solutions Sales (Quarterly)

(Billion Yen) 100 93.5 84.6 83.7 81.7 76.3 75.8 80 72.5 74.6 69.6

60

40

20

0 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 FY’19 FY’20 FY’21 SPE Sales 69.6 74.2 67.4 73.4 72.2 82.6 81.8 79.1 90.6 FPD Sales 2.8 2.1 2.1 2.3 2.3 2.0 1.8 2.6 2.8

CORP IR / 2021.02.09 75

Balance Sheet (Quarterly)

Assets Liabilities & Net Assets

(Billion Yen) (Billion Yen) 1,278.4 1,278.7 1,276.7 1,270.4 1,278.4 1,278.7 1,276.7 1,270.4 1,177.0 1,177.0 Cash & cash 338.4 322.6 269.0 358.9 equivalents* 430.3 378.1 363.6 Liabilities 292.2 448.8 Trade notes, accounts 396.8 138.1 150.1 166.0 receivables 125.6 133.7

427.2 Inventories 385.7 392.0 413.9 390.7

848.3 898.6 906.7 Net assets 73.1 Other current assets 780.1 829.6 62.0 81.8 37.6 51.6 188.6 Tangible assets 173.2 175.5 181.2 187.9 9.5 10.9 11.1 13.5 15.1 Intangible assets 128.4 129.5 146.0 140.1 158.9 Investment & other assets Q3 Q4 Q1 Q2 Q3 Q3 Q4 Q1 Q2 Q3 FY'20 FY'21 FY'20 FY'21

CORP IR / 2021.02.09 * Cash and cash equivalents: Cash and deposits + Short-term investments, etc. (Securities in B/S). 76

Inventory Turnover and Accounts Receivable Turnover (Quarterly)

(Billion Yen) (Days) 160 Net sales 400 Inventory turnover Accounts receivable turnover 127 125 125 123 122 116 111 120

300 102 101 291.7

80 200

49 49 40 100 42 41 40 37 38 39 29

0 0 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 FY'19 FY'20 FY'21

Turnover days = inventory or accounts receivable at the end of each quarter / last 12 months sales x 365

CORP IR / 2021.02.09 77

Cash Flow (Quarterly) (Billion Yen) 120

90

60

30

0 -0.0 -39.0 -16.0 -30 -56.7 -65.3 -18.6 -34.7 -60 -45.4 -43.2 -56.4 -90 Share repurchase -120 Q3 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 FY’19 FY’20 FY’21 Cash flow from operating activities -11.0 65.0 59.4 81.7 52.1 59.7 52.7 53.1 -18.6 Cash flow from investing activities*1 -1.1 -14.4 -8.5 -15.8 -17.7 -11.4 -15.1 -12.8 -16.0 Cash flow from financing activities -67.8 -0.0 -99.9 -65.4 -84.4 -0.4 -53.5 -4.4 -56.4 Free cash flow*2 -12.1 50.5 50.9 65.8 34.4 48.3 37.6 40.2 -34.7 Cash on hand*3 341.1 392.6 340.8 339.9 292.2 338.4 322.6 358.9 269.0

*1 Cash flow from investing activities excludes changes in time deposits and short-term investments. *2 Free cash flow = cash flow from operating activities + cash flow from investing activities (excluding changes in time deposits and short-term investments). *3 Cash on hand includes cash and cash equivalents + time deposits and short-term investments with original maturities of more than three months. CORP IR / 2021.02.09 78 Consolidated 10-year Financial Summary (Millions of Yen) FY2011 FY2012 FY2013 FY2014 FY2015 FY2016 FY2017 FY2018 FY2019 FY2020 Net Sales 668,722 633,091 497,299 612,170 613,124 663,948 799,719 1,130,728 1,278,240 1,127,286 Semiconductor production 511,331 477,873 392,026 478,841 576,242 613,032 749,893 1,055,234 1,166,781 1,060,997 equipment FPD production equipment 66,721 69,888 20,160 28,317 32,709 44,687 49,387 75,068 111,261 66,092 PV production equipment 3,805 3,617 Computer network 90,216 84,867 84,664 100,726 Electronic components Other 453 461 448 479 555 6,228 438 425 197 197

Gross profit 234,758 211,444 158,754 201,892 242,773 267,209 322,291 475,032 526,183 451,941 Gross profit margin 35.1% 33.4% 31.9% 33.0% 39.6% 40.2% 40.3% 42.0% 41.2% 40.1% SG&A expenses 136,887 151,001 146,206 169,687 154,660 150,420 166,594 193,860 215,612 214,649 Operating income 97,870 60,443 12,548 32,204 88,113 116,788 155,697 281,172 310,571 237,292 Operating margin 14.6% 9.5% 2.5% 5.3% 14.4% 17.6% 19.5% 24.9% 24.3% 21.0% Ordinary income 101,919 64,046 16,696 35,487 92,949 119,399 157,549 280,737 321,662 244,979 Income before income taxes 99,579 60,602 17,766 -11,756 86,827 106,466 149,116 275,242 321,508 244,626 Net income attributable to owners of 71,924 36,725 6,076 -19,408 71,888 77,891 115,208 204,371 248,228 185,206 parent Depreciation and amortization 17,707 24,197 26,630 24,888 20,878 19,257 17,872 20,619 24,323 29,107 Capital expenditures 39,140 39,541 21,773 12,799 13,183 13,341 20,697 45,603 49,754 54,666 R&D expenses 70,568 81,506 73,248 78,663 71,349 76,286 83,800 97,103 113,980 120,268

Interest-bearing debt 7,996 4,402 3,756 13,531 ― ― ― ― ― ― Equity 572,741 586,789 593,032 578,091 639,483 562,369 643,094 767,146 880,748 819,301 Total assets 809,205 783,610 775,527 828,591 876,153 793,367 957,447 1,202,796 1,257,627 1,278,495

Debt-to-equity ratio 1.4% 0.8% 0.6% 2.3% ― ― ― ― ― ― Equity ratio 70.8% 74.9% 76.5% 69.8% 73.0% 70.9% 67.2% 63.8% 70.0% 64.1% ROE 13.3% 6.3% 1.0% -3.3% 11.8% 13.0% 19.1% 29.0% 30.1% 21.8%

Cash flow from operating activities 83,238 29,712 84,266 44,449 71,806 69,398 136,948 186,582 189,572 253,117 Cash flow from investing activities -35,881 -8,352 -141,769 -19,599 155,737 -150,013 -28,893 -11,833 -84,033 15,951 Cash flow from financing activities -5,236 -27,334 -10,625 -186 -18,213 -138,600 -39,380 -82,549 -129,761 -250,374

Net income per share 401.73 205.04 33.91 -108.31 401.08 461.10 702.26 1,245.48 1,513.58 1,170.57 Cash dividends per share 114 80 51 50 143 237 352 624 758 588

Number of employees 10,343 10,684 12,201 12,304 10,844 10,629 11,241 11,946 12,742 13,837 * From FY2019, the Company adopts “Partial Amendments to Accounting Standard for Tax Effect Accounting” (ASBJ Statement No. 28, revision on CORP IR / 2021.02.09 February 16, 2018). “Total assets” and "equity ratio" for FY2018 have been restated in the table in accordance with the revised accounting standard. 79

. Disclaimer regarding forward-looking statement Forward-looking statements with respect to TEL’s business plan, prospects and other such information are based on information available at the time of publication. Actual performance and results may differ significantly from the business plan described here due to changes in various external and internal factors, including the economic situation, semiconductor/FPD market conditions, intensification of sales competition, safety and product quality management, intellectual property-related risks, and impacts from COVID-19.

. Processing of numbers For the amount listed, because fractions are rounded down, there may be the cases where the total for certain account titles does not correspond to the sum of the respective figures for account titles. Percentages are calculated using full amounts, before rounding.

. Exchange risk In principle, export sales of Tokyo Electron’s mainstay semiconductor and FPD panel production equipment are denominated in yen. While some settlements are denominated in dollars, exchange risk is hedged as forward exchange contracts are made individually at the time of booking. Accordingly, the effect of exchange rates on profits is negligible.

. Disclaimer regarding Gartner data (Page 6, 7) All statements in this presentation attributable to Gartner represent Tokyo Electron’s interpretation of data, research opinion or viewpoints published as part of a syndicated subscription service by Gartner, Inc., and have not been reviewed by Gartner. Each Gartner publication speaks as of its original publication date (and not as of the date of this presentation). The opinions expressed in Gartner publications are not representations of fact, and are subject to change without notice.

CORP IR / 2021.02.09 FPD: Flat panel display 80

CORP IR / 2021.02.09 81