<<

Technical Photomask Program Symposium on Photomask and NGL Mask Technology XVI Conferences: 8–10 April 2009 Annex Hall, Pacifi co Yokohama, Japan

NETWORK WITH PEERS — HEAR THE LATEST RESEARCH

Materials of and for Photomasks Fabrication Process Steps and Equipments for Photomasks (process and equipments for developing, etching, cleaning, etc.) Photomask Writing Tools and Technologies Metrology Tools and Technologies Inspection Tools and Technologies Repairing Tools and Technologies Mask Data Preparations EDA and DFM for Photomask Photomasks with RET: PSM, Masks with OPC Photomask-relating Lithography Technologies NGL Masks: EUV, Nano-imprint ML2 etc. Mask Strategies and Business Challenges: Cost, Cycle-Time etc.

Sponsored by:

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 1

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 1 33/12/09/12/09 10:02:0110:02:01 AMAM Condensed Schedule

Wednesday 8 April 09.00 - 09.10 Opening Remarks 09.10 - 10.40 Session 1: Invited Session 10.40 - 11.00 Break 11.00 - 12.20 Session 2: Material & Process I 12.20 - 13.20 Lunch Break 13.20 - 15.40 Session 3: Material & Process II 15.40 - 16.00 Refreshment Break 16.00 - 18.30 Poster Session/Display 4a: Material & Process 4b: Writing Technology 4c: Metrology 4d: Inspection Tools & Technologies Photomask Japan 4e: Repairing Tools & Technologies 4f: EUVL Mask 4g: NIL & Patterning Media 4h: Mask-related Lithography 4i: EDA, DFM, & MDP 4j: Strategy & Business 18.30 - 20.30 Banquet Thursday 9 April Concurrent Sessions Room A Room B 09.00 - 10.30 Session 5A: EUVL Mask I 09.00 - 10.30 Session 5B: NIL & Patterned Media 10.30 - 10.50 Refreshment Break 10.30 - 10.50 Refreshment Break 10.50 - 12.20 Session 6A: EUVL Mask II 10.50 - 12.30 Session 6B: Strategy & Business 12.20 - 13.20 Lunch Break 12.30 - 13.20 Lunch Break 13.20 - 15.30 Session 7: EDA, DFM, & MDP 15.30 - 15.50 Refreshment Break 15.50 - 17.40 Session 8: Metrology 17.40 - 18.00 Refreshment Break 18.00 - 20.00 Panel Discussion

Contents Friday 10 April Conf. 7379 - Photomask and Next Generation 09.00 - 10.20 Session 9: Writing Technology Lithography Mask Technology XVI . . 3 10.20 - 10.40 Refreshment Break General Information ...... 8 10.40 - 12.20 Session 10: Inspection & Repair I 12.20 - 13.20 Lunch Break Hotel Accommodations Information ...... 9 13.20 - 14.40 Session 11: Inspection & Repair II Hotel Application ...... 11 14.40 - 15.00 Refreshment Break Registration Information ...... 12 15.00 - 17.10 Session 12: Mask-related Lithography Access Maps ...... 14-15 17.10 - 17.20 Closing Remarks

For information www.spie.org/jpm This program is based on commitments received up to the time of publication and is subject to change without notice.

2 spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 2 33/12/09/12/09 10:02:0210:02:02 AMAM Conference 7379 Wednesday-Friday 8-10 April 2009 • Proceedings of SPIE Vol. 7379 Photomask and Next Generation Lithography Mask Technology XVI

Symposium Chair: Toshiyuki Horiuchi, Denki Univ. (Japan) Advisory Committee Chair: Yasuo Tarui, Tokyo Univ. of Agriculture and Technology (Japan) Advisory Committee: Masanori Komuro, New Energy and Industrial Technology Development Organization (Japan); Masatoshi Migitaka, Tec hnological Institute (Japan); Masao Otaki, Printing Co., Ltd. (Japan); Norio Saitou, Nippon Institute of Technology (Japan); Yoshio Tanaka, Luminescent Technologies, Inc. (Japan)

Organizing Committee Chair: Toshiyuki Horiuchi, Tokyo Denki Univ. (Japan) Organizing Committee Vice-Chair: Masato Shibuya, Tokyo Polytechnic Univ. (Japan) Organizing Committee: Uwe F. W. Behringer, UBC (Germany); Parkson W. Chen, Taiwan Mask Corp. (Taiwan); Hideaki Hamada, NuFlare Technology Inc. (Japan); Naoya Hayashi, Co., Ltd. (Japan); Kunihiro Hosono, Renesas Technology Corp. (Japan); Masashi Iwatsuki, JEOL Ltd. (Japan); Hiroichi Kawahira, Corp. (Japan); Masaomi Kameyama, Corp. (Japan); Ichiro Mori, Leading Edge Technologies, Inc. (Japan); Hiroaki Morimoto, Toppan Printing Co., Ltd. (Japan); Osamu Nagarekawa, HOYA Corp. (Japan); Kenichiro Okuda, SEMI Japan (Japan); Patricia Marmillion, SEMATECH, Inc. (USA); Hiroyuki Shigemura, Semiconductor Leading Edge Technologies, Inc. (Japan); Yoshiki , KLA-Tencor Japan Ltd. (Japan); Tadahiro Takigawa, Brion Technologies KK (Japan); Koichiro Tsujita, Canon Inc. (Japan); J. Tracy Weed, Synopsys, Inc. (USA); Masaki Yamabe, Association of Super-Advanced Technologies (Japan); Anto Yasaka, SII NanoTechnology Inc. (Japan); Nobuyuki Yoshioka, Renesas Technology Corp. (Japan)

Steering Committee Chair: Hiroyuki Shigemura, Semiconductor Leading Edge Technologies, Inc. (Japan) Steering Committee Vice-Chairs: Morihisa Hoga, Dai Nippon Printing Co., Ltd. (Japan); Tsuneo Terasawa, Semiconductor Leading Edge Technologies, Inc. (Japan) Steering Committee: Takayuki Abe, NuFlare Technology Inc. (Japan); Naoya Hayashi, Dai Nippon Printing Co., Ltd. (Japan); Kunihiro Hosono, Renesas Technology Corp. (Japan); Naoyuki Ishiwata, Ltd. (Japan); Ichiro Kagami, Sony Corp. (Japan); Kokoro Kato, SII NanoTechnology Inc. (Japan); Toshio Konishi, Toppan Printing Co., Ltd. (Japan); Koichi Moriizumi, Lasertec Corp. (Japan); Takeshi Nakajima, KLA-Tencor Japan Ltd. (Japan); Masatoshi Oda, NTT-AT Nanofabrication Corp. (Japan); Yasushi Ohkubo, HOYA Corp. (Japan); Toshiyuki Takahashi, JEOL Ltd. (Japan); Hiroyoshi Tanabe, Corp. (Japan); Yoji Tonooka, Toppan Printing Co., Ltd. (Japan); Hidehiro Watanabe, Corp. (Japan); Kazuo Yokoyama, Cadence Design Systems, Inc. (Japan)

Program Committee Chair: Kunihiro Hosono, Renesas Technology Corp. (Japan) Program Committee Vice-Chairs: Kokoro Kato, SII NanoTechnology Inc. (Japan); Toshio Konishi, Toppan Printing Co., Ltd. (Japan) Program Committee: Akihiko Ando, NEC Electronics Corp. (Japan); Han-ku Cho, Sumsung Electronics Co., Ltd. (Korea); Curt Jackson, Toppan Photomasks, Inc. (USA); Jeff N. Farnsworth, Intel Corp. (USA); Thomas B. Faure, IBM Corp. (USA); Takamitsu Furukawa, Co., Ltd. (Japan); Brian J. Grenon, Grenon Consulting, Inc. (USA); Shigeru Hirukawa, Nikon Corp. (Japan); John Lin, Taiwan Semiconductor Manufacturing Co., Ltd. (Taiwan); Mark Ma, Photronics (USA); Hiroshi Mohri, Dai Nippon Printing Co., Ltd. (Japan); Koji Murano, Toshiba Corp. (Japan); Yoshikazu Nagamura, Renesas Technology Corp. (Japan); Yoshinori Nagaoka, KLA-Tencor Japan Ltd. (Japan); Yasutoshi Nakagawa, JEOL Ltd. (Japan); Nobuyuki Nishiguchi, Semiconductor Technology Academic Research Ctr. (Japan); Tomoyuki Okada, Fujitsu Ltd. (Japan); Hisatake Sano, Dai Nippon Printing Co., Ltd. (Japan); Frank Schellenberg, Mentor Graphics Corp. (USA); Yoshiyuki Sekine, Canon Inc. (Japan); Yasunari Sohda, , Ltd. (Japan); Kong Son, KLA-Tencor Corp. (USA); Osamu Suga, Semiconductor Leading Edge Technologies, Inc. (Japan); Minoru Sugawara, Sony Corp. (Japan); Yoji Takagi, Japan, Inc. (Japan); Yoichi Usui, HOYA Corp.(Japan); Hisashi Watanabe, Matsushita Electric Industrial Co., Ltd. (Japan)

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 3

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 3 33/12/09/12/09 10:02:0210:02:02 AMAM Conference 7379

Wednesday 8 April Poster Session Material & Process Opening Remarks Room: ...... Wed. 16.00 to 18.30 Room: ...... Wed. 09.00 to 09.10 The art of photomask materials for low-k1-193nm-lithography, M. Hashimoto, A. SESSION 1: Invited Session Kominato, H. , HOYA Corp. (Japan) ...... [7379-114] Evaluation of the fl atness effects of mask backing and orientation during Session Chairs: photomask pellicle mount, T. Mizoguchi, S. Akutagawa, Toppan Photomask, Inc. Room: ...... Wed. 09.10 to 10.40 (); M. Barrett, M. Caterer, B. Nolan, K. Racette, D. Plouffe, N. Zhou, IBM Corp. (United States) ...... [7379-61] 09.10: TBD TSMC (Keynote Presentation), ...... [7379-01] Evaluation for EAPSM life time by ArF pellicle characteristic durability, K. J. Seo, 09.40: Photomask 2008 Best Paper: plane inspection evaluated for J. S. Ryu, G. M. Jeong, S. C. Kang, S. C. Kim, C. Y. Kim, Hynix Semiconductor Inc. photomask production (Invited Paper), Emily E. Gallagher, Karen D. Badger, (Korea, Republic of) ...... [7379-62] Mark Lawliss, IBM Corp.; Yutaka Kodera, Toppan Electronics Inc. (Japan); Jaione Itrapu-Azpiroz, IBM Microelectronics Div.; Song Pang, Hongqin Zhang, Eugenia D. In situ selectivity monitor for dry etch of photomasks, T. Zhou, M. Grimbergen, Eugenieva, Christopher H. Clifford,Arosha W. Goonesekera, Yibin Tian, KLA-Tencor M. Chandrachood, J. Chen, I. Ibrahim, A. Kumar, Applied Materials, Inc. (United Inc...... [7379-02] States) ...... [7379-63] 10.10: TBD (Invited:EMLC) (Invited Paper), ...... [7379-03] Plasma optical emission analysis for chamber condition monitor, Z. Mao, T. Zhou, M. Grimbergen, D. Bivens, J. Chen, I. Ibrahim, A. Kumar, Applied Materials, Refreshment Break ...... 10.40 to 11.00 Inc. (United States) ...... [7379-64] Fine pattern fabrication property of binary mask and attenuated phase shift SESSION 2: Material & Process I mask, T. Yamazaki, Y. Kojima, M. Yamana, T. Haraguchi, T. Tanaka, Toppan Printing Co., Ltd. (Japan) ...... [7379-65] Session Chairs: Applied analytics on EAPSM Cr plasma etch optimization utilizing design Room: ...... Wed. 11.00 to 12.20 of experiment, M. J. Tian, E. Wang, Z. H. Zhu, Semiconductor Manufacturing International Corp. (China) ...... [7379-67] 11.00: Plasma characterization of Tetra™ III chrome etch system, M. Grimbergen, K. Yu, B. Leung, M. Chandrachood, A. Ouye, S. Singh, I. Ibrahim, A. Two-fl uid cleaning technology for advanced photomask, T. Kikuchi, N. Kumar, Applied Materials, Inc. (United States) ...... [7379-04] Kobayashi, Y. Kurkawa, H. Hiroso, M. Nonaka, Shibaura Mechatronics Corp. (Japan) 11.20: The novel plasma etching process for defect reduction in photomask Study of electric-fi eld-induced-development method, M. Terayama, H. Sakurai, fabrication, J. H. Lee, I. Y. Jang, Y. S. Jeong, B. H. Seung, S. Y. Moon, S. G. Woo, M. Sakai, M. Itoh, O. Ikenaga, Toshiba Corp. (Japan); H. Funakoshi, H. K. Cho, Co., Ltd. (Korea, Republic of) ...... [7379-05] Kyushu Ltd. (Japan); T. Shiozawa, S. Miyazaki, Y. Saito, Tokyo Electron Ltd. (Japan); N. Hayashi, Dai Nippon Printing Co., Ltd. (Japan) ...... [7379-68] 11.40: Etch characterization of binary mask dependence on mask material and resist thickness for 22nm mask fabrication, S. Nemoto, Toppan Photomasks, Inc. Two fl uid cleaning technology for advanced photomask, T. Kikuchi, N. (United States); T. B. Faure, R. Wistrom, S. Crawford, G. Reid, P. Bartlau, IBM Corp. Kobayashi, Y. Kurokawa, H. Hirose, M. Nonaka, Shirbaura Mechatronics Corp. (United States); T. Komizo, Toppan Photomasks, Inc. (United States); A. E. Zweber, (Japan) ...... [7379-115] IBM Corp. (United States) ...... [7379-06] 12.00: A complete hardmask solution on Tetra mask etch system, K. Yu, Writing Technology B. Leung, M. Grimbergen, M. Chandrachood, E. Gabriel, J. Tran, B. Eaton, A. Room: ...... Wed. 16.00 to 18.30 Sabharwal, S. Singh, B. Wu, I. Ibrahim, A. Kumar, Applied Materials, Inc. (United States) ...... [7379-07] Improvement of data transfer speed and development of an EB data verifi cation system in a VSB mask writer, O. Wakimoto, H. Manabe, H. Hoshi, N. Samoto, T. Lunch Break ...... 12.20 to 13.20 Komagata, Y. Nakagawa, JEOL Ltd. (Japan); M. Yamabe, Association of Super- Advanced Electronics Technologies (Japan) ...... [7379-70] SESSION 3: Material & Process II Study of line end shortening control by advanced laser writer, T. Chin, Nanya Session Chairs: Technology Corp. (Taiwan) ...... [7379-71] Quantifi cation of e-beam proximity effects using a virtual direct write Room: ...... Wed. 13.20 to 15.40 environment, M. Schulz, Synopsys GmbH (Germany); P. Brooker, Synopsys, Inc. 13.20: Effect of pellicle frame and adhesive material on fi nal photomask (United States) ...... [7379-72] fl atness, M. Barrett, M. Caterer, D. Hasselbeck, B. Nolan, K. Racette, N. Zhou, IBM Corp. (United States); T. Mizoguchi, S. Akutagawa, Toppan Electronics, Inc. (United Metrology States); G. Dickey, T. Shirasaki, ShinEtsu Corp. (Japan) ...... [7379-08] Room: ...... Wed. 16.00 to 18.30 13.40: Adhesion control between resist patterns and photomask blank surfaces, An evaluation of a new side-wall-angle measurement technique for mask M. Kurihara, Dai Nippon Printing Co., Ltd. (Japan) and Tokyo Institute of Technology patterns by CD-SEM, (Japan); S. Hatakeyama, K. Yoshida, D. Totsukawa, Y. Morikawa, H. Mohri, M. Hoga, H. Hakii, I. Yonekura, M. Kawashita, K. Tanaka, Y. Okumoto, N. Hayashi, Dai Nippon Printing Co., Ltd. (Japan); H. Ohtani, M. Fujihira, Tokyo Toppan Printing Co., Ltd. (Japan) ...... [7379-38] Institute of Technology (Japan) ...... [7379-09] How much is enough? an analysis of CD measurement amount for mask characterization, 14.00: Investigation of the develop process for high precision patterning, J. A. Ullrich, J. Richter, Advanced Mask Technology Ctr. GmbH Co. Watanabe, T. Yamazaki, M. Tanabe, Toppan Printing Co., Ltd. (Japan); T. Komizo, KG (Germany) ...... [7379-74] Toppan Photomask, Inc. (United States); A. E. Zweber, A. C. Smith, IBM Corp. CD performance evaluation according to advanced marking parameter, S. K. (United States) ...... [7379-10] Yoon, S. Y. Kim, S. H. Yun, J. H. Byun, K. M. Yeon, C. Lim, S. J. Choi, L. J. Kim, 14.20: Sulfur-free photomask processing with advanced plasma-based strip Toppan Photomasks Korea, Ltd. (Korea, Republic of) ...... [7379-75] and clean technology, T. Liu, C. H. Jeon, R. Yalamanchili, J. Papanu, R. Gouk, Phase-shift/transmittance measurements in a micropattern using MPM193EX, Applied Materials, Inc. (United States) ...... [7379-11] H. Nozawa, T. Ishida, S. Kato, O. Sato, K. Miyazaki, K. Takehisa, N. Awamura, H. 14.40: Study on surface integrity in photomask resist strip and fi nal cleaning Takizawa, H. Kusunose, Lasertec Corp. (Japan) ...... [7379-76] processes, S. Singh, Hamatech USA Inc. (United States); S. Helbig, P. Dress, HamaTech APE (Germany); U. Dietze, Hamatech USA Inc. (United States) ...... [7379-12] 15.00: Mask cleaning process evaluation and modeling, P. Nesladek, Advanced Mask Technology Ctr. (Germany); S. Osborne, Sigmameltec Ltd. (Japan) ...... [7379-13] 15.20: Haze growth on reticles - when clean is not enough, S. McDonald, D. Chalom, J. McMurran, Photronics, Inc. (United States) ...... [7379-14] Refreshment Break ...... 15.40 to 16.00

4 spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 4 33/12/09/12/09 10:02:0210:02:02 AMAM Conference 7379

Inspection Tools & Technolgies NIL & Patterning Media Room: ...... Wed. 16.00 to 18.30 Room: ...... Wed. 16.00 to 18.30 Newly-developed function of NPI series mask inspection system, K. Takahara, Process development of quartz wafer molds for patterned media, T. Chiba, M. H. Tsuchiya, H. Nagai, H. Hashimoto, M. Isobe, K. Usuda, NuFlare Technology, Inc. Fukuda, M. Ishikawa, K. Itoh, M. Kurihara, M. Hoga, Dai Nippon Printing Co., Ltd. (Japan); N. Kikuiri, Y. Tsuji, S. Imai, I. Isomura, K. Yamashita, Y. Tamura, R. Hirano, (Japan) ...... [7379-98] Advanced Mask Inspection Technology, Inc. (Japan) ...... [7379-78] CAR resolution enhancement for imprinting mold fabrication, K. Hamamoto, An open-architecture approach to defect analysis software for mask inspection H. Iayam, S. Kishimoto, T. Kagatsume, T. Sato, H. Kobayashi, HOYA Corp. systems, M. Pereira, R. Pai, M. M. Reddy, R. M. Krishna, SoftJin Technologies Pvt. (Japan)) ...... [7379-99] Ltd. (India) ...... [7379-79] Progress of UV-NIL template making, T. Hiraka, J. Mizuochi, Y. Sakai, S. Yusa, S. Sasaki, Y. Morikawa, H. Mohri, N. Hayashi, Dai Nippon Printing Co., Ltd. Repairing Tools & Technologies (Japan) ...... [7379-100] Room: ...... Wed. 16.00 to 18.30 Mask-related Lithography The study of defect detection method for 32nm technology node and beyond, K. Seki, M. Shibita, S. Akima, Toppan Printing Co., Ltd. (Japan) ...... [7379-80] Room: ...... Wed. 16.00 to 18.30 Variable sensitivity detection (VSD) technology for screening SRAF nuisance Model based assist feature insertion for sub-40nm memory device, S. Suh, S. defects, K. Yamashita, N. Harabe, M. Hirono, Y. Tamura, I. Isomura, Y. Tsuji, Lee, S. J. Lee, S. W. Choi, W. Han, SAMSUNG Electronics Co., Ltd. (Korea, Advanced Mask Inspection Technology, Inc. (Japan); E. Matsumoto, NuFlare Republic of) ...... [7379-101] Technology, Inc. (Japan) ...... [7379-81] A study of humidity change effect for 193nm ArF reticle time-dependent haze A study of mask inspection method with pattern priority and printability check, resistance, F. S. Chu, S. H. Chiou, W. J. Tseng, Rexchip Electronics Corp. M. Tokita, H. Tsuchiya, T. Inoue, T. Inoue, M. Yamabe, Association of Super- (Taiwan) ...... [7379-102] Advanced Electronics Technologies (Japan) ...... [7379-82] Investigation of chrome migration under ArF illumination, J. M. Kim, M. Patil, I. B. A high sensitivity and fast throughput photomask inspection system: Hur, S. S. Choi, PKL Co., Ltd. (Korea, Republic of) ...... [7379-103] TeraScanXR, B. Mu, A. Dayal, P. Lim, A. Goonesekera, KLA-Tencor Corp. (United Topography effect modeling in OPC, J. Huang, X. Zheng, A. Kazarian, States) ...... [7379-83] L. Zavyalova, Synopsys, Inc. (United States) ...... [7379-104] A noble evaluation method for repaired area utilizing SEM images, K. Morishita, Fully-integrated mask to wafer computational lithography techniques for 32nm S. Kanamitsu, T. Hirano, S. Tanaka, Toshiba Corp. (Japan) ...... [7379-84] to 22nm nodes, V. Kamat, A. Sezginer, B. Yenikaya, J. Carrero, T. Coskun, O. Semi-automated repair verifi cation of aerial images, E. Poortinga, Carl Zeiss SMT Alexandrov, C. Chuyeshov, Cadence Design Systems, Inc. (United Inc. (United States); T. Scherubl, R. Richter, Carl Zeiss SMS GmbH States) ...... [7379-105] (Germany) ...... [7379-85] Repairing clear defect of Cr-mask using UV laser, J. Chen, J. Shao, J. Yang, EDA, DFM, & MDP Beijing Univ. of Technology (China) ...... [7379-86] Room: ...... Wed. 16.00 to 18.30 Mask defect and haze inspection method to modifi cation, S. P. Lu, S. H. Chiou, Optimizing computing resources for optimal throughput in a mask data W. J. Tseng, Rexchip Electronics Corp. (Taiwan) ...... [7379-87] preparation fl ow, R. Bennett, W. Zhang, E. Sahouria, A. Bowhill, P. Ghosh, S. Schulze, Mentor Graphics Corp. (United States) ...... [7379-107] EUVL Mask Model-based assist features, B. Yenikaya, O. Alexandrov, S. Chen, A. Liu, A. Room: ...... Wed. 16.00 to 18.30 Sezginer, Cadence Design Systems, Inc. (United States) ...... [7379-108] Novel absorber materials for EUV lithography mask, T. Matsuo, K. Tanaka, Y. Improvement of simulation accurancy using a non gaussian kernel, H. Okumoto, Toppan Printing Co., Ltd. (Japan) ...... [7379-88] Futotsuya, T. Yamamoto, Fujitsu Microelectronics Ltd. (Japan); S. Yoshikaw, Fujitsu VLSI Ltd. (Japan); T. Chijimatsu, S. Asai, Fujitsu Microelectronics Ltd. The impact of mask design on EUV imaging, T. Schmoeller, Synopsys GmbH (Japan) ...... [7379-116] (Germany); J. K. Tyminski, Nikon Precision Inc. (United States); W. Demmerle, Synopsys GmbH (Germany) ...... [7379-89] Evaluation of mask data format standard OASIS.MASK developed for mask tools, T. Suzuki, Dai Nippon Printing Co., Ltd. (Japan); Y. Nagaoka, KLA-Tencor Study of CD variation at EUV mask fabrication occurred by electric conduction Japan (Japan); V. Vellanki, W. Ruch, KLA-Tencor Corp. (United States); M. Mori, K. from top to back side, K. Takai, K. Murano, K. Hagihara, M. Itoh, Toshiba Corp. Hattori, K. Hosono, Renesas Technology Corp. (Japan); S. Narukawa, M. Hoga, Dai (Japan); T. Abe, T. Adachi, H. Akizuki, T. Takikawa, H. Mohri, N. Hayashi, Dai Nippon Nippon Printing Co., Ltd. (Japan) ...... [7379-109] Printing Co., Ltd. (Japan) ...... [7379-90] Utilization of design intent information for mask manufacturing (II), K. Kato, Actinic mask inspection using an extreme ultraviolet microscope, K. Takase, Y. M. Endo, T. Inoue, M. Yamabe, Association of Super-Advanced Electronics Kamaji, H. Iguchi, Univ. of Hyogo (Japan) and CREST-JST (Japan); K. Hamamoto, Technologies (Japan) ...... [7379-110] HOYA Corp. (Japan) and CREST-JST; T. Harada, T. Watanabe, H. Kinoshita, Univ. of Hyogo (Japan) and CREST-JST (Japan) ...... [7379-91] Study of the pattern matching method in verifi cation of OPC pattern, M. Naoe, T. Miyauchi, Fujitsu VLSI Ltd. (Japan); S. Makino, K. Suzuki, M. Oseki, T. Okada, Evaluation of EUVL mask pattern defect inspection using 199nm inspection Fujitsu Microelectronics Ltd. (Japan) ...... [7379-111] tool with superresolution method, H. Shigemura, Semiconductor Leading Edge Technologies, Inc. (Japan)...... [7379-92] Strategy & Business FIB mask repair technology for EUV mask, T. Amano, Y. Nishiyama, H. Shigemura, T. Terasawa, O. Suga, Semiconductor Leading Edge Technologies, Inc. Room: ...... Wed. 16.00 to 18.30 (Japan); K. Shiina, F. Aramaki, A. Yasaka, SII NanoTechnology Inc. (Japan) [7379-93] Organized DFM, T. Sato, Toshiba Co., Ltd. (Japan); M. Honma, NEC Electronics Nano tweezers as a particle removal tool for EUVL masks, T. Umemoto, M. Corp. (Japan); H. Itoh, Sharp Corp. (Japan); N. Iriki, Renesas Technology Yasutake, F. Aramaki, SII NanoTechnology Inc. (Japan); H. Shigemura, O. Suga, Corp. (Japan); S. Kobayashi, Toshiba Co., Ltd. (Japan); N. Miyazaki, Fujitsu Semiconductor Leading Edge Technologies, Inc. (Japan) ...... [7379-94] Microelectronics Ltd. (Japan); T. Onodera, Oki Semiconductor Co., Ltd. (Japan); H. Suzuki, Semiconductor Co., Ltd. (Japan); N. Yoshioka, Renesas Technology EUV-refl ectometry for high volume manufacturing of EUV-masks, C. Wies, A. Corp. (Japan); S. Arima, Univ. of Tsukuba (Japan); K. Kadota, Advanced Industrial Farahzadi, R. Lebert, AIXUV GmbH (Germany) ...... [7379-95] Science and Technology (Japan) ...... [7379-112] Go proton: investigation on mask patterning for the 22nm node using a ML2 Automated reticle inspection data analysis for wafer fabs, Bryan Reese, KLA- multi beam system, J. Butschke, M. Irmscher, H. Sailer, IMS Chips (Germany); H. Tencor Corp. (United States) ...... [7379-113] Loeschner, E. Platzgummer, IMS Nanofabrication AG (Austria) ...... [7379-96] Novel methods of writing complex masks, N. W. Parker, Y. Sato, Multibeam Systems Inc. (United States) ...... [7379-97]

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 5

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 5 33/12/09/12/09 10:02:0210:02:02 AMAM Conference 7379

Thursday 9 April

Sessions 5A and 5B run concurrently.

SESSION 5A: EUVL Mask I SESSION 5B: NIL & Patterened Media Session Chairs: Session Chairs: Room: A ...... Thurs. 09.00 to 10.30 Room: B ...... Thurs. 09.00 to 10.30 09.00: SEMATECH EUVL mask program status (Invited Paper), H. Yun, F. 09.00: TBD (Invited Paper), ...... [7379-19] Goodwin, S. Huh, K. Orvek, B. Cha, A. Rastegar, P. Kearney, SEMATECH (United 09.30: Si-mold fabrication for patterned media using high-resolutin chemically States) ...... [7379-15] amplifi ed resists, M. Fukuda, T. Chiba, M. Ishikawa, K. Ito, M. Kurihara, M. Hoga, 09.30: Actinic EUVL mask blank inspection and phase defect characterization, Dai Nippon Printing Co., Ltd. (Japan) ...... [7379-20] T. Yamane, T. Iwasaki, T. Tanaka, T. Terasawa, O. Suga, MIRAI Semiconductor 09.50: ZEP520A resolution enhancement for imprinting mold fabrication, H. Leading Edge Technologies, Inc. (Japan); T. Tomie, Institute of Advanced Iyama, K. Hamamoto, S. Kishimoto, T. Kagatsume, T. Sato, H. Kobayashi, HOYA Industrial Science and Technology (Japan) ...... [7379-16] Corp. (Japan) ...... [7379-21] 09.50: EUVL mask blank inspection capability status update at Intel, A. Ma, 10.10: Inspection and repair for imprint lithography at 32 nm, K. S. Selinidis, T. Liang, S. J. Park, G. Zhang, Intel Corp. (United States); T. Tamura, K. Omata, E. Thompson, S. V. Sreenivasan, D. J. Resnick, Molecular Imprints, Inc. (United Lasertec Corp. (Japan) ...... [7379-17] States); M. Pritschow, J. Butschke, M. Irmscher, H. Sailer, Institut für Mikroelektronik 10.10: Thorough characterization of an EUV mask, H. Mizuno, Toshiba America Stuttgart (Germany); H. Dobberstein, NaWoTec GmbH (Germany) ...... [7379-22] Electronic Components, Inc. (United States); G. McIntyre, C. S. Koay, IBM Advanced Refreshment Break ...... 10.30 to 10.50 Lithography Research (United States); M. Burkhardt, IBM Corp. (United States); L. He, SEMATECH, Inc. (United States); J. Hartley, C. Johnson, S. Raghunathan, College of Nano Science and Equipment (United States); K. Goldberg, I. Mochi, Lawrence Berkeley National Lab. (United States); B. LaFontaine, O. Wood II, , Inc. (United States) ...... [7379-18] Refreshment Break ...... 10.30 to 10.50

Sessions 6A and 6B run concurrently.

SESSION 6A: EUVL Mask II SESSION 6B: Strategy and Business Session Chairs: Session Chairs: Room: A ...... Thurs. 10.50 to 12.20 Room: B ...... Thurs. 10.50 to 12.30 10.50: Implications of image plane line-edge roughness requirements on 10.50: TBD (Invited Paper), ...... [7379-27] extreme ultraviolet mask specifi cations (Invited Paper), P. P. Naulleau, S. A. 11.20: Smart way to determine and guarantee mask specifi cations - trade-off George, Lawrence Berkeley National Lab. (United States) ...... [7379-23] between cost and quality (Invited Paper), F. Shigemitsu, Toshiba Corp. 11.20: Mask-induced aberration in EUV lithography, Y. Nakajima, T. Sato, R. (Japan) ...... [7379-28] Inanami, S. Kyo, T. Nakasugi, T. Higashiki, Toshiba Co., Ltd. (Japan) . . . . [7379-24] 11.50: The economics of automation for the design-to-mask interface, W. Erck, 11.40: Evaluation of e-beam correction strategy for compensation of EUVL Wes Erck & Associates (United States) ...... [7379-29] mask nonfl atness, K. Orvek, J. Sohn, SEMATECH North (United States) .[7379-25] 12.10: Novel mask qualifi cation methodology with -to-database wafer 12.00: Investigation of EUV mask defectivity via full-fi eld printing and inspection inspection system, Y. Hagio, I. Nagahama, H. Mukai, K. Hashimoto, Toshiba Co., on wafer, R. Jonckheere, N. Stepanenko, A. Myers, M. Lamantia, G. Marcuccilli, F. Ltd. (Japan) ...... [7379-30] Iwamoto, D. Van Den Heuvel, A. M. Goethals, K. Ronse, IMEC (Belgium) . [7379-26] Lunch Break ...... 12.30 to 13.20 Lunch Break ...... 12.20 to 13.30

SESSION 7: EDA, DFM, & MDP Session Chairs: Room: ...... Thurs. 13.20 to 15.30 13.20: TBD (Invited Paper), ...... [7379-31] 13.50: Reduction of MRC error review time through the simplifi ed and classifi ed MRC result, C. W. Lee, F. F. Chen, J. C. Lin, Toppan Chunghwa Electronics Co., Ltd. (Taiwan) ...... [7379-32] 14.10: Multi-core advantages for mask data preparation, J. Yeap, J. Nogatch, Synopsys, Inc. (United States) ...... [7379-33] 14.30: GPU-accelerated inverse lithography technique, J. Zhang, W. Xiong, Y. Deng, Y. Peng, Z. Yu, Tsinghua Univ. (China); M. C. Tsai, Brion Technologies, Inc. (United States) ...... [7379-34] 14.50: Effect of stepper signature on OPC accuracy, H. T. Huang, A. Sezginer, Cadence Design Systems, Inc. (United States); J. K. Tyminsky, Nikon Precision Inc. (United States) ...... [7379-35] 15.10: Lithography compliance check considering neighboring cell structures for robust cell design, M. Miyairi, S. Nojima, S. Maeda, K. Kodera, R. Ogawa, S. Tanaka, Toshiba Co., Ltd. (Japan) ...... [7379-36] Refreshment Break ...... 15.30 to 15.50

6 spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 6 33/12/09/12/09 10:02:0210:02:02 AMAM Conference 7379

SESSION 8: Metrology SESSION 11: Inspection and Repair II Session Chairs: Session Chairs: Room: ...... Thurs. 15.50 to 17.40 Room: ...... Fri. 13.20 to 14.40 15.50: TBD (Invited Paper), ...... [7379-37] 13.20: Airborne molecular contamination detection method for photomasks and ultra-purging decontamination method, H. Kambara, A. Favre, M. Davnet, Adixen 16.20: A new optical measurement method for verifying the exact change of by Alcatel-Lucent Company (France); D. Rodier, Particle Measuring Systems, Inc. thin fi lms on the QZ blanks with ellipsometer, S. Moon, S. Kim, G. Bang, B. Kim, (United States) ...... [7379-51] SAMSUNG Electronics Co., Ltd. (Korea, Republic of) ...... [7379-73] 13.40: A new approach to reticle defect management, C. Chen, P. Chan, C. M. 16.40: Calibration strategies for precision stages in state-of-the-art registration Liu, C. Yu, KLA-Tencor Taiwan (Taiwan); M. Van Riet, N. Gaspar, KLA-Tencor Corp. metrology, A. Huebel, U. Schellhorn, M. Arnz, G. Klose, Carl Zeiss SMT AG (United States); Y. M. Lu, W. H. Hsu, Y. Gau, K. Hsiao, Nanya Technology Corp. (Germany); D. Beyer, Carl Zeiss SMS GmbH (Germany) ...... [7379-39] (Taiwan) ...... [7379-52] 17.00: In-die mask registration metrology for 32nm node DPT lithography, 14.00: Advances in post AFM repair cleaning of photomask with CO cryogenic F. Laske, K. Adam, M. Heiden, K. Roeth, J. Bender, A. Boesser, KLA-Tencor 2 aerosol technology, C. Bowers, J. Zhuge, S. Askin, I. Varghese, A. Campbell, M. (Germany); K. Rinn, A. Schepp, University of Applied Science Giessen Balooch, P. Cheng, W. Brandt, Eco-Snow Systems, LLC (United States) . [7379-53] (Germany) ...... [7379-40] 14.20: Selective removal of persistent particles with no photomask damage, T. 17.20: Phase behavior through pitch and duty cycle and its impact on process Robinson, R. Bozak, R. White, M. Archuletta, D. Lee, RAVE LLC (United window, U. Buttgereit, R. Birkner, D. Seidel, S. Perlitz, Carl Zeiss SMS GmbH States) ...... [7379-54] (Germany); V. Philipsen, P. De Bisschop, IMEC (Belgium) ...... [7379-41] Refreshment Break ...... 14.40 to 15.00 Friday 10 April SESSION 12: Mask-related Lithography SESSION 9: Writing Technology Session Chairs: Session Chairs: Room: TBD ...... Fri. 15.00 to 17.10 Room: ...... Fri. 09.00 to 10.20 15.00: TBD (Invited Paper), ...... [7379-55] 09.00: E-beam shot count estimation at 32 nm HP and beyond, J. Choi, S. Lee, 15.30: Comparison of lithographic performance between MoSi binary mask and D. Nam, B. Kim, S. Woo, H. Cho, SAMSUNG Electronics Co., Ltd. (Korea, Republic MoSi attenuated PSM, M. Yamana, T. Nagatomo, Y. Tonooka, Toppan Printing Co., of) ...... [7379-42] Ltd. (Japan); M. Lamantia, Toppan Photomasks, Inc. (United States) . . . . .[7379-56] 09.20: Present status of multi-column cell exposure system for mask writing, 15.50: Trade-off between lithographic performance and mask cost of masks H. Yasuda, A. Yamada, M. Yamabe, Association of Super-Advanced Electronics made by inverse lithography technology, B. Kim, S. Suh, S. Jung, S. Woo, H. Cho, Technologies (Japan) ...... [7379-43] SAMSUNG Electronics Co., Ltd. (Korea, Republic of); V. Tolani, D. Irby, D. Chen, D. 09.40: Mask and wafer evaluation of Sigma7500 pattern generator applied to Kim, K. Baik, B. Gleason, Luminescent Technologies, Inc. (United 65nm logic metal and via layers, I. Shi, F. Liu, E. Guo, Q. Liu, L. Zhu, S. Zhao, States) ...... [7379-57] Semiconductor Manufacturing International Corp. (China) ...... [7379-44] 16.10: Double patterning addressing imaging challenges for near and sub 10.00: Reduction of resist charging effect by EB reticle writer EBM-7000, M. k=0.25 node logic devices, B. Seo, D. Kang, M. Noh, Y. Woo, S. Lee, SAMSUNG Saito, K. Ugajin, O. Ikenaga, Toshiba Corp. (Japan) ...... [7379-45] Electronics Co., Ltd. (Korea, Republic of); K. Lucas, C. Cork, G. Luk Pat, X. Lee, S. Lee, Synopsys Inc...... [7379-58] Refreshment Break ...... 10.20 to 10.40 16.30: Novel OPC and DfM methodology in 3-D memory device, T. Taguchi, SESSION 10: Inspection & Repair I T. Kotani, H. Mukai, H. Mashita, Toshiba Corp. Semiconductor Company (Japan); K. Iyanagi, Toshiba Corp. (Japan); K. Hashimoto, S. Inoue, Toshiba Corp. Session Chairs: Semiconductor Company (Japan) ...... [7379-59] Room: ...... Fri. 10.40 to 12.20 16.50: High sensitivity electric fi eld monitoring system for control of fi eld- induced CD degradation in reticles (EFM), G. Rider, Microtome Precision, Inc. 10.40: Mask-LMC: lithographic simulation and defect detection from high- (United Kingdom); T. Sebald, ESTION GmbH & Co. KG (Germany) ...... [7379-60] resolution mask images, G. Chen, J. N. Wiley, J. Wang, R. C. Howell, S. Bai, Y. Chen, F. Chen, Y. Cao, Brion Technologies, Inc. (United States); T. Takigawa, T. Kurosawa, Brion Technologies KK (Japan); H. Tsuchiya, K. Usuda, M. Tokita, NuFlare Technology, Inc. (Japan); F. Ozaki, N. Kikuiri, Y. Tsuji, Advanced Mask Inspection Technology, Inc. (Japan) ...... [7379-46] 11.00: Manufacturing implementation of wafer plane inspection on high MEEF devices, H. Baik, J. Choi, Y. Kim, H. Cho, SAMSUNG Electronics Co., Ltd. (Korea, Republic of); V. Vellanki, KLA-Tencor Corp. (United States) ...... [7379-47] 11.20: Intensity based CD uniformity (iCDU) measurement on the TeraScan platform, V. Vellanki, KLA-Tencor Corp. (United States) ...... [7379-77] 11.40: High MEEF reticle inspection strategy for 45nm technology and beyond, A. Tchikoulaeva, AMD Saxony LLC & Co. KG (Germany); R. Kirsch, AMD Fab 36 LLC & Co. KG (Germany); S. Winkelmeier, Advanced Mask Technology Ctr. GmbH & Co. KG (Germany) ...... [7379-49] 12.00: Mask defect auto-disposition based on aerial image in mask production, L. Pang, D. Peng, J. Sun, Luminescent Technologies, Inc. (United States) [7379-50] Lunch Break ...... 12.20 to 13.20

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 7

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 7 33/12/09/12/09 10:02:0210:02:02 AMAM General Information Photomask Japan Symposium on Photomask and NGL Mask Technology XVI Annex Hall, Pacifi co Yokohama 1-1-1 Minato Mirai, Nishi-Ku, Yokohama 220-0012 Phone:+81-45-221-2155

Registration and Information Hours Insurance Registration fee will increase to ¥60,000 March 23, 2009 onwards. The organizer cannot accept responsibility for accidents that might occur. Pre-registration is highly recommended due to the expected large number Delegates are encouraged to purchase travel insurance before leaving your of participants. home country. Insurance plans typically cover accidental loss of belong- 1 April onwards, all attendees must register on-site. ings, medical costs in case of injury or illness, and other possible risks of On-site registration is accepted following Registration Desk Opening international travel. Hours. Name badges are required for admittance to the Symposium and the Tech- Climate nical Exhibition. The temperature in Yokohama during the period of the conference ranges between 12°C and 20°C. Registration Desk Located at 2F, Annex Hall, Pacifi co Yokohama Currency Exchange Tuesday, April 7 ...... 17.00 to 18.00 Only (¥) is acceptable at regular stores and restaurants. Cer- Wednesday, April 8 ...... 8.00 to 18.00 tain foreign currencies and credit cards may be accepted at a limited num- Thursday, April 9 ...... 8.30 to 18.00 ber of hotels, restaurants and souvenir shops. You can buy yen at foreign Friday, April 10 ...... 8.30 to 15.30 exchange banks and other authorized money exchangers on presentation of your passport. Session Registration Desk for Speakers Located side of the Session room at Annex Hall, Pacifi co Yokohama Traveler’s Checks and Credit Cards Wednesday, April 8 ...... 8.00 to 15.00 Traveler’s checks are accepted only by leading banks and major hotels in Thursday, April 9 ...... 8.30 to 16.00 principal cities, and the use of traveler’s checks in Japan is not as popular Friday, April 10 ...... 8.30 to 15.00 as in some other countries. VISA, MasterCard, Diners Club, and American Express are widely accepted at hotels, department stores, shops, restau- Passport and Visa rants and nightclubs. To visit Japan, you must have a valid passport. A visa is required for citi- zens of countries that do not have visa-exempt agreements with Japan. Tipping Please contact the nearest Japanese Embassy or Consulate for visa re- In Japan, tips are not necessary anywhere, even at hotels and restaurants. quirements. Electricity Duty Free Import Electric current is uniformly 100 volts, AC, throughout Japan, but with two Personal effects and professional equipment can be brought into Japan different cycles: 50 in eastern Japan including Yokohama and Tokyo, and duty free as long as their contents and quantities are deemed reasonable by 60 in western Japan including Kyoto and Osaka. Leading hotels in major the customs offi cer. You can also bring in 400 cigarettes, 500 grams of to- cities have two outlets of 100 and 220 volts but their sockets usually accept bacco or 100 cigars; 3 bottles of alcoholic beverages; 2 ounces of perfume; a two-leg plug only. and gifts and souvenirs whose total market price is less than 200,000 yen or its equivalent. There is no allowance for tobacco or alcoholic beverages for persons aged 19 years or younger. Strictly prohibited are fi rearms and other Shopping types of weapons, and narcotics. Shops and other sales outlets in Japan are generally open on Saturdays, Sundays and national holidays as well as weekdays from 10.00 to 20.00. Department stores, however, are closed during one weekday, differing by store, and certain specialty shops may not open on Sundays and national holidays.

For information www.spie.org/jpm

8 spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 8 33/12/09/12/09 10:02:0210:02:02 AMAM Hotel Accommodations

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 9

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 9 33/12/09/12/09 8:10:358:10:35 AMAM Hotel Accommodations

10 spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 1100 33/12/09/12/09 8:10:358:10:35 AMAM Application for Hotel Accommodations

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 11

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 1111 33/12/09/12/09 8:10:358:10:35 AMAM Registration Information

12 spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 1122 33/12/09/12/09 8:10:358:10:35 AMAM Registration Information

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 13

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 1133 33/12/09/12/09 8:10:368:10:36 AMAM Access Map

14 spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 1144 33/12/09/12/09 8:10:368:10:36 AMAM Access Map

spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171 15

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 1155 33/12/09/12/09 8:10:368:10:36 AMAM Non-Profi t Org. P.O. Box 10 U.S. Postage Bellingham, WA 98227-0010 USA Paid SPIE

Technical Program Photomask Japan Symposium on Photomask and NGL Mask Technology XVI Conferences: 8–10 April 2009 Annex Hall, Pacifi co Yokohama Yokohama, Japan

NETWORK WITH PEERS — HEAR THE LATEST RESEARCH

For information www.spie.org/jpm

16 spie.org/jpm · [email protected] · TEL: +1 360 676 3290 · +1 888 504 8171

JJPM09PM09 AAdvance-Finaldvance-Final vv5.indd5.indd 1166 33/12/09/12/09 8:10:368:10:36 AMAM