Cyan R 0 G 169 B 224

Black R 20 G 20 B 20

Gray R 45 G 45 Medium-term Management Plan B 45 White Progress and TEL Initiatives R 255 G 255 B 255

Magenta May 31, 2017 R 218 G 24 B 132 Toshiki Kawai Purple R 128 Representative Director, President & CEO G 49 B 167

Turquoise R 0 G 178 B 169

Green R 120 G 190 B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 B 19 Cyan R 0 G 169 B 224 Black FY2017 Financial Highlights R 20 G 20 B 20 Operating Income and Net Sales and Gross Profit Margin Net Income and ROE Gray Operating Margin R 45 (Billion Yen) (Billion Yen) (Billion Yen) G 45 900 200 19.5% 20.0% 150 B 45 Net sales Operating income 799.7 17.6% Net income 19.1% White Gross profit margin Operating margin ROE R 255 155.6 G 255 663.9 14.4% B 255 150 15.0% 100 612.1 613.1 13.0% Magenta 600 39.6% 40.2% 40.3% 11.8% 116.7 R 218 497.2 G 24 B 132 33.0% 31.9% 100 10.0% 50 115.2 88.1 Purple 71.8 77.8 R 128 G 49 300 1.0% B 167 5.3% 6.0 50 5.0% 0 Turquoise 2.5% 32.2 -19.4 R 0 G 178 12.5 B 169 -3.3% 0 0 Green 0.0% -50 FY2013 FY2014 FY2015 FY2016 FY2017 FY2013 FY2014 FY2015 FY2016 FY2017 FY2013 FY2014 FY2015 FY2016 FY2017 R 120 G 190 B 32 . Net sales increased by 20% YoY, highest ever SPE* sales Yellow R 238 G 220 . Set new record highs for GPM, OPM and net income B 0

Orange . ROE of 19.1% (+6.1pts YoY). Further increased capital efficiency R 255 G 106 CORP IR / May 31, 2017 * SPE: Production Equipment 2 B 19 Cyan R 0 G 169 B 224 Black FY2018 Financial Estimates R 20 (Billion Yen) G 20 B 20 FY2018 (Estimates) Gray FY2017 R 45 st nd Full year G 45 (Actual) 1 half 2 half Full year B 45 YoY change White Net sales R 255 799.7 480.0 500.0 980.0 +22.5% G 255 B 255 SPE 749.8 451.0 459.0 910.0 +21.4% Magenta * R 218 FPD 49.3 29.0 41.0 70.0 +41.7% G 24 B 132 Gross profit 322.2 202.0 210.0 412.0 +89.7 Purple Gross profit margin R 128 40.3% 42.1% 42.0% 42.0% +1.7pts G 49 B 167 Operating income 155.6 104.0 112.0 216.0 +60.3 Turquoise Operating margin R 0 19.5% 21.7% 22.4% 22.0% +2.5pts G 178 B 169 Net income attributable to owners of parent 115.2 79.0 84.0 163.0 +47.7 Green R 120 G 190 Net income per share (Yen) B 32 702.26 481.48 511.96 993.44 +291.18 Yellow R 238 Expect sales growth to exceed market growth, G 220 B 0

Orange generating record high profits for second consecutive year R 255 G 106 CORP IR / May 31, 2017 * FPD: Flat Panel Display Production Equipment 3 B 19 Cyan R 0 G 169 B 224 Black Current Progress with Medium-term Financial Targets R 20 G 20 B 20

Gray R 45 Medium-term financial targets G 45 FY2015 FY2016 FY2017 FY2018 B 45 WFE* (Actual) (Actual) (Actual) (Estimate) White R 255 G 255 Market size $31.9B $31.4B $35B $38B $30B $37B B 255

Magenta R 218 Net sales ¥613.1B ¥663.9B ¥799.7B ¥980.0B ¥720.0B ¥900.0B G 24 B 132 Operating Purple 14.4% 17.6% 19.5% 22.0% 20% 25% R 128 G 49 margin B 167

Turquoise R 0 ROE 11.8% 13.0% 19.1% - 15% 20% G 178 B 169

Green R 120 G 190 B 32 Steady improvement in results. Yellow R 238 Continue to focus on improving profit margins G 220 B 0 * WFE ( Fab Equipment): The semiconductor production process can be divided into two sequential sub-processes: front-end (wafer fabrication) and back-end (assembly and test) Orange production. WFE is used in the front-end production process. Equipment for wafer-level packaging is not included in the WFE market size here. R 255 G 106 CORP IR / May 31, 2017 4 B 19 Cyan R 0 G 169 B 224 Black Outperform the Market R 20 G 20 B 20 Gray . Continue to achieve earnings increases greater than market R 45 G 45 B 45 growth White R 255 G 255 FY2017 results (compared to FY2016) B 255 * Magenta ⇒ TEL sales growth +20.4% (WFE market growth +11.5%) R 218 G 24 Operating income growth +33.3% B 132 Purple R 128 G 49 B 167 FY2018 plan (compared to FY2017) Turquoise * R 0 ⇒ TEL sales growth +22.5% (WFE market growth +8.6%) G 178 B 169 Operating income growth +38.7% Green * WFE market growth rates are for the calendar year R 120 G 190 B 32

Yellow . Expand market share for etching system, thermal processing R 238 G 220 B 0 system, and cleaning system

Orange R 255 G 106 CORP IR / May 31, 2017 5 B 19 Cyan R 0 G 169 B 224 Black Era of IoT R 20 G 20 B 20

Gray R 45 G 45 B 45 Social White media R 255 G 255 Autonomous B 255 Magenta driving IoT AI robots R 218 G 24 B 132

Purple R 128 G 49 B 167 Turquoise Fintech R 0 AI G 178 DNA B 169 AR/VR analysis Green R 120 G 190 B 32

Yellow R 238 G 220 The amount of data is dramatically increasing with B 0 Orange the growing number of connected devices R 255 G 106 CORP IR / May 31, 2017 6 B 19 Cyan R 0 G 169 B 224 Black Infrastructure Evolution Supporting the IoT Era R 20 G 20 B 20

Gray R 45 G 45 B 45 High speed, high reliability, low latency, the number of connected devices White R 255 G 255 B 255

Magenta R 218 5G Network G 24 B 132

Purple R 128 Speed: 100x G 49 Compared to 4G: B 167

Turquoise Data latency: 1/50th R 0 G 178 B 169 # of connectable devices: 100x

Green R 120 G 190 B 32

Yellow R 238 5G, the next generation communications standard, will establish G 220 B 0 the infrastructure for an IoT society Orange R 255 G 106 CORP IR / May 31, 2017 7 B 19 Cyan R 0 G 169 B 224 Black The Evolution of Society R 20 G 20 B 20

Gray R 45 G 45 B 45 Social Manufacturing White media Finance R 255 G 255 B 255 Magenta Traffic AI R 218 G 24 Medical B 132

Purple R 128 G 49 B 167 Real time systems will be built on Turquoise R 0 G 178 B 169 society’s cloud systems Green R 120 G 190 B 32

Yellow R 238 will be increasingly integrated G 220 B 0

Orange throughout society R 255 G 106 CORP IR / May 31, 2017 8 B 19 Cyan R 0 G 169 B 224 Black Expansion of WFE Market R 20 G 20 Equipment for wafer-level packaging is not included in the WFE market size here B 20

Gray ($ Billion) R 45 G 45 50 B 45 $40B~ White 40 R 255 G 255 $30B B 255

Magenta 30 R 218 G 24 $20B B 132 20 Purple R 128 $10B G 49 10 B 167

Turquoise R 0 0 G 178 B 169 1990 1995 2000 2005 2010 2015

Green Source: 2001-2013 SEMI R 120 2014-2018 VLSI “Chip Market Research Services Equipment Database, 1Q17 Update” Apr. 2017. Graph created by TEL using above data G 190 B 32

Yellow R 238 G 220 B 0 is heading for its next growth phase

Orange R 255 G 106 CORP IR / May 31, 2017 9 B 19 Cyan R 0 G 169 B 224 Black Growing Use of Displays as Interface Devices R 20 G 20 B 20

Gray R 45 G 45 B 45 スマートフォンはインターフェイス White R 255 デバイスへ G 255 B 255

Magenta R 218 G 24 B 132 Smartphone Head mounted display Heads-up display Digital signage touchscreen

Purple R 128 G 49 B 167 Functionalities sought in displays: Turquoise R 0 ultra-high resolution・large scaled・ G 178 B 169 low power consumption・flexibility Green R 120 G 190 B 32

Yellow R 238 G 220 B 0 Further growth expected in the display market

Orange R 255 G 106 CORP IR / May 31, 2017 10 B 19 Cyan R 0 G 169 B 224 Black New Medium-term Financial Targets (toward FY2020) R 20 G 20 B 20 Equipment for wafer-level packaging is included in the WFE market size here

Gray R 45 G 45 B 45

White WFE R 255 G 255 $42B $45B B 255 Market size

Magenta R 218 G 24 B 132 Net sales ¥1,050B ¥1,200B Purple R 128 G 49 B 167 Operating margin 24% 26% Turquoise R 0 G 178 B 169 ROE (Return on Equity) 20-25% Green R 120 G 190 B 32

Yellow R 238 G 220 Targets adjusted due to changes in market conditions B 0

Orange R 255 G 106 CORP IR / May 31, 2017 11 B 19 Cyan R 0 G 169 B 224 Black New Organization: Development & Production Group R 20 G 20 As of June 1, 2017 B 20

Gray R 45 Development & G 45 . Improve development flexibility as we enter B 45 Production group Main products White new growth phase R 255 G 255 Dev. & Production Deposition systems B 255 1st Division Magenta . Merge Yamanashi and Tohoku President & CEO R 218 * Toshiki Kawai G 24 plants, establish TTS B 132 Dev. & Production Etching systems, Purple – Combine technology and increase efficiency 2nd Division Dry cleaning systems R 128 G 49 – Move process integration functions to plants, B 167 accelerate commercialization Turquoise Dev. & Production Coater/developers, R 0 3rd Division Wet cleaning systems G 178 B 169 . Technology Strategy Division to advance Green Test systems, R 120 Dev. & Production G 190 future technologies/technological integration 4th Division FPD dry systems B 32

Yellow focused on the IoT era R 238 G 220 Technology Strategy B 0 * TTS: Tokyo Electron Technology Solutions Ltd. Division Orange R 255 G 106 CORP IR / May 31, 2017 12 B 19 Cyan R 0 G 169 B 224 Black Miyagi Plant: New Development/Logistics Buildings R 20 G 20 B 20 New development building: Gray R 45 construction scheduled for completion G 45 New B 45 Development by the end of August, 2018 White Building Logistics Building R 255 Floor area: 11,600㎡ G 255 B 255 (CR-related: 7,000㎡) (under construction)

Magenta R 218 G 24 B 132 Purple

R 128 G 49 Development Production B 167 135m Building Building Turquoise R 0 17,165㎡ G 178 B 169 Green 130m Office Building R 120 Tokyo Electron Miyagi Ltd. G 190 B 32 New development building and logistics building

Yellow R 238 G 220 Create and optimize customer value through joint R&D with B 0

Orange customers, R&D integration, and continued improvement R 255 G 106 CORP IR / May 31, 2017 13 B 19 Cyan R 0 G 169 B 224 Black Summary R 20 G 20 B 20

Gray . Semiconductors and FPDs entering a new growth phase R 45 G 45 B 45 – Revised WFE market outlook and financial targets (market outlook of White R 255 $42B-$45B) G 255 B 255

Magenta . Optimize R&D structure to adapt to future business environment R 218 G 24 B 132 – Establish five development divisions, ensure a flexible development structure

Purple R 128 – Establish TTS to strengthen deposition business (technology G 49 B 167 integration/efficiency) Turquoise R 0 G 178 – Move Process Integration Center to TTS, accelerate product development B 169 Green . Expand etching business to respond to further growth R 120 G 190 B 32 – Increase production, improve efficiency by constructing logistics building Yellow R 238 G 220 – Accelerate development of added-value products by constructing new B 0 development building Orange R 255 G 106 CORP IR / May 31, 2017 14 B 19 Cyan R 0 G 169 B 224

Black R 20 G 20 B 20

Gray R 45 G 45 B 45

White SPE R&D Strategy R 255 G 255 B 255

Magenta R 218 G 24 B 132 Sadao Sasaki Purple R 128 Representative Director, Executive Vice President & General Manager, G 49 B 167 Development & Production Division

Turquoise R 0 G 178 B 169

Green R 120 G 190 B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 B 19 Cyan R 0 G 169 B 224 Gray Contents R 45 G 45 B 45

Light Gray R 244 G 244 . Growing demand for leading-edge technology: Opportunities for TEL B 244

White – TEL Technology Vision 2030 R 255 G 255 B 255

Magenta R 218 . R&D strategy progress G 24 B 132 – Demand for leading-edge technology and TEL R&D strategy Purple R 128 G 49 – Unifying development to integrate TEL proprietary technologies B 167

Turquoise R 0 G 178 B 169 . Medium-term plan: Progress on business focus areas Green R 120 (Etching, Deposition, Cleaning Systems) G 190 B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 CORP IR / May 31, 2017 16 B 19 Cyan R 0 G 169 B 224

Gray R 45 G 45 B 45

Light Gray R 244 G 244 B 244

White R 255 G 255 B 255

Magenta R 218 G 24 B 132 Growing Demand for Leading-edge Technology: Opportunities for TEL

Purple R 128 TEL Technology Vision 2030 G 49 B 167 Turquoise R 0 G 178 B 169

Green R 120 G 190 B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 CORP IR / May 31, 2017 17 B 19 Cyan R 0 G 169 B 224 Gray TEL Technology Vision 2030 Semiconductors R 45 G 45 for all industries B 45 for all industries Light Gray R 244 G 244 B 244

White R 255 G 255 B 255

Magenta R 218 G 24 B 132

Purple R 128 G 49 B 167

Turquoise R 0 G 178 B 169

Green R 120 G 190 B 32

Yellow R 238 G 220 B 0

Orange Energize discussion of future technologies achievable by 2030 and R 255 G 106 CORP IR / May 31, 2017 TEL’s contribution to these 18 B 19 Cyan R 0 G 169 B 224 Automatic Gray Building the World of 2030 Operation R 45 G 45 B 45 AI/Deep Learning Smart Society Light Gray R 244 Advanced Architecture G 244 Advanced CMOS Technology B 244 CPS/IoT White Integrated Analog Device Communication Technology R 255 G 255 B 255 Image Processing Edge/Cloud Computing Magenta Storage Class Memory R 218 Personal Medical G 24 Charge/Create Energy B 132 Advanced Lithography Emerging Memory Purple Robot R 128 Critical FEOL/BEOL Solution Lower Energy Neuromorphic G 49 B 167 Material Challenges Consumption Computing Turquoise Advanced Packaging R 0 Ubiquitous Environment G 178 B 169 3D Printing Manufacturing Flexible Display Green Core Virtual Reality R 120 Intelligent Fab System Biotechnology G 190 Technology B 32 Industry Collaboration/Co-Creation Customer Satisfaction Yellow Leading-edge Technology R 238 G 220 B 0 Rapid growth in semiconductor applications in a range of areas, Orange R 255 G 106 CORP IR / May 31, 2017 more sophisticated technology requirements 19 B 19

TEL Growth Strategy Solution provider for all industries 2030

Solution provider for the semiconductor industry

Current 2030 TEL technology contributes to the evolution of semiconductors, the importance of which is growing in all industries CORP IR / May 31, 2017 20 Cyan R 0 G 169 B 224

Gray R 45 G 45 B 45

Light Gray R 244 G 244 B 244

White R 255 G 255 B 255

Magenta R 218 G 24 B 132 R&D Strategy Progress Purple R 128 G 49 B 167

Turquoise R 0 G 178 B 169

Green R 120 G 190 B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 CORP IR / May 31, 2017 21 B 19 Cyan R 0 G 169 B 224 Gray Demand for Leading-edge Technology and TEL Strategy R 45 G 45 B 45 Light Gray 3D NAND DRAM Logic R 244 G 244 B 244

White R 255 G 255 B 255

Magenta R 218 G 24 B 132 Purple Enhance multi-layering & R 128 Deliver 1X miniaturization Develop leading-edge 5 & 3nm G 49 production capacity B 167 • Improve capacitor Turquoise • High selectivity process • Develop patterning performance to further R 0 • Pursue further process G 178 increase storage technology for scaling B 169 accuracy and improve • Develop new materials, • Apply high aspect ratio Green productivity selective deposition R 120 etching and new materials G 190 B 32

Yellow R 238 Pursue internal and external collaboration to accelerate development of G 220 B 0 innovative integrated technologies (process solution development, Orange R 255 next-generation platform development) G 106 CORP IR / May 31, 2017 22 B 19 Cyan R 0 G 169 B 224 Gray Increase R&D Spend (R&D Investment in Focus Areas) R 45 G 45 B 45 Light Gray ¥94.0B R 244 (Billion Yen) G 244 B 244 100

White R 255 83.8 G 255 78.6 76.2 New product/ B 255 73.2 75 71.3 technology R&D Magenta SPE business investment R 218 G 24 B 132 Purple 50 R 128 G 49 B 167

Turquoise 25 R 0 Cross-BU development and Cross-BU G 178 R&D investment B 169 collaboration Green Other businesses: development of R 120 0 fundamental technologies G 190 FY13 FY14 FY15 FY16 FY17 B 32 FY18(E)

Yellow R 238 G 220 B 0 Actively invest in creating further integrated technologies and Orange R 255 G 106 CORP IR / May 31, 2017 growth areas 23 B 19 Cyan R 0 G 169 B 224

Gray Patterning Strategy R 45 G 45 B 45 Establish self-aligned patterning Light Gray R 244 technologies with multiple major G 244 EPE*/CDU**challenges B 244 customers White R 255 Advance R&D in close communication with customers G 255 B 255 Expand on-site evaluation Magenta R 218 G 24 Collaborate with leading-edge B 132 Full commercialization consortia and equipment/materials Purple of EUV R 128 manufacturers G 49 B 167 , SRC, AIST, simulation tool vendors, etc. Turquoise R 0 G 178 B 169 Green Enhance internal evaluation/R&D R 120 Limitations of G 190 facilities B 32 measuring instruments Yellow Established Process Integration Center R 238 *** G 220 R&D by function at three facilities (TTCA , Koshi, Hosaka) B 0 * EPE: Edge placement error ** CDU: Critical dimension uniformity Orange *** TTCA: TEL Technology Center, America R 255 G 106 CORP IR / May 31, 2017 24 B 19 Cyan R 0 G 169 B 224 Gray 3D NAND Key Process Technologies and TEL’s Solutions R 45 G 45 B 45 TM CLEAN TRACK™ Plasma dry etch Tactras Lithography LITHIUS Pro™ Z Light Gray • Word line isolation • Word line isolation R 244 G 244 • Channel hole Multi-level contact • Channel hole B 244 • Multi-level contact • Multi-level contact White R 255 • Staircase G 255 B 255 Chemical dry etch Magenta Thermal process (batch deposition) R 218 • Source line pre-clean G 24 B 132 • Block oxide (high-k) • Charge trap (ALD SiN) Purple CertasTM Word line R 128 • Channel Si G 49 B 167 Staircase • Cap Si TELINDY PLUSTM TM Turquoise EXPEDIUS -i Channel R 0 Atomic layer deposition G 178 Wet etch Word line isolation (Slit) B 169 Source line • Core oxide Green • Replacement word line R 120 CELLESTATM-i G 190 Single wafer deposition B 32 • Word line barrier NT333TM Yellow • Multi-level contact barrier R 238 G 220 • Source line barrier B 0 Wet clean +TM Orange • Bevel clean Triase R 255 G 106 CORP IR / May 31, 2017 25 B 19 Cyan R 0 G 169 B 224 Gray Expanding Business Opportunities in the 3D NAND Market R 45 G 45 B 45

Light Gray R 244 G 244 B 244

White R 255 G 255 2D 3D NAND B 255 miniaturization stacking Magenta R 218 G 24 B 132 * * Purple Equipment ratio for2D previous NAND NAND capex Equipment ratio for 3D NAND R 128 G 49 B 167 Multi-layering, high A/R: Turquoise Lithography R 0 Others Deposition ・Increase in number of layers etched G 178 B 169 ・Pursuit of high process accuracy

Green decreases productivity R 120 Coating/developing G 190 ⇒ More equipment units needed B 32 Cleaning Yellow R 238 Etching G 220 B 0 Deposition Etching > 50% Orange * TEL estimate < 15% R 255 G 106 CORP IR / May 31, 2017 26 B 19 Cyan R 0 G 169 B 224 Gray SPE Business Strategy: Strengthen Deposition Technology R&D R 45 G 45 B 45

Light Gray R 244 . Establish Tokyo Electron Technology Solutions G 244 B 244 – Unify development resources by merging Tokyo Electron Yamanashi and Tokyo Electron Tohoku White R 255 G 255 . Established Process Integration Center (PIC) B 255

Magenta – Cross-BU process module development R 218 Sapporo G 24 B 132 . Develop next-generation platform Purple R 128 – Incorporate new controllers (AI software) G 49 Oshu B 167 Tokyo Electron Turquoise Tokyo Electron Taiwa Tohoku Yamanashi R 0 B 169

Green Tokyo Electron Kyushu Nirasaki R 120 G 190 Akasaka B 32

Yellow Tokyo Electron Miyagi R 238 G 220 Headquarters B 0 R&D/manufacturing/ Tokyo Electron HQ technology Orange R 255 G 106 CORP IR / May 31, 2017 27 B 19 Cyan R 0 G 169 B 224 Black SPE Business Strategy: Deposition Systems R 20 G 20 B 20 Single wafer Sputtering system for Gray ALD system Thermal processing system R 45 NT333™ TELINDY PLUS™ deposition system next-generation devices G 45 + B 45 Triase ™ EXIM™

White R 255 G 255 B 255

Magenta R 218 G 24 B 132

Purple R 128 G 49 B 167 Atomic layer Oxidation/ MRAM Metallization Turquoise deposition diffusion deposition R 0 ( ) R&D G 178 CVD Ti/TiN B 169 ¥111B (batch) ¥120B ¥30B POR Green R 120 G 190 Bring together the results of CY2014-16 B 32 R&D activities and move to the production Yellow preparation stage R 238 G 220 Aim to expand earnings based on new technologies for further B 0

Orange miniaturization, new structures, and next-generation semiconductors R 255 * Figures reflect TAM estimated by TEL G 106 CORP IR / May 31, 2017 28 B 19 Cyan R 0 G 169 B 224 Gray SPE Business Strategy: Cleaning Systems R 45 G 45 B 45

Light Gray R 244 ™ G 244 . Expand sales of CELLESTA single wafer cleaning system B 244 – Expand applications based on backside and bevel cleaning* and drying technology White R 255 that prevents pattern collapse during the post-etch cleaning processes G 255 B 255

Magenta . Secure key 3D NAND processes through batch cleaning Single wafer cleaning system R 218 CELLESTA™ G 24 B 132 – Provide high quality and productivity in the metal etching, polysilicon etching, and Purple nitride film removal processes required for precise controllability R 128 G 49 B 167

Turquoise . Apply best known coater/developers methods to cleaning system R 0 G 178 business B 169 – Share leading-edge technology and expertise by unifying R&D Green Batch cleaning system R 120 TM G 190 EXPEDIUS B 32

Yellow Market share CY14 (Actual) CY15 (Actual) CY16 (Actual) ・・・ CY19 (Target) R 238 G 220 Cleaning system 19% 18% 20% >24% B 0

Orange * Bevel cleaning: process for removing film from the outer part of the wafer R 255 G 106 CORP IR / May 31, 2017 29 B 19 Cyan R 0 G 169 B 224 Gray Summary R 45 G 45 B 45

Light Gray . Formulated TEL Technology Vision 2030 to facilitate further business growth R 244 G 244 B 244 – Capture growth from diversifying semiconductor demand

White R 255 G 255 . Aim for growth through strategic advancement of collaborations with B 255

Magenta customers and consortia, further strengthening of existing products, and R 218 G 24 cross-BU synergistic effects B 132 Purple – Advance patterning solution R&D R 128 G 49 B 167 – Integrate technologies (3D NAND development solutions, integrate deposition facilities)

Turquoise R 0 G 178 . Strengthen business through strategic investment in focus areas B 169 Green – Deposition business: speed up new product development through selection and R 120 G 190 concentration B 32 Yellow – Cleaning business: enhance products and improve market share by applying best known R 238 G 220 coater/developers methods B 0

Orange R 255 G 106 CORP IR / May 31, 2017 30 B 19 Cyan R 0 G 169 B 224

Black R 20 G 20 B 20

Gray R 45 G 45 B 45 White Corporate Development Strategy: Growth Opportunities R 255 G 255 B 255 through Integration Magenta R 218 G 24 B 132 Akihisa Sekiguchi, PhD Purple R 128 Vice President & General Manager G 49 B 167 Advanced Semiconductor Technology Division

Turquoise R 0 G 178 B 169

Green R 120 G 190 B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 B 19 Cyan R 0 G 169 B 224

Gray Contents R 83 G 86 B 90 White . Device roadmap and issues in integration R 255 G 255 B 255 – Memory technology roadmap: DRAM, 3D NAND Magenta R 218 G 24 – Logic technology roadmap: FEOL, MOL, BEOL B 132

Purple R 128 G 49 . The importance of Process Integration Center (PIC) within our worldwide R&D B 167

Turquoise R 0 G 178 B 169

Green R 120 G 190 B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 CORP IR / May 31, 2017 32 B 19 Cyan R 0 G 169 B 224 Gray Device Evolution Nano-wire R 45 FinFET G 45 B 45

Light Gray R 244 3D structure G 244 B 244 Planar

White R 255 3D NAND G 255 B 255 High A/R Magenta R 218 DRAM G 24 B 132

Purple 1000[nm] R 128 G 49 B 167 ArF immersion Turquoise i-Line R 0 100 KrF 180 G 178 130 110 B 169 90 65 Green 45 32 EUV R 120 22 G 190 10 resolution 14 B 32 10 5 7 Yellow wavelength scaling R 238 G 220 B 0 1 1990 1993 1996 1999 2002 2005 2008 2011 2014 2017 2020 Orange R 255 G 106 CORP IR / May 31, 2017 33 B 19 Cyan R 0 G 169 B 224

Gray R 45 G 45 B 45

Light Gray R 244 G 244 B 244

White R 255 G 255 B 255

Magenta R 218 G 24 B 132 Device Roadmap and Issues in Integration Purple R 128 G 49 Memory: DRAM, 3D NAND B 167 Turquoise Logic: FEOL, MOL, BEOL R 0 G 178 B 169 Green R 120 G 190 B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 CORP IR / May 31, 2017 34 B 19 Cyan R 0 G 169 B 224 Gray DRAM Roadmap R 45 G 45 B 45

Light Gray R 244 G 244 B 244 Node 2Y 1X 1Y 1Z White R 255 G 255 Cell layout 6F2 B 255

Magenta R 218 Lithography i-ArF EUV G 24

B 132

Purple Structure Burried WL, FinFET R 128 G 49 Cell B 167 Material W/TiN/SiON Turquoise

R 0

G 178 Structure Cylinder structure

B 169 Cell Cell Green capacitor Material TiN/ZAZ/TiN R 120 G 190 B 32

Yellow R 238 G 220 B 0 Difficulty level of scaling has risen beyond 1Y Orange R 255 G 106 CORP IR / May 31, 2017 35 B 19 Cyan R 0 G 169 B 224 Gray DRAM Challenge R 45 G 45 B 45

Light Gray Dry etching R 244 Hard mask G 244  High aspect ratio capacitor (HARC) hole formation B 244

White  High selectivity etch (for insulator etch) R 255 G 255 HARC dry etch B 255

Magenta Film deposition R 218 Capacitor insulator dep G 24  High selectivity hard mask (for insulator etch) B 132

Purple  Conformal & low leakage insulator for capacitor R 128 Capacitor electrode dep G 49  Conformal & low resistance electrode for capacitor B 167 Turquoise Wet oxide film removal R 0 G 178 B 169 Cleaning/wet etching Green  Prevention of pattern collapse R 120 G 190 B 32  High aspect ratio structure cleaning

Yellow R 238 G 220 B 0 Challenges involving high aspect ratio structures dominate Orange R 255 G 106 CORP IR / May 31, 2017 36 B 19 Cyan R 0 G 169 B 224 Gray 3D NAND Roadmap R 45 G 45 B 45

Light Gray R 244 Layer 48 layers 64 layers 96 layers 128 layers > 128 layers G 244 B 244

White Charge trap structure R 255 ONON G 255 B 255 ONON

Magenta stack R 218 ONON film stack optimization G 24 B 132 O-SiO 2 Vertical gate NAND Purple N-SiN Adjacent CMOS circuit & memory cell layout R 128 3D ReRAM G 49 B 167 Cell on CMOS Stack Turquoise Triple level ⇒ R 0 OPOP G 178 Quad level cell B 169 stack OPOP Floating gate structure Green R 120 G 190 O-SiO2 B 32 OPOP film stack optimization/Memory cell on CMOS (cell on CMOS) Yellow P-Poly-Si R 238 G 220 B 0 Increasing layers drives high aspect ratio and process challenges Orange R 255 G 106 37 B 19 Cyan R 0 G 169 B 224 Gray 3D NAND Challenges R 45 G 45 B 45 Dry etch Light Gray R 244 G 244 Hardmask  High aspect ratio etch B 244  High selectivity (versus stack films) White Staircase etch R 255 G 255 for contact B 255 Depositon Magenta R 218 G 24 Cell stack etch  Reduction of ONON/OPOP stack warpage B 132 WL metal dep  High selectivity hardmask Purple R 128 G 49  Conformal functional film for flash B 167  High mobility channel Turquoise Cell stack deposition R 0  Metal gate G 178 B 169

Green R 120 Clean/wet etch G 190 Hardware B 32  Handling warped wafers  Removal of nitride films in 3D structures Yellow R 238 G 220 B 0 Etch and deposition of films for high aspect ratio structures challenging Orange R 255 G 106 CORP IR / May 31, 2017 38 B 19 Cyan R 0 G 169 B 224

Gray R 45 G 45 B 45

Light Gray R 244 G 244 B 244

White R 255 G 255 B 255

Magenta R 218 G 24 B 132 Device Roadmap and Issues in Integration Purple R 128 G 49 Memory: DRAM, 3D NAND B 167 Turquoise Logic: FEOL, MOL, BEOL R 0 G 178 B 169 Green R 120 G 190 B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 CORP IR / May 31, 2017 39 B 19 Cyan R 0 G 169 B 224 Gray FEOL Roadmap R 45 G 45 B 45

Light Gray R 244 G 244 Node 16-14 nm 10 nm 7 nm 5 nm < 3.5 nm B 244

White R 255 G 255 B 255 Magenta Transistor R 218 G 24 structure B 132

Purple Reducing short R 128 G 49 channel effect B 167 FinFET Lateral nanowire Vertical nanowire

Turquoise R 0 G 178 B 169 Channel Green R 120 material G 190 B 32 Higher mobility Yellow R 238 G 220 Estimated using IEDM, VL, IITC papers B 0 Structural changes in transistor concurrent with scaling Orange R 255 G 106 CORP IR / May 31, 2017 40 B 19 Cyan R 0 G 169 B 224 Gray FEOL Challenges . Short channel effect control (on/off ratio) R 45 G 45 B 45 – New structure Light Gray R 244 G 244 . Channel mobility B 244

White – New channel material R 255 FinFET G 255 B 255 . Parasitic resistance reduction Magenta R 218 – Source/Drain activation enhancement G 24 B 132 – Low resistance silicide Purple R 128 G 49 B 167 . Parasitic capacitance reduction Turquoise – Low dielectric constant material R 0 G 178 B 169

Green Nanowire . Variability reduction R 120 G 190 transistor – Chamber matching, physical dimension B 32 control Yellow R 238 G 220 B 0 Changes in transistor structure leading to changes in device performance Orange R 255 G 106 CORP IR / May 31, 2017 41 B 19 Cyan R 0 G 169 B 224 Gray MOL Roadmap R 45 G 45 B 45

Light Gray R 244 Node 16-14 nm 10 nm 7 nm 5 nm < 3.5 nm G 244 B 244 Diamond epi Wrap around contact White R 255 Source/Drain G 255 B 255 shape

Magenta R 218 G 24 Self aligned contact B 132 Replacement contact Purple R 128 Contact G 49 B 167 scheme Turquoise R 0 G 178 B 169

Green W Co R 120 G 190 Contact metal B 32

Yellow R 238 G 220 B 0 Scaling, overlay, and contact resistance increaseEstimated are issuesusing IEDM, VL, IITC papers Orange R 255 G 106 CORP IR / May 31, 2017 42 B 19 Cyan R 0 G 169 B 224 Gray MOL Challenges R 45 G 45 B 45

Light Gray R 244 . Parasitic resistance G 244 B 244 – New structure, lower resistance White R 255 G 255 material needed for reduction B 255

Magenta R 218 . Narrow trench gap fill G 24 B 132 – High aspect ratio structure gapfill Purple R 128 G 49 B 167 . Overlay Turquoise R 0 G 178 – Self aligned process B 169

Green R 120 . Variability G 190 Contact structure B 32 – Chamber matching Yellow R 238 G 220 B 0 Scaling causes problems such as contact resistance increase Orange R 255 G 106 CORP IR / May 31, 2017 43 B 19 Cyan R 0 G 169 B 224 Gray BEOL Roadmap R 45 G 45 B 45

Light Gray R 244 Node 16-14 nm 10 nm 7 nm 5 nm < 3.5 nm G 244 B 244 White Dual damascene Self aligned via formation R 255 G 255 B 255 Self aligned via (SAV) Magenta R 218 Wiring Self aligned block G 24 B 132 formation

Purple scheme R 128 Non SAV SAV G 49 B 167

Turquoise R 0 G 178 B 169 Cu : ECD Cu alternatives Green Cu Post Cu R 120 Ta/TaN PVD Ru, Co, CoAl G 190 Metal wiring B 32

Yellow R 238 G 220 B 0 Estimated using IEDM, VL, IITC papers

Orange R 255 G 106 CORPScaling IR / May 31, 2017& overlay issues leading to evaluation of new integration schemes 44 B 19 Cyan R 0 G 169 B 224

Gray R 45 BEOL Challenges G 45 . Low resistance B 45 – Cu: thinner barrier layer Light Gray R 244 – Cu alternatives: Ru, Co, CoAl, G 244 B 244 . Parasitic capacitance reduction White R 255 G 255 – Low dielectric constant film, air gap B 255 – Etch damage reduction: low temp etch, replacement Magenta R 218 schemes G 24 B 132 – Lower dielectric constant etch stop layer Purple R 128 . Narrow trench gap fill G 49 B 167 – Liner layer, alternative metals Turquoise R 0 G 178 . Overlay B 169 – Self aligned process Green R 120 G 190 . Reliability (migration etc.) B 32

Yellow – Wiring cap layers R 238 G 220 B 0 Migration period from Cu to other metals, parasitic capacitance still an issue Orange R 255 G 106 CORP IR / May 31, 2017 45 B 19 Cyan R 0 G 169 B 224

Gray R 45 G 45 B 45

Light Gray R 244 G 244 B 244

White R 255 G 255 B 255

Magenta R 218 G 24 B 132 Importance of Process Integration Center (PIC) Purple R 128 G 49 in the Context of Worldwide Development B 167

Turquoise R 0 G 178 B 169

Green R 120 G 190 B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 CORP IR / May 31, 2017 46 B 19

Global Development Locations

United States Korea imec (Belgium)

TEL Technology Center, America TEL Technology Center Korea Taiwan CEA-Leti (France) Albany NanoTech TEL Technology Solutions Process Integration Center (PIC) Tokyo Electron Kyushu TEL Technology Center, Taiwan Tokyo Electron Miyagi

IME (Singapore) TEL development locations Consortia

Worldwide development accelerated due to strengthening of PIC function

CORP IR / May 31, 2017 47

Process Integration Center (PIC) Mission

Customer’s technology roadmap

TEL process-integrated solutions TEL product development roadmap

 Expand business through collaboration with customers  Add value to TEL products by synchronizing product development and PIC solutions  Develop competitive solutions in advance in order to make solid proposals based on proven solutions  Support overall TEL development by making sample wafers with high quality and short lead time, and by providing insights with knowledgeable analysis

Higher level technical collaboration with partners

CORP IR / May 31, 2017 48 Cyan R 0 G 169 B 224 Gray Process Integration Center (PIC) Function R 45 G 45 B 45 Process tools Analytical tools Light Gray R 244 Clean Etch G 244 ™ ™ B 244 Tactras Vigus Analysis and measurement ™ White CELLESTA -i (Particle, ellipsometer, XRF, overlay) R 255 G 255 Certas™ B 255 Cross sectional analysis Magenta (SEM, STEM, FIB, others) R 218 G 24 B 132 Surface analysis Purple (TXRF, XPS, XRD, AFM, others) R 128 Lithography G 49 B 167 CLEAN TRACK™ Turquoise LITHIUS Pro™ Z R 0 G 178 B 169 Triase+™ / EXIM™ Green R 120 G 190 B 32 ™ ™ NT333 Yellow TELINDY PLUS Deposition R 238 G 220 B 0 Faster development by centralizing process and analytical tools Orange R 255 G 106 CORP IR / May 31, 2017 49 B 19 Cyan R 0 G 169 B 224

Gray Summary R 83 G 86 B 90 White . How to plan ahead and develop new tools based on device R 255 G 255 B 255 evolution is key Magenta R 218 G 24 . The success of the Patterning Solutions Project has led to the B 132 Purple kickoff of Process Integration Center (PIC). It expands on the R 128 G 49 B 167 scope of activities beyond just patterning

Turquoise R 0 . Enhancement of the worldwide development organization by G 178 B 169 strengthening PIC will lead to TEL’s competitiveness Green R 120 G 190 B 32 . Continue to create technology innovation through close Yellow collaboration with our customers and partners R 238 G 220 B 0

Orange R 255 G 106 CORP IR / May 31, 2017 50 B 19 Cyan R 0 G 169 B 224

Gray R 45 G 45 B 45

Light Gray R 244 G 244 B 244 White Etching System: Business Strategies R 255 G 255 B 255

Magenta R 218 G 24 B 132 Yoshinobu Mitano Purple R 128 Vice President & General Manager, ES BU G 49 B 167

Turquoise R 0 G 178 B 169

Green R 120 G 190 B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 B 19 Cyan R 0 G 169 B 224

Gray Etching System Market Outlook R 83 G 86 B 90 Etching system market by application White Overall WFE market R 255 ($B) Significant NAND G 255 +25% on CY15 B 255 ($B) WFE market market expansion Magenta 50 10 42.0 >42.0 R 218 40.0 G 24 40 37.0 B 132 33.3 NAND Purple 30 R 128 G 49 5 B 167 20

Turquoise DRAM R 0 10 G 178 9.0 11.0 11.0 B 169 6.4 7.4 0 Logic, other Green CY15 CY16 CY17 CY18 CY19 0 R 120 CY15 CY16 CY17 CY18 CY19 G 190 TEL estimates B 32 Etching system TEL estimates (new equipment only) market +70% on CY15 Yellow R 238 G 220 B 0

Orange 3D NAND driving 70% growth in etching system market from R 255 G 106 CORP IR / May 31, 2017 CY15 to CY19 52 B 19 Cyan R 0 G 169 B 224

Gray 3D NAND Business Opportunities R 83 G 86 * ( ) B 90 Ratio of etching systems in NAND Increase in 3D NAND HARC processes Greenfield, TEL estimates

White CY12-14 CY19 Investment per 10k/wspm** ($M) 6X 9X 12X R 255 G 255 Etching systems HARC processes B 255 30 40 50 15% (multi-level contact/word line isolation/channel) Magenta Etching systems Other etching processes 80 80 80 R 218 50% G 24 B 132 Etching process total 110 120 130 Other systems Other systems Purple 85% 50% R 128 TEL estimates G 49 9X B 167 3D NAND 6X Turquoise 3D NAND HARC* processes R 0 CY17 CY18 CY19 G 178 B 169 6X 6X/9X 9X

Green R 120 Multi-level G 190 contact B 32 Word line Channel Yellow isolation (Slit) (Memory hole) R 238 G 220 B 0

Orange Expand HARC processes by increasing number of stacked layers R 255 * HARC (High aspect ratio contact) process: a process for forming holes that requires advanced processing technology ** wspm: wafer starts per month G 106 CORP IR / May 31, 2017 53 B 19 Cyan R 0 G 169 B 224

Gray 3D NAND: Approach and Results R 83 G 86 B 90 . Maintained a 100% share of the multi-level contact processes. Plan to further White increase revenues by integrating mask process to improve productivity R 255 G 255 B 255 . Captured new customer PORs with 9X generation word line isolation. Magenta R 218 Aim to increase our position by integrating mask process G 24 B 132

Purple . Realize the capture of channel processes through new technology R 128 G 49 Improve productivity by B 167 integrating processes Turquoise Mask process R 0 G 178 A single system that combines B 169 mask and main processes Green normally processed by different Main process R 120 G 190 B 32 systems Yellow  Improved productivity R 238 G 220 B 0

Orange Expand our position by dramatically improving profile and productivity R 255 G 106 CORP IR / May 31, 2017 54 B 19 Cyan R 0 G 169 B 224

Gray Logic: Approach and Results R 83 G 86 . Maintained high interconnecting dielectric etching Interconnects B 90 Advanced patterning White process market share R 255 G 255 B 255 . Aim to expanded advanced patterning applications

Magenta R 218 ™ G 24 RLSA plasma source ALE concept process B 132

Purple R 128 G 49 B 167

Turquoise R 0 G 178 B 169 Achieve high-level of control over Green Realize high selectivity Plasma etch systems dimension variation R 120 Tactras™ RLSA™ through low electron G 190 temperature plasma B 32

Yellow R 238 G 220 B 0 Aim to increase sales by differentiating our technology in

Orange R 255 7nm and finer advanced patterning G 106 CORP IR / May 31, 2017 55 B 19 Cyan R 0 G 169 B 224

Gray DRAM: Approach and Results R 83 G 86 B 90 . Captured BEOL processes by leveraging expertise in logic through our strength in damascene

White processes R 255 G 255 B 255 . Captured development PORs with all customers using 1Y generation capacitor process (HARC)

Magenta R 218 . Realized reduced patterning costs for customers by combining etching steps. G 24 B 132 Currently using successes with strategic customers to expand market share in other customers

Purple R 128 G 49 Interconnects B 167

Turquoise R 0 Capacitor G 178 (HARC) B 169

Green R 120 G 190 Simplify process and raise productivity by B 32 combining multiple etching steps Yellow R 238 G 220 B 0 Currently achieving success in all DRAM focus processes Orange R 255 in line with plan G 106 CORP IR / May 31, 2017 56 B 19 Cyan R 0 G 169 B 224

Gray Action to Increase Profitability R 83 G 86 B 90

White . New products focused on the high-end market R 255 G 255 B 255  Active capex in R&D

Magenta R 218 . Reduce customer support expenses through products with improved added- G 24 B 132 value Purple R 128 G 49 . Optimize logistics B 167 Turquoise . Further reduce fixed cost ratio R 0 G 178 B 169 Green R 120 G 190 B 32

Yellow Tokyo Electron Miyagi’s new development and logistics buildings R 238 G 220 B 0 Plan to raise sales and profits through technological

Orange R 255 differentiation and improving production efficiency G 106 CORP IR / May 31, 2017 57 B 19 Cyan R 0 G 169 B 224

Gray Summary R 83 G 86 B 90

White . Expect significant expansion of etching system market driven by 3D NAND R 255 G 255 and patterning B 255 Magenta . We are enhancing our positions in logic and memory focus areas R 218 G 24 B 132 . Actively investing in R&D in response to further market expansion Purple R 128 G 49 . Focus on HARC, patterning, and BEOL processes that utilize our strengths. B 167 Aim to raise profitability by increasing market share to over 30% by CY19 Turquoise R 0 G 178 B 169

Green R 120 G 190 Market share CY14 (Actual) CY15 (Actual) CY16 (Actual) ・・・ CY19 (Target) B 32

Yellow Etching system 28% 21% 23% >30% R 238 G 220 B 0

Orange R 255 G 106 CORP IR / May 31, 2017 58 B 19 Cyan R 0 G 169 B 224

Black R 20 G 20 B 20

Gray R 45 G 45 B 45 White FPD Business Strategy R 255 G 255 B 255

Magenta R 218 G 24 B 132 Tsuguhiko Matsuura Purple R 128 Vice President & General Manager, FPD BU G 49 B 167

Turquoise R 0 G 178 B 169

Green R 120 G 190 B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 B 19 Cyan R 0 G 169 B 224 Display Trends Gray R 83 G 86 Technology inflection creates greater business opportunities B 90

White Increasing screen size R 255 OLED G 255 B 255

Magenta Color filter Emissive R 218 LCD layer G 24 TFT B 132 TFT Backlight Purple R 128 G 49 LCD OLED B 167

Turquoise R 0 Increasing resolution G 178 Design flexibility B 169

Green R 120 G 190 TV B 32 Yellow FHD 4K 8K R 238 G 220 B 0 Smartphones 300 ppi 700 ppi Flexible, edge bent, free format Orange R 255 G 106 CORP IR / May 31, 2017 60 B 19 Cyan R 0 G 169 B 224

Gray FPD Business Medium-term Plan R 83 G 86 B 90 . Increase share and profitability in market that has begun to grow again White R 255 G 255 . FY2020 target: sales ¥80.0B, operating margin over 20% B 255

Magenta R 218 (Billion Yen) G 24 B 132 100 40%

Purple R 128 80 30% G 49 B 167 Turquoise 60 20% R 0 G 178 Sales B 169 40 10% Operating margin Green R 120 G 190 B 32 20 0%

Yellow R 238 0 -10% G 220 (E) B 0 FY2015 FY2016 FY2017 FY2018 FY2020 (Medium-term plan) Orange R 255 G 106 CORP IR / May 31, 2017 61 B 19 Cyan R 0 G 169 B 224

Gray Medium-term Plan Progress: Highlights R 83 ™* G 86 . TEL’s product strategy for a new PICP etching system is progressing B 90

White according to plan R 255 G 255 . Expanding the G10.5 equipment business B 255 Magenta 2015 2016 2017 2018 2019 R 218 G 24 B 132 G10.5 Coater/developers and Purple etching system R 128 G 49 PICP etching system for B 167 G8.5 large-sized panels Turquoise R 0 PICP etching system for small- and medium-sized panels G 178 B 169 ™ Green G6 New Betelex platform R 120 G 190 B 32 OLED process (G6H) Yellow R 238 G 220 B 0 Higher profitability for all panel generations Orange R 255 * PICP: Plasma source for producing extremely uniform high density plasma on substrate G 106 CORP IR / May 31, 2017 62 B 19 Cyan R 0 G 169 B 224

Gray Opportunity – G10.5 Equipment Market R 83 G 86 . Greater than expected investment and market expansion B 90

White . Maintain high market share through technological differentiation (large area plasma R 255 ) G 255 suppression, air floating coater B 255

Magenta G10.5 TFT array equipment market Eight 65 inch TV panel substrate possible R 218 G 24 ($M)3,500 B 132 “65 Purple 3,000 R 128

G 49 B 167 2,500

Turquoise mm mm R 0 2,000 G 178 B 169 1,500

Green 3,370 R 120 1,000 G 190 B 32

Yellow 500 R 238 G 220 0 B 0 2017 2018 2019 2,940 mm Orange Results based on IHS Markit, Technology Group “Display Supply Demand & Equipment Tracker, Q1 2017”. Results are not an R 255 endorsement of Tokyo Electron. Any reliance on these results is at the third party's own risk. Visit technology.ihs.com for more details. G 106 CORP IR / May 31, 2017 63 B 19 Cyan R 0 G 169 B 224

Gray Opportunity – Metal Oxide/LTPS R 83 G 86 B 90 . Higher sophistication of etching technology and increased number of processes

White R 255 G 255 TFT a-Si Metal oxide LTPS B 255

Magenta R 218 G 24 B 132 Representation Further new Purple needs R 128 of structure G 49 B 167 Flexible displays Turquoise R 0 +2 processes G 178 LCD TV OLED TV Smartphone B 169 Application Monitor Tablet (LCD/OLED) Green OLED process R 120 G 190 Number of B 32 5 6 - 8 9 - 13 (G6 half size) Yellow masks +3-4 processes R 238 G 220 B 0 Dry etch 3 3 -11 Orange processes a-Si, SiNx SiO, SiNx SiO, Metal R 255 G 106 CORP IR / May 31, 2017 64 B 19 Cyan R 0 G 169 B 224

Gray Opportunity – Growth of OLED TV Market R 83 G 86 B 90 . Introduced inkjet printing system to meet production demand from 2018 White . Material utilization significantly more efficient than current evaporation method R 255 G 255 B 255 Magenta Increase in OLED TV manufacturers OLED TV area demand R 218 G 24 8 B 132 New manufacturers in Japan 7 Purple R 128

G 49 6

B 167 REGZA X910 series YR

Turquoise 5 / Launched March 2017 R 0 2 G 178 4

B 169 Mm Green BRAVIA A1 3 R 120 G 190 To be launched June 2017 2 B 32 Yellow 1 R 238 VIERA TH-65EZ1000/EZ950 series G 220 0 B 0 To be launched June 2017 2016 2017 2018 2019 2020 2021 Orange R 255 Results based on IHS Markit, Technology Group “Display Supply Demand & Equipment G 106 CORP IR / May 31, 2017 Tracker, Q1 2017”. Results are not an endorsement of Tokyo Electron. Any reliance on these 65 B 19 results is at the third party's own risk. Visit technology.ihs.com for more details.

Cyan R 0 G 169 B 224

Gray Summary R 83 G 86 B 90

White .Increase share and profitability in market that has begun to R 255 G 255 B 255 grow again

Magenta R 218 Greater than expected business expansion G 24 B 132

Purple FY2020 target: sales ¥80.0B, operating margin over 20% R 128 G 49 B 167

Turquoise .For leading-edge production process, focus on areas where R 0 G 178 we have technological superiority B 169

Green R 120 –High performance PICP etching system G 190 B 32

Yellow –G10.5 compatible etching system and coater/developers R 238 G 220 B 0 –Inkjet printing system for OLED TV

Orange R 255 G 106 CORP IR / May 31, 2017 66 B 19

Cyan R 0 G 169 B 224

Black R 20 G 20 B 20

Gray R 45 G 45 B 45 White Financial Model R 255 G 255 B 255

Magenta R 218 G 24 B 132 Tetsuro Hori Purple R 128 Representative Director, Executive Vice President & General Manager G 49 B 167

Turquoise R 0 G 178 B 169

Green R 120 G 190 B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 B 19 Cyan R 0 G 169 B 224 Black Financial Model: Concept R 20 G 20 B 20

Gray R 45 .TEL is changing its financial model to match the larger G 45 B 45 White scale of the semiconductor market R 255 G 255 B 255 * Magenta .Financial model: Change in WFE market scale R 218 G 24 B 132

Purple –In our financial model announced in 2015 we presented a range R 128 G 49 B 167 according to economic conditions (WFE $37B-$30B) (Equipment for wafer-level packaging is not included) Turquoise R 0 G 178 –Our new financial model presents a forecast range for FY2020. B 169 Green We expect the WFE market to reach a size of $42B to $45B R 120 (Equipment for wafer-level packaging is included) G 190 B 32

Yellow R 238 G 220 B 0 * WFE (Wafer Fab Equipment): The semiconductor production process can be divided into two sequential sub-processes: front-end (wafer fabrication) and back-end (assembly and test) Orange production. WFE is used in the front-end production process. R 255 G 106 CORP IR / May 31, 2017 68 B 19 Cyan R 0 G 169 B 224 Black Financial Model (toward FY2020) R 20 (Billion Yen) G 20 B 20 FY2017 FY2018 FY2020 Gray (Actual) (Estimate) R 45 (Medium-term plan) G 45 B 45 WFE $37B WFE $40B WFE $42B WFE $45B

White R 255 Net sales 799.7 980.0 1,050.0 1,200.0 G 255 B 255 SPE 749.8 910.0 970.0 1,120.0 Magenta R 218 FPD 49.3 70.0 80.0 80.0 G 24 B 132

Purple Gross profit 322.2 412.0 452.0 522.0 R 128 G 49 Gross profit margin 40.3% 42.0% 43.0% 43.5% B 167 Turquoise SG&A expenses 166.5 196.0 200.0 210.0 R 0 G 178 B 169 SG&A expense ratio 20.8% 20.0% 19.0% 17.5%

Green R 120 Operating income 155.6 216.0 252.0 312.0 G 190 B 32 Operating margin 19.5% 22.0% 24.0% 26.0% Yellow R 238 Net income attributable G 220 115.2 163.0 180.0 220.0 B 0 to owners of parent Orange R 255 G 106 CORP IR / May 31, 2017 69 B 19 Cyan R 0 G 169 B 224 Black SPE Sales (WFE $45B) R 20 G 20 B 20

Gray R 45  TEL continues to plan for growth in sales above market growth G 45 B 45 (Billion Yen) White FY2017 FY2018 FY2020 Growth R 255 G 255 (Actual) (Estimate) (Medium-term plan) (FY17-FY20) B 255 WFE $37B WFE $40B WFE $45B WFE +22% Magenta R 218 G 24 Sales 749.8 910.0 1,120.0 +49% B 132

Purple New equipment 550.3 660.0 810.0 +47% R 128 G 49 B 167 Field solutions 199.4 250.0 310.0 +55%

Turquoise R 0 G 178 B 169 . Differentiate our technology in products and continue to win PORs Green R 120 G 190 . Meet expanding demand for field solutions B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 CORP IR / May 31, 2017 70 B 19 Cyan R 0 G 169 B 224 Black FPD Sales R 20 G 20 B 20

Gray  Plan to expand sales based on differentiated technology and R 45 G 45 B 45 high-market-share products (Billion Yen) White FY2017 FY2018 FY2020 Growth R 255 G 255 (Actual) (Estimate) (Medium-term plan) (FY17-FY20) B 255

Magenta Sales 49.3 70.0 80.0 +62% R 218 G 24 New equipment B 132 40.5 60.0 70.0 +73% Purple Field solutions 8.8 10.0 10.0 +13% R 128 G 49 B 167

Turquoise R 0 G 178 . In OLED panels where high level technology is in demand, B 169 differentiate with cutting-edge PICP™* technology Green R 120 G 190 . Further expand sales for G10.5, where TEL has a high market share B 32 Yellow . Continue development of inkjet printing system for OLED TVs R 238 G 220 B 0

Orange * PICP: Plasma source for producing extremely uniform high density plasma on substrate R 255 G 106 CORP IR / May 31, 2017 71 B 19 Cyan R 0 G 169 B 224 Black Gross Profit (WFE $45B) R 20 G 20 B 20

Gray R 45  Gross profit margin: up 3.2pts G 45 B 45 (Billion Yen) White FY2017 FY2018 FY2020 Growth R 255 G 255 (Actual) (Estimate) (Medium-term plan) (FY17-FY20) B 255 Gross profit Magenta 322.2 412.0 522.0 +62% R 218 Gross profit margin 40.3% 42.0% 43.5% +3.2pts G 24 B 132

Purple R 128 . Raise marginal profit ratio of core SPE products G 49 B 167

Turquoise – Timely introduction of new products to an expanding market R 0 G 178 B 169 – Lower cost ratio through product quality improvements

Green R 120 G 190 . Raise marginal profit ratio of FPD production equipment B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 CORP IR / May 31, 2017 72 B 19 Cyan R 0 G 169 B 224 Black SG&A Expenses (WFE $45B) R 20 G 20 B 20

Gray R 45  SG&A expense ratio: improve by 3.3pts G 45 B 45 (Billion Yen) White FY2017 FY2018 FY2020 Growth R 255 G 255 (Actual) (Estimate) (Medium-term plan) (FY17-FY20) B 255 SG&A expenses Magenta 166.5 196.0 210.0 +26% R 218 SG&A expense ratio 20.8% 20.0% 17.5% -3.3pts G 24 B 132

Purple R 128 . Cost reductions through integration of development units G 49 B 167

Turquoise – Establish Tokyo Electron Technology Solutions Ltd. R 0 G 178 B 169 – Realized benefits of integration of Coater/Developers and Cleaning System business units Green R 120 G 190 . Raise business productivity, control fixed costs B 32 Yellow – Improve business efficiency of service divisions R 238 G 220 B 0 – Control development expenses at level appropriate to balance with current profitability Orange R 255 G 106 CORP IR / May 31, 2017 73 B 19 Cyan R 0 G 169 B 224 Black R&D Expenses, Capex Plans R 20 G 20 B 20 . Implement product development needed for growth, while raising efficiency and Gray R 45 G 45 maintaining profits B 45

White R 255 . Capex (development + production facilities) to be limited to around ¥15.0B annually G 255 B 255 (Billion Yen) R&D expenses 100.0-110.0 Magenta 100 94.0 81.5 83.8 R 218 78.6 76.2 G 24 73.2 71.3 B 132

Purple 50 R 128 G 49 B 167

Turquoise 0 R 0 FY2012 FY2013 FY2014 FY2015 FY2016 FY2017 FY2018 FY2020 G 178 (Estimate) (Medium-term plan) B 169 (Billion Yen) Capex Depreciation 50 Green 42.0 R 120 39.5 G 190 B 32 26.6 24.8 ≒25.0 24.1 21.7 24.0 Yellow 25 20.8 19.2 20.6 17.8 ≒15.0 R 238 12.7 13.1 13.3 G 220 B 0

Orange 0 FY2012 FY2013 FY2014 FY2015 FY2016 FY2017 FY2018 FY2020 R 255 (Estimate) (Medium-term plan) G 106 CORP IR / May 31, 2017 74 B 19 Cyan R 0 G 169 B 224 Black Assets and Capital Efficiency (Sales ¥1,200B Model) R 20 G 20 B 20 Gray EPS and ROE R 45 (Yen) G 45 . Accounts receivable turnover B 45 1,000 EPS ROE White – Current approx. 60 days: Appropriate R 255 G 255 800 702 20- 25% B 255 Magenta 600 R 218 G 24 . Inventory turnover 461 B 132 19.1% 400 Purple – Current 108 days → Target 95 days R 128 G 49 13.0% B 167 200 Turquoise . ROE R 0 G 178 0 B 169 – Current 19% → Target 20-25% FY2016 FY2017 FY2020 (Target) Green R 120 ROE = (Net income attributable to owners of parent / G 190 Average total equity) x 100(%) B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 CORP IR / May 31, 2017 75 B 19 Cyan R 0 G 169 B 224 Black Medium-term Financial Targets (toward FY2020) R 20 G 20 B 20

Gray Aim for sustained growth in corporate value and continue to develop next- R 45 G 45 B 45 generation products while maintaining a global standard level of profitability

White R 255 G 255 B 255 WFE* Magenta R 218 $42B $45B G 24 Market size B 132

Purple R 128 G 49 Net sales ¥1,050B ¥1,200B B 167

Turquoise R 0 G 178 B 169 Operating margin 24% 26%

Green R 120 G 190 B 32 ROE (Return on Equity) 20-25% Yellow R 238 G 220 B 0 • WFE (Wafer fab equipment): The semiconductor production process can be divided into two sequential sub-processes: front-end (wafer fabrication) and back-end (assembly and test) Orange production. WFE is used in the front-end production process. Front-end production equipment includes equipment for wafer-level packaging. R 255 G 106 CORP IR / May 31, 2017 76 B 19 Cyan R 0 G 169 B 224

Black Capital Policy, Shareholder Returns R 20 G 20 B 20 . Approach to capital policy Gray R 45 – While closely monitoring the business environment and our necessary cash balance, we G 45 B 45 will strive to raise ROE through earnings maximization and asset turnover improvement to White efficiently utilize shareholders equity R 255 G 255 B 255 . Approach to shareholder policy Magenta R 218 – Business trends in our industry can be volatile and our policy is to link dividend payments G 24 B 132 to business performance Purple R 128 – However, to assure stable returns to our shareholders, we will utilize our sound financial G 49 B 167 foundation to establish a minimum DPS payment Turquoise R 0 G 178 B 169 Dividend payout ratio: 50% Green R 120 G 190 B 32 Annual DPS of not less than ¥150 Yellow R 238 We will review our policy if the company does not generate net income for 2 consecutive fiscal years G 220 B 0 Orange We will flexibly consider share buybacks R 255 G 106 CORP IR / May 31, 2017 77 B 19 Cyan R 0 G 169 B 224 Black Summary R 20 G 20 B 20

Gray R 45 G 45 . Steadily work to achieve our FY2020 financial model B 45

White R 255 . Further strengthen product competitiveness, aim for sales growth G 255 B 255 greater than market growth Magenta R 218 G 24 B 132 . Raise development efficiency and business productivity, further Purple R 128 G 49 improve profitability B 167

Turquoise R 0 G 178 B 169

Green Pursue a global standard level of profitability, R 120 G 190 B 32 aim for sustained growth in corporate value Yellow R 238 G 220 B 0

Orange R 255 G 106 CORP IR / May 31, 2017 78 B 19 Cyan R 0 G 169 B 224

Black R 20 G 20 B 20

Gray R 45 G 45 B 45

White R 255 G 255 B 255

Magenta R 218 G 24 B 132 Appendix Purple R 128 G 49 B 167

Turquoise R 0 G 178 B 169

Green R 120 G 190 B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 CORP IR / May 31, 2017 79 B 19 Cyan R 0 G 169 B 224 Black Field Solutions (FS) Business: Medium-term Plan R 20 G 20 B 20 Gray FS business strategy R 45 Field Solutions sales G 45 WFE$45B B 45 400 . Respond to new customer needs White ¥320.0B 350 R 255 WFE$42B driven by IoT G 255 ¥270.0B B 255 300 140.0 260.0 – Provide upgrades and Magenta 250 Used equipment R 218 115.0 120.0 remanufactured equipment that G 24 208.0 and modification B 132 200 185.0 handle new applications 170.0 93.0 Purple 80.0 180.0 R 128 150 77.0 . Contribute to improving customer G 49 145.0 150.0 B 167 100 Parts and services productivity 105.0 115.0 Turquoise 93.0 R 0 50 – Provide added-value services using G 178 B 169 0 remote connections FY15 FY16 FY17 FY18 (E) Green FY20 R 120 (Medium-term plan) (Installed base of 62,000 units) G 190 B 32 Yellow Increase earnings in both the used equipment/modification and parts/service R 238 G 220 B 0 segments through a business model that utilizes makers’ strengths Orange R 255 G 106 CORP IR / May 31, 2017 80 B 19 Cyan R 0 G 169 B 224

Black SPE Business Strategy: Deposition System R 20 G 20 B 20 Aim to expand earnings based on new technologies for further Gray R 45 miniaturization and next-generation semiconductors G 45 B 45 White ALD system CVD system R 255 G 255 B 255 . Achieve both high quality film formation and high . Differentiate in memory through our clear lead in

Magenta productivity needed for miniaturization and for 3D batch system productivity R 218 structure with semi-batch system G 24 . Achieve high quality metallization to enable further B 132 ALD system market ¥150.0B Purple miniaturization CAGR >10% R 128 G 49 B 167 ¥90.0B

Turquoise R 0 G 178 B 169

Green Semi-batch ALD system 2014 2015 2016 2017 2018 2019 Batch system Metallization system ™ ™ ™ R 120 NT333 Source: TEL estimate TELINDY PLUS Triase+ G 190 B 32 Yellow Market share CY14 (Actual) CY15 (Actual) CY16 (Actual) ・・・ CY19 (Target) R 238 G 220 B 0 Deposition system 38% 38% 37% >47%

Orange Source: Gartner, “Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 2016”, 30 March 2017, Charts/graphics created by Tokyo Electron based on Gartner research. R 255 Deposition System: Tube CVD + Atomic layer deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD G 106 CORP IR / May 31, 2017 81 B 19 Cyan R 0 G 169 B 224 Black Adjustment to Share Results due to Product Recategorization R 20 G 20 B 20 (etching system, cleaning system) Gray R 45 G 45 . From CY2016 dry cleaning systems have been recategorized under etching system, and actual B 45

White shares for etching system and cleaning system for CY2014, CY2015 and CY2016 have been R 255 adjusted to reflect this G 255 B 255 Magenta Before Market share CY2014 CY2015 CY2016 R 218 G 24 adjustment B 132 Etching system 26% 19% 21%

Purple Cleaning system R 128 24% 23% 25% G 49 (Including dry cleaning systems) B 167

Turquoise R 0 G 178 B 169 Green Market share CY2014 CY2015 CY2016 R 120 After G 190 B 32 adjustment Etching system 28% 21% 23% (Including dry cleaning systems) Yellow R 238 G 220 Cleaning system 19% 18% 20% B 0

Orange R 255 G 106 CORP IR / May 31, 2017 82 B 19 Cyan R 0 G 169 B 224 . Disclaimer regarding forward-looking statement Black Forecast of TEL’s performance and future prospects and other sort of information published are made based on information available R 20 G 20 at the time of publication. Actual performance and results may differ significantly from the forecast described here due to changes in B 20 various external and internal factors, including the economic situation, semiconductor/FPD market conditions, intensification of sales Gray competition, safety and product quality management, and intellectual property-related risks. R 45 G 45 B 45 . Processing of numbers White For the amount listed, because fractions are rounded down, there may be the cases where the total for certain account titles does not R 255 correspond to the sum of the respective figures for account titles. Percentages are calculated using full amounts, before rounding. G 255 B 255 . Exchange risk Magenta R 218 In principle, export sales of Tokyo Electron’s mainstay semiconductor and FPD panel production equipment are denominated in yen. G 24 While some settlements are denominated in dollars, exchange risk is hedged as forward exchange contracts are made individually at B 132 the time of booking. Accordingly, the effect of exchange rates on profits is negligible. Purple R 128 G 49 . Disclaimer regarding IHS Markit data (Page 63, 65) B 167 The IHS Markit reports and information referenced herein (the "IHS Markit Materials") are the copyrighted property of IHS Markit Ltd. (“IHS Markit”) and represent data, research, Turquoise opinions or viewpoints published by IHS Markit, and are not representations of fact. The IHS Markit Materials speak as of the original publication date thereof (and not as of the R 0 date of this offering document). The information and opinions expressed in the IHS Markit Materials are subject to change without notice and IHS Markit has no duty or G 178 responsibility to update the IHS Markit Materials. Moreover, while the IHS Markit Materials reproduced herein are from sources considered reliable, the accuracy and B 169 completeness thereof are not warranted, nor are the opinions and analyses which are based upon it. To the extent permitted by law, IHS Markit shall not be liable for any errors Green or omissions or any loss, damage or expense incurred by reliance on the IHS Markit Materials or any statement contained herein, or resulting from any omission. No portion of the IHS Markit Materials may be reproduced, reused, or otherwise distributed in any form without the prior written consent of IHS Markit. Content reproduced or redistributed with R 120 G 190 IHS Markit’s permission must display IHS Markit’s legal notices and attributions of authorship. IHS Markit and the IHS Markit globe design are trademarks of IHS Markit. Other B 32 trademarks appearing in the IHS Markit Materials are the property of IHS Markit or their respective owners.

Yellow . Disclaimer regarding Gartner data (Page 81) R 238 G 220 All statements in this presentation attributable to Gartner represent Tokyo Electron’s interpretation of data, research opinion or viewpoints published as part of a syndicated B 0 subscription service by Gartner, Inc., and have not been reviewed by Gartner. Each Gartner publication speaks as of its original publication date (and not as of the date of this Orange presentation). The opinions expressed in Gartner publications are not representations of fact, and are subject to change without notice. R 255 G 106 CORP IR / May 31, 2017 FPD: Flat panel display 83 B 19 Cyan R 0 G 169 B 224

Black R 20 G 20 B 20

Gray R 45 G 45 B 45

White R 255 G 255 B 255

Magenta R 218 G 24 B 132

Purple R 128 G 49 B 167

Turquoise R 0 G 178 B 169

Green R 120 G 190 B 32

Yellow R 238 G 220 B 0

Orange R 255 G 106 B 19