Quick viewing(Text Mode)

CVD System ALD System

CVD System ALD System

Tokyo Electron Corporate Update May 11, 2018

CORP IR / 2018.05.11 1

Contents

1. TEL Overview 3 2. Medium-term Management Plan TEL Initiatives and Progress 9 3. FY2019 Business Environment and Financial Estimates 16

Appendix 1: Etching System 25 Appendix 2: Effects of EUV Lithography Adoption 30 Appendix 3: FPD Production Equipment 35 Appendix 4: Financial Data 42

CORP IR / 2018.05.11 2

TEL Overview

CORP IR / 2018.05.11 3

TEL is Innovative and Flexible to Market Change

Established development/ Striving for Distributor of other suppliers’ products Globalization Production reform (Billion Yen) functions new growth 1,500 TEL consolidated net sales 1,200

900

600

300

0 65 66 67 68 69 70 71 72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 (FY) (E)

Established first Listed on TSE #2 in1980 and #1 in 1984 Strengthened corporate governance manufacturing JV (TEL-Thermco) Discontinued export business of Began overseas direct operations consumer products

Consumer Mainframe Mobile , applications computer PC phone etc.

CORP IR / 2018.05.11 4

Financial Performance: Sales and Operating Margin

(Billion Yen) 1,500 1,400.0 50% TEL Net sales Operating income 1,200 1,130.7 40% Operating margin

906.0 900 26.1% 30% 799.724.9% 723.8 668.7 663.9 18.6% 19.5% 16.7% 612.1613.1 600 14.6% 497.2 20% 14.4% 17.6% 300 5.3% 10% 2.5%

0 0% -0.5% -4.4% -300 -10% 90 91 92 93 94 95 96 97 98 99 00 01 02 03 04 05 06 07 08 09 10 11 12 13 14 15 16 17 18 19 (FY) (E)

4M DRAM oversupply • Financial crisis in Asia • IT bubble crash • World financial crisis • Effects of European debt crisis, • 64M DRAM oversupply • Excessive logic foundry investment • Memory oversupply slowdown in emerging markets • Weak demand for PC, mobile

CORP IR / 2018.05.11 5

The Market TEL Participates in

CY2016 World Market FY2018 TEL Sales (April 2017-March 2018) Others FPD Production ¥0.4B, Equipment ¥75.0B, 0% Electronic System US$ 1,742B (FPD) 7%

Semiconductor US$ 343B ¥1,130.7B

¥1,055.2B, 93% Semiconductor WFE* CAPEX US$ 37B Production Equipment (SPE)

*The semiconductor production process can be divided into two sequential sub- processes: front-end ( fabrication) and back-end (assembly and test) production. WFE is used in the front-end production process. Front-end production equipment includes equipment for wafer level packaging. Source: Gartner, “Forecast: Semiconductor Wafer Fab Manufacturing Equipment, Worldwide, 1Q17 Update” 13 April 2017 Charts/graphics created by Electron based on Gartner research.

CORP IR / 2018.05.11 6

TEL Main Products World Market Share (CY2017)

Semiconductor Production Equipment

26% 25% 36%

87%

Coater/Developer Dry Etch System Deposition System Cleaning System

FPD Production Equipment (FY2018) 42% 31% 38% 19%

71% ALD CVD Oxidation/Diffusion

FPD Coater/Developer FPD Etcher/Asher Source (SPE): Gartner, “Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 2017”, 18 April 2018, Charts/graphics created by based on Gartner research. Source (FPD): TEL survey Coater/Developer: Photoresist processing (Track), Dry Etch System: Dry etch, Deposition System: Tube CVD + Atomic layer deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD, ALD: Atomic layer deposition tools, CVD: Tube CVD + Nontube LPCVD, Oxidation/Diffusion: Oxidation/diffusion, Cleaning System: Wet stations + Spray processors + Other clean process CORP IR / 2018.05.11 7

World Top 10 SPE Makers

CY2016 Revenue Ranking (Billions of US$)

Applied Materials 9.87

ASML 7.33

Lam Research 6.37

Tokyo Electron 6.06

KLA-Tencor 3.19

SCREEN 1.78

Advantest 1.41

Teradyne 1.36 1.12 High-Technologies ASM Pacific Technology 0.93

Source; The Chip Insider Equipment & Emerging Markets (VLSI Research, May 2017) Exchange Rates: 1US$=¥108.80

CORP IR / 2018.05.11 8

Medium-term Management Plan TEL Initiatives and Progress

CORP IR / 2018.05.11 9

Wafer Fab Equipment (WFE) Market Outlook

Future growth drivers PC, internet, mobile WFE market growth accelerating. + Investment expanding to VR/AR/MR*, IoT, AI, RPA**, machine learning, big data, $50B+ autonomous driving, blockchain

* VR/AR/MR: Virtual reality/Augmented reality/Mixed reality ** RPA: Robotic process automation ($ Billion) 60 50 40 30 20 10 0 CY'13 CY'14 CY'15 CY'16 CY'17 CY'18 Equipment market is moving to the next phase amid expanding applications for CORP IR / 2018.05.11 Source: VLSI “Wafer Fab Equipment (WFE) Market History and Forecast” April 2018. Graph created by TEL using above data Equipment for wafer-level packaging is not included in the market size here. 10

SPE Business Strategy: Etching System Achieving success in DRAM as planned. Continue to Focus on 3D NAND and Logic

DRAM 3D NAND HARC* processes Logic

. Capacitor processes (HARC) . Improve our position in 9X/12X through . Maintain high interconnecting our clear lead in productivity and etch dielectric process market share . Interconnects Interconnects profile . Enhance our position in 7nm and finer CY’17 CY’18 CY’19 advanced patterning Capacitor 6X 6X/9X 9X

Interconnects Multi-level . Lower customer patterning costs through contact combining multiple steps into one FinFET Word line Channel Simplify processes isolation (Slit) (Memory hole)

Market share CY’15 (Actual) CY’16 (Actual) CY’17 (Actual) ・・・ CY’19 (Target) Etching System 21% 23% 26% >30%

* HARC (High contact) process: a process for forming holes that requires advanced processing technology CORP IR / 2018.05.11 11

SPE Business Strategy: Deposition System Aim to expand earnings based on new technologies for further miniaturization and next-generation semiconductors

ALD system CVD system . Achieve both high quality film formation and high . Differentiate in memory through our clear lead in productivity needed for miniaturization and for 3D batch system productivity structure with semi-batch system . Achieve high quality metallization to enable further ALD system market ¥150.0B miniaturization CAGR >10% ¥90.0B

Semi-batch ALD system 2014 2015 2016 2017 2018 2019 Batch system Metallization system ™ ™ ™ NT333 Source: TEL estimate TELINDY PLUS Triase+

Market share CY’15 (Actual) CY’16 (Actual) CY’17 (Actual) ・・・ CY’19 (Target) Deposition system 38% 37% 36% >47%

Source: Gartner, “Market Share: Semiconductor Wafer Fab Equipment, Worldwide, 2016”, 30 March 2017, Charts/graphics created by Tokyo Electron based on Gartner research. Deposition System: Tube CVD + Atomic layer deposition tools + Oxidation/diffusion furnaces + Nontube LPCVD CORP IR / 2018.05.11 12

SPE Business Strategy: Cleaning Systems

. Expand sales of CELLESTA™ single wafer cleaning system – Expand applications based on backside and bevel cleaning* and drying technology that prevents pattern collapse during the post-etch cleaning processes

Single wafer cleaning system . Secure key 3D NAND processes through batch cleaning CELLESTA™ – Provide high quality and productivity in the metal etching, polysilicon etching, and nitride film removal processes required for precise controllability . Apply best known coater/developers methods to cleaning system business – Share leading-edge technology and expertise by unifying R&D Batch cleaning system EXPEDIUSTM

Market share CY’15 (Actual) CY’16 (Actual) CY’17 (Actual) ・・・ CY’19 (Target) Cleaning system 18% 20% 25% >24%

* Bevel cleaning: process for removing film from the outer part of the wafer CORP IR / 2018.05.11 13

Field Solutions (FS) Business: Medium-term Plan

Field Solutions sales FS business strategy

400 WFE$45B . Respond to new customer needs ¥320.0B 350 driven by IoT

300 140.0 – Provide upgrades and 251.0 Used equipment remanufactured equipment that 250 and modification 208.0 101.0 handle new applications 200 185.0 170.0 93.0 80.0 150 77.0 180.0 . Contribute to improving customer 150.0 productivity 100 Parts and services 105.0 115.0 93.0 – Provide added-value services using 50 remote connections 0 FY'15 FY'16 FY'17 FY'18 FY’20 (Installed base of 62,000 units) (Medium-term plan)

Increase earnings in both the used equipment/modification and parts/service segments through a business model that utilizes makers’ strengths CORP IR / 2018.05.11 14

Financial Model (toward FY2020) (Billion Yen) FY2018 FY2019 FY2020 (Actual) (Estimate) (Medium-term plan) WFE $51B WFE $58B WFE $42B WFE $45B Net sales 1,130.7 1,400.0 1,050.0 1,200.0 SPE 1,055.2 1,288.0 970.0 1,120.0 FPD 75.0 112.0 80.0 80.0 Gross profit 475.0 598.0 452.0 522.0 Gross profit margin 42.0% 42.7% 43.0% 43.5% SG&A expenses 193.8 232.0 200.0 210.0 SG&A expense ratio 17.1% 16.6% 19.0% 17.5% Operating income 281.1 366.0 252.0 312.0 Operating margin 24.9% 26.1% 24.0% 26.0% Net income attributable 204.3 270.0 180.0 220.0 to owners of parent

CORP IR / 2018.05.11 15

FY2019 Business Environment and Financial Estimates (FY2019: April 1, 2018-March 31, 2019)

CORP IR / 2018.05.11 16

Business Environment (Outlook as of April 2018)

► WFE* capex CY2018 investment driven by buoyant demand for memory, expect YoY growth of approx. 15%

►FPD production equipment capex for TFT array process** We expect CY2018 investment in production equipment to increase approx. 10% YoY despite adjustments to investment in small/medium panels for mobile devices, with demand driven by significantly expanded investment in G10.5 on demand for large panels Accelerating growth in the equipment market on active investment in IoT and next generation technologies * WFE (Wafer fab equipment): The semiconductor production process is divided into front-end production, in which circuits are formed on wafers and inspected, and back-end production, in which wafers are cut into chips, assembled and inspected again. Wafer fab equipment refers to the production equipment used in front-end production and in wafer-level packaging production. ** TFT array process: The processes of manufacturing the substrates with the electric circuit functions that drive displays CORP IR / 2018.05.11 17

CY’18 WFE Market Growth and Business Opportunities by Application

. DRAM: Market growth of 60% YoY forecast – Capex: 70% of investment amount to be for new factories, and 1X/1Ynm generation to comprise 80% – Driving force: Average server capacity up 35% – Opportunities: Differentiation through combined patterning and our strength in interconnects

. Non-volatile memory: Same level forecast WFE market by application* – Capex: 9X generations to comprise 50% $58B – Driving force: Growth in SSD demand for data centers and PCs $51B WLP

– Opportunities: Differentiation through high value-added etch and clean DRAM

. Logic/Foundry: Same level forecast Non-volatile memory – Capex: 10nm and beyond generation to comprise 50% Logic foundry – Driving force: Demand for higher performance, lower power consumption Logic & others – Opportunities: Business expansion in more complex patterning processes CY'17 CY'18 * TEL estimate CORP IR / 2018.05.11 18

Drivers of Investment in NAND Flash

Breakdown of NAND bit demand by application *Ratios on graph are in comparison with total bit demand, which is 100 . NAND bit growth driven by SSD –SSD CAGR 50.9%(CY’17-21) Others SSD bit growth –Mobile CAGR 32.0%(CY’17-21) CAGR 50.9% . Rapid increase in demand for server capacity 51% SSD *Capacity is aggregate of SSD and HDD

49% –CY’17 300EB ⇒ CY’21 1ZB EB: 1018 byte, ZB: 1021 byte

43% 40% . Big potential for growth in SSD usage 40% 30% 30% Mobile 35% –Only used in 9% of servers in CY’17 29% 38% CY'17(E) CY'18(E) CY'19(E) CY'20(E) CY'21(E) –Usage in PCs also low at 39% in CY’17

Source: Gartner, “Forecast: NAND Flash Supply and Demand, Worldwide, 1Q16-4Q18, 4Q17 Update”, 02 January 2018. Charts created by Tokyo Electron based on Gartner research. Mobile: Ultramobile + Phone, Basic, Smart OS + Phone, Premium, Smart OS + Phone, Utility, Smart OS, CAGR: CY’17-CY’21 Source: Gartner, “Forecast: Hard-Disk Drives, Worldwide, 2014-2021, 3Q17 Update”, 13 November 2017. Server: Total Enterprise HDD/SSD PB, PC: Total Notebook/Ultramobile Premium and Desk-Based/Workstation PCs Investment driven by rapid increase in storage capacity demand through IoT and increase in SSD usage CORP IR / 2018.05.11 19

FY2018 (April 2017-March 2018) Highlights Net Sales and Operating Income and Net Income Attributable to Gross Profit Margin Operating Margin Owners of Parent and ROE

1,200 300 30.0% 29.0% Net sales (¥B) Operating income (¥B) Net income attributable to Gross profit margin 42.0% Operating margin 24.9% owners of parent (¥B) 25.0% 180 39.6% 40.2% 40.3% ROE 19.1% 19.5% 800 200 17.6% 20.0% 33.0% 13.0% 11.8% 14.4% 1,130.7 281.1 15.0% 204.3 80

400 799.7 100 10.0% 115.2 612.1 613.1 663.9 155.6 5.3% 71.8 77.8 116.7 88.1 5.0% -3.3% 32.2 -19.4 0 0 0.0% -20 FY'14 FY'15 FY'16 FY'17 FY'18 FY'14 FY'15 FY'16 FY'17 FY'18 FY'14 FY'15 FY'16 FY'17 FY'18 . Net sales +41% YoY driven by increase in SPE* demand and expansion of market share in focus areas . Operating income and net income attributable to owners of parent reached new record highs CORP IR / 2018.05.11 * SPE: Semiconductor production equipment 20

FY2019 Financial Estimates

(Billion yen) FY2019 (Estimates) FY2018 (Actual) Full year H1 H2 Full year YoY change Net sales 1,130.7 690.0 710.0 1,400.0 +23.8% SPE 1,055.2 634.0 654.0 1,288.0 +22.1% FPD 75.0 56.0 56.0 112.0 +49.2% Gross profit 475.0 288.0 310.0 598.0 +122.9 Gross profit margin 42.0% 41.7% 43.7% 42.7% +0.7pts SG&A expenses 193.8 115.0 117.0 232.0 +38.1 Operating income 281.1 173.0 193.0 366.0 +84.8 Operating margin 24.9% 25.1% 27.2% 26.1% +1.2pts Income before income taxes 275.2 173.0 193.0 366.0 +90.7 Net income attributable to 204.3 128.0 142.0 270.0 +65.6 owners of parent Net income per share (Yen) 1,245.48 779.95 - 1,645.20 +399.72 Expect sales growth to exceed market growth, generating record high profits for third consecutive year CORP IR / 2018.05.11 SPE: Semiconductor production equipment, FPD: Flat panel display production equipment 21

FY2019 SPE Division New Equipment Sales Forecast

Sales by application . DRAM (Billion yen) DRAM Logic foundry Non-volatile memory Logic & others 504.0 514.0 – investment growth driven by tight supply 500 440.5 32% . 3D NAND 374.0 35% 400 25% – Continued strong investment on 22% sustained SSD demand for data centers 300 32% and PCs 37% 43% 39% 200 . Logic/Foundry 12% 11% – Continued investment in 10/7nm 100 10% 10% 24% generations 30% 22% 16% 0 – Also firm investment in 28nm and prior FY'18 H1 FY'18 H2 FY'19 H1 FY'19 H2 (Actual) (Actual) (Estimates) (Estimates) generations

Percentages on the graph show the composition ratio of new equipment sales. Field solutions sales are not included. CORP IR / 2018.05.11 22

FY2019 R&D Expenses, Capex Plan

. R&D Expenses ¥120.0B (Billion Yen) 150 – Continue investing based on focus areas and R&D expenses 120.0 97.1 sustainable growth 100 83.8 71.3 76.2 . Capex ¥51.0B 50 – Actively invest in advanced technologies R&D and to meet increasing production 0 FY'15 FY'16 FY'17 FY'18 FY'19 (E) . Depreciation ¥26.0B New production buildings (deposition systems, gas chemical etch systems, test systems) (Billion Yen) 60 51.0 Capex Depreciation 45.6 40 26.0 20.8 19.2 20.6 17.8 20.6 20 13.1 13.3

0 Nirasaki City, : Oshu City, Iwate Prefecture: FY'15 FY'16 FY'17 FY'18 FY'19 (E) approx. ¥13.0B construction cost approx. ¥13.0B construction cost (Begin construction in January 2019, (Begin construction in October 2018, completion scheduled for April 2020) completion scheduled for September 2019) Maximize business opportunities while equipment market is CORP IR / 2018.05.11 in a growth phase 23

FY2019 Dividend Forecast

Dividend per share (Yen) 900 823 yen TEL shareholder return policy 624 yen Year-end 600 433 Dividend payout ratio: 50% yen Annual DPS of not less than 150 yen 352 yen We review our dividend policy if the company does not generate net income for two consecutive fiscal years 300 237 yen Interim We will flexibly consider share buybacks 143 yen 390 yen

0 FY'15 FY'16 FY'17 FY'18 FY'19 (E)

Expect to raise DPS 32% YoY

CORP IR / 2018.05.11 24

Appendix 1: Etching System

CORP IR / 2018.05.11 25

3D NAND Business Opportunities

* Ratio of etching systems in NAND Increase in 3D NAND HARC processes (Greenfield, TEL estimates) CY’12-’14 CY’19 Investment per 10k/wspm** ($) 6X 9X 12X Etching systems HARC processes 30 40 50 15% (multi-level contact/word line isolation/channel) Etching systems Other etching processes 50% 80 80 80 Etching process total 110 120 130 Other systems Other systems 85% 50% TEL estimates 9X 3D NAND 6X 3D NAND HARC* processes CY’17 CY’18 CY’19 6X 6X/9X 9X

Multi-level contact

Word line Channel isolation (Slit) (Memory hole) Expand HARC processes by increasing number of stacked layers * HARC (High aspect ratio contact) process: a process for forming holes that requires advanced processing technology ** wspm: wafer starts per month CORP IR / 2018.05.11 26

3D NAND: Approach and Results . Maintained a 100% share of the multi-level contact processes. Plan to further increase revenues by integrating mask process to improve productivity . Captured new customer PORs with 9X generation word line isolation. Aim to increase our position by integrating mask process . Realize the capture of channel processes through new technology Improve productivity by integrating processes Mask process A single system that combines mask and main processes normally processed by different Main process systems  Improved productivity

Expand our position by dramatically improving profile and productivity

CORP IR / 2018.05.11 27

Logic: Approach and Results . Maintained high interconnecting dielectric etching Interconnects Advanced patterning process market share . Aim to expanded advanced patterning applications

RLSA™ plasma source ALE concept process

Realize high selectivity Achieve high-level of control over Plasma etch systems dimension variation Tactras™ RLSA™ through low electron temperature plasma

Aim to increase sales by differentiating our technology in 7nm and finer advanced patterning CORP IR / 2018.05.11 28

DRAM: Approach and Results . Captured BEOL processes by leveraging expertise in logic through our strength in damascene processes . Captured development PORs with all customers using 1Y generation capacitor process (HARC) . Realized reduced patterning costs for customers by combining etching steps. Currently using successes with strategic customers to expand market share in other customers

Interconnects

Capacitor (HARC)

Simplify process and raise productivity by combining multiple etching steps

Currently achieving success in all DRAM focus processes in line with plan CORP IR / 2018.05.11 29

Appendix 2: Effects of EUV Lithography Adoption

CORP IR / 2018.05.11 30

Effects of EUV Lithography Adoption

. EUV adoption will solve sophisticated technological hurdles our customers face (i.e. placement errors), bringing about quite positive effects and SPE industries – Advance miniaturization – Accelerate customers’ investment in next generation technologies by enhancing the yield

. Further miniaturization led by EUV will create more differentiation of our products and business opportunities – Increase our coater/developer market share even further – Expand demand for etch, deposition and cleaning equipment – Differentiate our product through advancing self-aligned patterning technology – Expand business with process integration, leveraging our robust product lineup

CORP IR / 2018.05.11 31

Edge Placement Error Improvement by EUV Fluctuation can be cumulated after each litho-etch step Step1: Line/Space Line (Litho-Etch)3 with 193i  Edge placement error Too close 2 1

Space Too far 1 3 Closer Self-aligned multiple patterning (SAMP) 3 masks requires a lot of etch and dep equipment 3 masks : (Litho + Etch) x 3 Yield degradation Step2: Cut EUV Cut introduction Single exposure by EUV Minimum fluctions 1 1

Schematic of logic device 1 1 LELELE = (Litho-Etch)3 requires 1 mask many lithography tools but does not Yield improvement require many etch and dep equipment 1 mask : (Litho + Etch) x 1 CORP IR / 2018.05.11 32

(Litho-etch)n Patterning for Logic MOL Contact/Cut Module

(Litho-etch)3 patterning EUV lithography Lithography1 Lithography2 Lithgraphy3 • PMD dep • Hard mask1 dep (Litho-etch)n patterning • Hard mask2 dep • Lithography1 • Contact HM2 etch1  short etch time • Lithography2 or • Contact HM2 etch2  short etch time Contact HM2 Contact HM2 Contact HM2 Contact HM2 • Lithography3 etch1 etch2 etch3 etch • Contact HM2 etch3  short etch time • Contact HM1 etch  short etch time • Contact PMD etch  long etch time • Post etch clean • Barrier metal Ti/TiN dep  Thicker layer • Contact plug W dep  Higher etch selectivity • Contact plug W CMP Contact HM1 etch Contact PMD etch Contact plug W CMP

CORP IR / 2018.05.11 33

Self-aligned Multiple Patterning for Line/Space 푝 • Had mask1 dep 1 SADP • Hard mask2 dep 푝 • Mandrel1 dep 2 • Hard mask3 dep Hard mask5 • Hard mask4 dep Mandrel2 Hard mask4 • Mandrel2 dep Hard mask3 Mandrel1 • Hard mask5 dep Hard mask2 • Lithography Hard mask1 • Hard mask5 etch • Mandrel2 etch • Sidewall1 dep Mandrel2 etch Sidewall1 dep Sidewall etch back1 • Sidewall1 etch back 1 SAQP • Mandrel2 pull 푝 • Hard mask4 etch 4 • Hard mask3 etch • Mandrel1 etch • Sidewall2 dep • Sidewall2 etch back

Lithography Hard mask3 etch Mandrel1 etch Sidewall2 dep Sidewall etch back2 Deposition Etch SADP: Self-aligned double patterning SAQP: Self-aligned quadruple patterning CORP IR / 2018.05.11 34

Appendix 3: FPD Production Equipment

CORP IR / 2018.05.11 35

Display Trends Technology inflection creates greater business opportunities Increasing screen size OLED

Color filter Emissive LCD layer TFT TFT Backlight LCD OLED Increasing resolution Design flexibility

TV FHD 4K 8K 300 ppi 700 ppi Flexible, edge bent, free

CORP IR / 2018.05.11 36

FPD Business Medium-term Plan

. Increase share and profitability in market that has begun to grow again . FY2020 target: sales ¥80.0B, operating margin over 20%

(Billion Yen) 100 40%

80 30%

60 20% Sales 40 10% Operating margin

20 0%

0 -10% FY2015 FY2016 FY2017 FY2018 FY2020 (Medium-term plan)

CORP IR / 2018.05.11 37

Medium-term Plan Progress: Highlights . TEL’s product strategy for a new PICP™* etching system is progressing according to plan . Expanding the G10.5 equipment business

2015 2016 2017 2018 2019

Coater/developers and G10.5 etching system

PICP etching system for G8.5 large-sized panels

PICP etching system for small- and medium-sized panels

™ G6 New Betelex platform

OLED process (G6H)

Higher profitability for all panel generations

* PICP: Plasma source for producing extremely uniform high density plasma on substrate CORP IR / 2018.05.11 38

Opportunity – G10.5 Equipment Market . Greater than expected investment and market expansion . Maintain high market share through technological differentiation (large area plasma suppression, air floating coater) G10.5 TFT array equipment market Eight 65 inch TV panel substrate possible ($M)3,500 “65 3,000

2,500

2,000 mm

1,500 3,370 1,000

500

0 2017 2018 2019 2,940 mm Results based on IHS Markit, Technology Group “Display Supply Demand & Equipment Tracker, Q1 2017”. Results are not an endorsement of Tokyo Electron. Any reliance on these results is at the third party's own risk. Visit technology.ihs.com for more details. CORP IR / 2018.05.11 39

Opportunity – Metal Oxide/LTPS . Higher sophistication of etching technology and increased number of processes

TFT a-Si Metal oxide LTPS

Representation Further new of structure needs

Flexible displays LCD TV OLED TV +2 processes Application Monitor Tablet (LCD/OLED) OLED process Number of 5 6 - 8 9 - 13 (G6 half size) masks +3-4 processes Dry etch 3 3 -11 processes a-Si, SiNx SiO, SiNx SiO, Metal CORP IR / 2018.05.11 40

Opportunity – Growth of OLED TV Market . Introduced inkjet printing system to meet production demand from 2018 . Material utilization significantly more efficient than current evaporation method

Increase in OLED TV manufacturers OLED TV area demand 8 New manufacturers in 7 6 REGZA X910 series YR 5

Launched March 2017 / 2

Sony 4 Mm BRAVIA A1 3 To be launched June 2017 2 VIERA TH-65EZ1000/EZ950 series 1 To be launched June 2017 0 2016 2017 2018 2019 2020 2021

Results based on IHS Markit, Technology Group “Display Supply Demand & Equipment CORP IR / 2018.05.11 Tracker, Q1 2017”. Results are not an endorsement of Tokyo Electron. Any reliance on these results is at the third party's own risk. Visit technology.ihs.com for more details. 41

Appendix 4: Financial Data

CORP IR / 2018.05.11 42

Financial Summary (Billion yen) (Reference) YoY FY2018 estimates FY2017 FY2018 Change announced on Oct. 31, 2017 Net sales 799.7 1,130.7 +41.4% 1,130.0 SPE 749.8 1,055.2 +40.7% 1,054.7 FPD 49.3 75.0 +52.0% 75.0 Gross profit 322.2 475.0 +47.4% 467.0 Gross profit margin 40.3% 42.0% +1.7pts 41.3% SG&A expenses 166.5 193.8 +16.4% 196.0 Operating income 155.6 281.1 +80.6% 271.0 Operating margin 19.5% 24.9% +5.4pts 24.0% Income before income taxes 149.1 275.2 +84.6% 267.0 Net income attributable to +77.4% owners of parent 115.2 204.3 198.0 EPS (Yen) 702.26 1,245.48 +77.4% 1,206.66 R&D expenses 83.8 97.1 +15.9% 100.0 Capital expenditures 20.6 45.6 +120.3% 50.0 Depreciation and amortization 17.8 20.6 +15.4% 23.0 1. In principle, export sales of Tokyo Electron’s mainstay semiconductor and FPD production equipment are denominated in yen. While some settlements are denominated in dollars, exchange risk is hedged as forward exchange contracts are made individually at the time of booking. 2. Profit ratios are calculated using full amounts, before rounding. CORP IR / 2018.05.11 43

Segment Information SPE FPD Composition of Net Sales (Semiconductor Production Equipment) (Flat Panel Display Production Equipment) (Billion Yen) (Billion Yen) 1,200 60% 100 60% 100% Sales Sales 6 7 FPD Segment income 1,055.2 Segment income Segment profit margin Segment profit margin 50% 50% 75.0 900 75

749.8 40% 40%

49.3 600 30% 50 30% 50% 94 93 SPE 29.8%

24.4% 20% 17.7% 20% 314.6 300 25 182.7 9.4% 10% 13.2 10% 4.6

0 0% 0 0% 0% FY'17 FY'18 FY'17 FY'18 FY'17 FY'18 Significantly raised profitability in both SPE and FPD 1. Segment income is based on income before income taxes. 2. R&D expenses such as fundamental research and element research are not included in above reportable segments. 3. Composition of net sales figures is based on the sales to customers. CORP IR / 2018.05.11 44

SPE Division: New Equipment Sales by Application

(Billion Yen) 900 DRAM 814.6 Non-volatile memory Logic foundry Logic & others (MPU, AP, Others) 24% FY2018 results

600 550.3 . Sales of DRAM and non-volatile memory

17% more than doubled YoY driven by increase 437.4 40% in demand for servers and proactive 30% 28% investment in next-generation technology 300 20% 11% 31% . In foundry/logic, investment in cutting-edge 25% 25% generations and 28nm and above continued 25% 24% 0 FY'16 FY'17 FY'18

CORP IR / 2018.05.11 45

SPE Division: New Equipment Sales by Product

(Billion Yen) 900 Coater/Developer 814.6 Etch system Deposition system Cleaning system Wafer prober 20% Others FY2018 results 600 550.3 . Sales expanded in focus areas (etch, 437.4 25% 40% deposition, cleaning) driven by investment 28% in non-volatile memory and miniaturization 34% 300 enabled by multiple patterning 29% 23% 22% 26% 10% 11% 10% 4% 6% 6% 0 3% 2% 1% FY'16 FY'17 FY'18

CORP IR / 2018.05.11 46

Field Solutions Sales

(Billion Yen) 300 Used equipment and modification Parts and services 251.0 FY2018 results 208.0 200 185.0 101.0 . Sales growth of +21% YoY,

93.0 full-year sales reached ¥251.0B 80.0 . Parts sales increased strongly, especially 100 in South Korea, due to rise in customers’ 150.0 105.0 115.0 equipment utilization

0 FY'16 FY'17 FY'18

CORP IR / 2018.05.11 * FY2016 and FY2017 sales figures have been rounded. 47

SPE Sales by Region Japan North America Europe Korea (Billion Yen) (Billion Yen) (Billion Yen) (Billion Yen) 400 400 400 400 371.9

200 200 200 200 145.5 137.7 119.2 91.2 101.5 96.9 59.9

0 0 0 0 FY'17 FY'18 FY'17 FY'18 FY'17 FY'18 FY'17 FY'18

Taiwan S.E. Asia, Others Region Composition (Billion Yen) (Billion Yen) (Billion Yen) S.E. Asia, 400 400 400 100% 6 5 Others 12 10 China 16 Taiwan 225.9 30

200 200 200 50% 35 Korea 169.0 18 104.3 90.6 8 9 Europe 42.8 48.2 14 11 North America 12 14 Japan 0 0 0 0% FY'17 FY'18 FY'17 FY'18 FY'17 FY'18 FY'17 FY'18

CORP IR / 2018.05.11 48

Inventory Turnover and Accounts Receivable Turnover (Quarterly)

(Billion Yen) (Days) 400 160 Net sales Inventory turnover 355.9 Accounts receivable turnover 120 117 300 113 111 111 120 107 108 110 107

200 80 81

65 64 61 57 57 54 54 52 100 40

0 0 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 FY'16 FY'17 FY'18

Turnover days = inventory or accounts receivable at the end of each quarter / last 12 months sales x 365

CORP IR / 2018.05.11 49

Financial Summary (Quarterly) (Billion yen) FY2017 FY2018 vs. Q3 Q4 Q1 Q2 Q3 Q4 FY2018 Net sales 260.6 236.3 280.5 257.7 355.9 +38.1% SPE 243.5 221.9 265.7 241.4 326.0 +35.1% FPD 17.0 14.3 14.7 16.2 29.7 +83.1% Gross profit 110.6 97.1 118.2 106.0 153.5 +44.8% Gross profit margin 42.5% 41.1% 42.1% 41.1% 43.1% +2.0pts SG&A expenses 49.1 42.3 49.7 47.9 53.7 +12.1% Operating income 61.5 54.7 68.5 58.0 99.7 +71.7% Operating margin 23.6% 23.2% 24.4% 22.5% 28.0% +5.5pts Income before income taxes 61.4 55.1 67.1 57.3 95.6 +66.8% Net income attributable to +79.3% owners of parent 47.2 41.2 49.4 40.7 72.9 R&D expenses 24.8 21.1 24.8 23.9 27.0 +13.1% Capital expenditures 7.1 8.1 11.7 13.7 12.0 -12.7% Depreciation and amortization 5.0 4.2 4.7 5.5 6.0 +10.6% 1. In principle, export sales of Tokyo Electron’s mainstay semiconductor and FPD production equipment are denominated in yen. While some settlements are denominated in dollars, exchange risk is hedged as forward exchange contracts are made individually at the time of booking. 2. Profit ratios are calculated using full amounts, before rounding. CORP IR / 2018.05.11 SPE: Semiconductor production equipment, FPD: Flat panel display production equipment 50

Financial Performance (Quarterly) (Billion Yen) 500 50%

43.1% 400 40% 355.9

300 30% 28.0%

200 20%

99.7 100 72.9 10%

0 0% Q4 Q1 Q2 Q3 Q4 FY’17 FY’18 Net sales 260.6 236.3 280.5 257.7 355.9 Operating income 61.5 54.7 68.5 58.0 99.7 Net income attributable 47.2 41.2 49.4 40.7 72.9 to owners of parent Gross profit margin 42.5% 41.1% 42.1% 41.1% 43.1% Operating margin 23.6% 23.2% 24.4% 22.5% 28.0%

CORP IR / 2018.05.11 51

Segment Information (Quarterly) SPE FPD Composition of Net Sales (Semiconductor production equipment) (Flat panel display production equipment) (Billion Yen) (Billion Yen) 350 60% 35.0 60% 100% Sales 326.0 Sales 7 6 5 6 8 FPD Segment income Segment income 29.7 Segment profit margin Segment profit margin 50% 50% 28.0 280 265.7 243.5 241.4 221.9 40% 40% 210 21.0 17.0 30% 16.2 30% 50% 14.7 25.1% 93 94 95 94 29.8% 29.6% 30.9% 14.3 92 SPE 140 27.8% 28.6% 14.0 19.0% 100.7 20% 20% 14.1% 15.4% 67.6 66.1 78.6 69.0 7.4 70 7.0 10% 10% 3.0 2.3 3.2% 2.2 0.4 0 0% 0.0 0% 0% Q4 Q1 Q2 Q3 Q4 Q4 Q1 Q2 Q3 Q4 Q4 Q1 Q2 Q3 Q4 FY'17 FY'18 FY'17 FY'18 FY'17 FY'18

1. Segment income is based on income before income taxes. 2. R&D expenses such as fundamental research and element research are not included in above reportable segments. 3. Composition of net sales figures is based on the sales to customers.

CORP IR / 2018.05.11 52

SPE Division: New Equipment Sales by Application (Quarterly)

(Billion Yen) 300

258.8 250 22% 17% 204.3 25% 28% 12% 200 185.3 22% 181.7 169.716% 25%17%27% 29% 12% 22% 146.1 31% 17% 21%40% 150 130.4 27% 25% 12% 16% 24% 35% 38% 31% 40% 43% 103.120% 16%18% 27% 35% 25% 31% 24% 40% 41% 100 38%23%88.3 18% 27% 24% 32% 16%8% 38%23% 18% 17% 31% 27%34% DRAM 30% 17% 31% 32% 27%34% 16%8% 17% 31%27% 32% 34% 16% 12% 10% Non-volatile memory 50 27% 22% 32% 22% 22% 32% 32% Logic foundry 32% 25% 24% 32%27%24% 27% 21% 25% 25%23% 25% 24%26% 27%26% 26% 19% Logic & others 23%25% 23% 19% 26%19% 0 19% (MPU, AP, Others) Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 FY'16 FY'17 FY'18

CORP IR / 2018.05.11 Percentages on the graph show the composition ratio of new equipment sales. Field solutions sales are not included. 53

Field Solutions Sales (Quarterly)

(Billion Yen) 80 70.0 64.4 60.4 62.1 60 52.4 54.4 48.9 50.9 44.4

40

20

0 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 FY’16 FY’17 FY’18 SPE Sales 46.4 42.4 50.0 48.8 58.1 52.2 61.4 59.7 67.2 FPD Sales 2.4 2.0 2.4 2.0 2.2 2.2 2.9 2.4 2.8

CORP IR / 2018.05.11 54

SPE Division: Sales by Region (Quarterly)

(Billion Yen) 400

326.0

300 265.7 243.5 241.4 221.9 196.2 200 179.3 149.5 130.7

100

0 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 FY’16 FY’17 FY’18 Japan 26.4 17.8 26.4 20.3 26.6 26.7 38.4 35.1 45.1 North America 19.7 17.0 34.4 24.6 25.4 24.0 32.0 29.1 33.9 Europe 9.5 5.2 6.6 15.0 32.9 25.3 26.9 19.6 25.0 South Korea 30.9 19.8 29.0 33.3 55.5 68.3 101.7 79.4 122.3 Taiwan 42.5 30.5 58.2 62.3 74.7 45.8 36.6 42.1 44.3 China 15.2 30.0 24.0 13.7 22.7 26.7 23.0 22.6 31.8 S. E. Asia, Others 5.0 10.3 17.3 9.8 5.3 4.6 6.7 13.2 23.4

CORP IR / 2018.05.11 55

Balance Sheet (Quarterly) Assets Liabilities & Net Assets

(Billion Yen) (Billion Yen) 1,208.7 1,208.7 1,091.5 1,091.5 1,031.1 1,031.1 Cash & cash 957.4 954.9 373.8 957.4 954.9 equivalents* 437.1 Liabilities 301.2 381.9 329.9 323.1 315.3 294.6 Trade notes, accounts 311.4 299.8 159.5 152.3 receivables 142.8 133.8 139.7 344.0 Inventories 332.8 236.2 283.4 Net assets 266.6 709.5 771.5 645.9 655.1 707.9 95.6 119.5 Other current assets 90.4 65.2 77.0 Tangible assets 100.4 104.2 111.4 120.8 125.9 15.4 16.2 16.4 16.5 15.8 Intangible assets 65.6 68.2 70.0 72.0 69.7 Investment & other assets Q4 Q1 Q2 Q3 Q4 Q4 Q1 Q2 Q3 Q4 FY'17 FY'18 FY'17 FY'18

* Cash and cash equivalents: Cash and deposits + Short-term investments, etc. (Securities in B/S).

CORP IR / 2018.05.11 56

Cash Flow (Quarterly)

(Billion Yen) 100 88.1

80 75.3 60

40

20

0 -0.0

-20 -12.8 -40

-60

-80

-100 Q4 Q1 Q2 Q3 Q4 Q1 Q2 Q3 Q4 FY’16 FY’17 FY’18 Cash flow from operating activities 7.9 22.1 29.8 41.3 43.6 24.4 43.4 30.4 88.1 Cash flow from investing activities* -2.9 -4.9 -4.9 -4.1 -4.9 -9.3 -9.4 -15.2 -12.8 Cash flow from financing activities 0.0 -18.3 0.0 -21.0 -0.0 -36.8 -0.0 -45.7 -0.0 Free cash flow** 5.0 17.2 24.8 37.1 38.7 15.1 34.0 15.1 75.3 Cash on hand*** 236.6 230.9 255.6 277.2 315.3 294.6 329.9 301.2 373.8 * Cash flow from investing activities excludes changes in deposits with periods to maturity of over 3 months. ** Free cash flow = cash flow from operating activities + cash flow from investing activities excluding changes in deposits with periods to maturity of over 3 months. *** Cash on hand includes the total of cash + deposits with periods to maturity of over 3 months. CORP IR / 2018.05.11 57

Consolidated 10-year Financial Summary (Million Yen) FY2009 FY2010 FY2011 FY2012 FY2013 FY2014 FY2015 FY2016 FY2017 FY2018 Net Sales 508,082 418,636 668,722 633,091 497,299 612,170 613,124 663,948 799,719 1,130,728 Semiconductor production equipment 325,383 262,391 511,331 477,873 392,026 478,841 576,242 613,032 749,893 1,055,234 FPD production equipment 88,107 71,361 66,721 69,888 20,160 28,317 32,709 44,687 49,387 75,068 PV production equipment 3,805 3,617 Computer network 94,207 84,473 90,216 84,867 84,664 100,726 Electronic components Other 384 410 453 461 448 479 555 6,228 438 425 Gross profit 137,408 108,316 234,758 211,444 158,754 201,892 242,773 267,209 322,291 475,032 Gross profit margin 27.0% 25.9% 35.1% 33.4% 31.9% 33.0% 39.6% 40.2% 40.3% 42.0% SG&A expenses 122,697 110,496 136,887 151,001 146,206 169,687 154,660 150,420 166,594 193,860 Operating income 14,710 -2,180 97,870 60,443 12,548 32,204 88,113 116,788 155,697 281,172 Operating margin 2.9% -0.5% 14.6% 9.5% 2.5% 5.3% 14.4% 17.6% 19.5% 24.9% Ordinary income 20,555 2,558 101,919 64,046 16,696 35,487 92,949 119,399 157,549 208,737 Income before income taxes 9,636 -7,767 99,579 60,602 17,766 -11,756 86,827 106,466 149,116 275,242 Net income attributable to owners of parent 7,543 -9,033 71,924 36,725 6,076 -19,408 71,888 77,891 115,208 204,371

Depreciation and amortization 23,068 20,001 17,707 24,197 26,630 24,888 20,878 19,257 17,872 20,619 Capital expenditures 18,107 14,918 39,140 39,541 21,773 12,799 13,183 13,341 20,697 45,603 R&D expenses 60,987 54,074 70,568 81,506 73,248 78,663 71,349 76,286 83,800 97,103 Interest-bearing debt 3,806 5,105 7,996 4,402 3,756 13,531 ― ― ― ― Equity 518,387 511,818 572,741 586,789 593,032 578,091 639,483 562,369 643,094 767,146 Total assets 668,998 696,351 809,205 783,610 775,527 828,591 876,153 793,367 957,447 1,208,705 Debt-to-equity ratio 0.7% 1.0% 1.4% 0.8% 0.6% 2.3% ― ― ― ― Equity ratio 77.5% 73.5% 70.8% 74.9% 76.5% 69.8% 73.0% 70.9% 67.2% 63.5% ROE 1.4% -1.8% 13.3% 6.3% 1.0% -3.3% 11.8% 13.0% 19.1% 29.0% Cash flow from operating activities 81,030 48,284 83,238 29,712 84,266 44,449 71,806 69,398 136,948 186,582 Cash flow from investing activities -160,621 9,613 -35,881 -8,352 -141,769 -19,599 155,737 -150,013 -28,893 -11,833 Cash flow from financing activities -46,015 -287 -5,236 -27,334 -10,625 -186 -18,213 -138,600 -39,380 -82,549 Net income per share 42.2 -50.5 401.7 205.0 33.9 -108.3 401.1 461.1 702.3 1,245.5 Cash dividends per share 24 12 114 80 51 50 143 237 352 624 Number of employees 10,391 10,068 10,343 10,684 12,201 12,304 10,844 10,629 11,241 11,946 CORP IR / 2018.05.11 58

. Disclaimer regarding forward-looking statement Forecast of TEL’s performance and future prospects and other sort of information published are made based on information available at the time of publication. Actual performance and results may differ significantly from the forecast described here due to changes in various external and internal factors, including the economic situation, semiconductor/FPD market conditions, intensification of sales competition, safety and product quality management, and intellectual property-related risks.

. Processing of numbers For the amount listed, because fractions are rounded down, there may be the cases where the total for certain account titles does not correspond to the sum of the respective figures for account titles. Percentages are calculated using full amounts, before rounding.

. Exchange risk In principle, export sales of Tokyo Electron’s mainstay semiconductor and FPD panel production equipment are denominated in yen. While some settlements are denominated in dollars, exchange risk is hedged as forward exchange contracts are made individually at the time of booking. Accordingly, the effect of exchange rates on profits is negligible.

. Disclaimer regarding IHS Markit data (Page 39, 41) The IHS Markit reports and information referenced herein (the "IHS Markit Materials") are the copyrighted property of IHS Markit Ltd. (“IHS Markit”) and represent data, research, opinions or viewpoints published by IHS Markit, and are not representations of fact. The IHS Markit Materials speak as of the original publication date thereof (and not as of the date of this offering document). The information and opinions expressed in the IHS Markit Materials are subject to change without notice and IHS Markit has no duty or responsibility to update the IHS Markit Materials. Moreover, while the IHS Markit Materials reproduced herein are from sources considered reliable, the accuracy and completeness thereof are not warranted, nor are the opinions and analyses which are based upon it. To the extent permitted by law, IHS Markit shall not be liable for any errors or omissions or any loss, damage or expense incurred by reliance on the IHS Markit Materials or any statement contained herein, or resulting from any omission. No portion of the IHS Markit Materials may be reproduced, reused, or otherwise distributed in any form without the prior written consent of IHS Markit. Content reproduced or redistributed with IHS Markit’s permission must display IHS Markit’s legal notices and attributions of authorship. IHS Markit and the IHS Markit globe design are trademarks of IHS Markit. Other trademarks appearing in the IHS Markit Materials are the property of IHS Markit or their respective owners.

. Disclaimer regarding Gartner data (Page 6, 7, 12, 19) All statements in this presentation attributable to Gartner represent Tokyo Electron’s interpretation of data, research opinion or viewpoints published as part of a syndicated subscription service by Gartner, Inc., and have not been reviewed by Gartner. Each Gartner publication speaks as of its original publication date (and not as of the date of this presentation). The opinions expressed in Gartner publications are not representations of fact, and are subject to change without notice. CORP IR / 2018.05.11 FPD: Flat panel display 59

CORP IR / 2018.05.11 60