<<

ULTRAHIGH RESOLUTION ELECTRON BEAM

FOR

A Dissertation

Submitted to the Graduate School

of the University of Notre Dame

in Partial Fulfillment of the Requirements

for the Degree of

Doctor of Philosophy

By

Wenchuang (Walter) Hu, B.S., M.S.E.E.

Gary H. Bernstein, Director

Graduate Program in Electrical Engineering

Notre Dame, Indiana

May 2004

© Copyright by

WENCHUANG (WALTER) HU

2004

All rights reserved

ULTRAHIGH RESOLUTION ELECTRON BEAM LITHOGRAPHY

FOR MOLECULAR ELECTRONICS

Abstract

By

Wenchuang (Walter) Hu

As the downscaling of conventional CMOS IC technology approaches its fundamental limits of power dissipation and fabrication difficulties, alternative computing approaches must be exploited. One of the promising candidates is molecular electronics. Significant progress for molecular devices and related theoretical calculations have been achieved during the last decade. Fabrication methods, however, are still a big challenge. In this work, we study ultrahigh resolution electron beam lithography (system, resist capability, and process) for the fabrication of molecular electronics, and molecular quantum-dot cellular automata (QCA) in particular.

The major work contains three parts: the development of an EBL system based on a cold cathode field emission scanning electron microscope (Hitachi S-4500 SEM); the development of an ultrahigh-resolution lithography process with cold development of

PMMA; and the application to the fabrication of molecular QCA, which involves the Wenchuang Hu patterning of self-assembled monolayers (SAMs), the patterning of DNA rafts, and the

patterning of PMMA tracks for molecular liftoff of QCA molecules. The nanofabrication for molecular QCA is a close collaboration with Dr. Marya Lieberman’s group (Dr.

Xuejun Wang and Dr. Koshala Sarveswaran) in the Department of Chemistry and

Biochemistry of the University of Notre Dame.

The ultrahigh resolution (5 nm) EBL in PMMA was achieved by the use of the

SEM converted cold cathode field emission system and a cold development process,

which proved to reduce the resist residue in the sub-10 nm lithographic patterns. Such

high resolution has been successfully transferred to metal and structures by

liftoff. The fabrication of 5-nm-wide metal and Au-nanoparticle lines has been

demonstrated. Dense line patterns with sub-30 nm pitches in PMMA have been obtained.

In addition, we have developed a novel precision wafer cross-sectioning technique to

study EBL in resists. Besides PMMA, we have studied a chemical amplified resist called

“XP9947” (Shipley Co.) for its capability for the 90 nm lithography node. 50-nm single-

pass lines and 70-nm half-pitch resolution are achieved on the XP9947 resist.

Application to molecular QCA involves the patterning of SAMs and DNA rafts.

EBL is performed to cleave the embedded disulfide bonds in the SAMs to define

nanometer scale patterns for chemical binding of molecules. Sub-30 nm lines are

fabricated, and attachment of fluorescent molecules, N-(1-pyrene)maleimide is

demonstrated. Also, a well-controlled molecular liftoff process was developed to pattern

4-tile DNA rafts onto silicon substrates with low level defects and low surface roughness.

The goal is to use an appropriate combination of lithography and self assembly

technology to fabricate molecular systems.

To my family…

ii

CONTENTS

FIGURES……………………………………………………………………...…...…..vii

TABLES……………………………………………………………………….…….…xii

ACKNOWLEDGMENTS……………………………………………………………xiii

CHAPTER 1 INTRODUCTION……………………………………………...…………1

1.1 Molecular electronics and electron beam lithography ………..…………1

1.2 EBL for molecular quantum-dot cellular automata ……………..………3

CHAPTER 2 COLD CATHODE FIELD EMISSION EBL SYSTEM………………...10

2.1 Introduction to CCFE EBL system…………………………………...…11

2.2 CCFE EBL system overview………………………………...………….11

2.3 System modules………………………………..………………………..15

2.4 System optimization……………………………...……………………...21

2.4.1 Beam blanking problem……………………………..…………..21

2.4.2 X-Y dimension ratio calibration…………………………….…..25

2.4.3 Design-actual dimension ratio calibration………………..……..26

2.4.4 Noise elimination………………………………..………………26

iii 2.4.5 V5.2 update………………………………………..…………….30

2.4.6 Future improvements……………………………..……………..31

2.5 Pattern exposure………………………………………………....………31

2.5.1 Magnification and scan field………………..…………………..31

2.5.2 Beam energy and current……………………………………..…32

2.5.3 Spot size …………………………………………..…………….36

2.5.4 Objective lens aperture……………………………………..…...36

CHAPTER 3 NANOLITHOGRAPHY WITH COLD DEVELOPMENT OF PMMA

……………...... 39

3.1 Introduction to e-beam lithography……….…………………...………..39

3.1.1 E-beam resists………………………………………………..….40

3.1.2 Substrate………………………………………..……………….43

3.1.3 EBL theory and Monte Carlo simulation…………………..…...43

3.1.4 Developer …………………..…………………………………..52

3.1.5 Pattern transfer………………………..………………………...52

3.2 EBL process with cold development…………………………..……….54

3.2.1 Substrate and cleaning……………………….…………………55

3.2.2 PMMA preparation…………………………….…………..…...56

3.2.3 Cold development……………………………………………...56

3.2.4 Comparison of cold and ultrasonic development………………66

3.2.5 Liftoff of metal…………………………………………………69

3.2.6 Liftoff of Au nanoparticle ……………………………………..76

3.3 EBL process discussion………………………………………………..78

iv 3.3.1 Resolution …………………..…………………………….…...78

3.3.2 Metal liftoff…………………………..………………….……..81

3.3.3 Pattern quality………………..………………………….……..85

3.3.4 Aspect ratio and cross-sectional shape ……………….….…....88

3.3.5 PMMA resist……………………………………..…….………88

3.4 Applications…………..………………………………………………..91

CHAPTER 4 STUDY OF RESISTS…………………………………..……………….95

4.1 Introduction to EBL resists………………………………………….…96

4.1.1 Polymer resists for EBL………………….…………………….96

4.1.2 Chemically amplified resists for NGL…………………………98

4.2 Precise wafer breaking technique……………………………………...102

4.2.1 Process overview…………………………..…………………..103

4.2.2 Process details…………………..……………………………..107

4.3 Cross-section protection process………………………………………109

4.4 Aging effect study of PMMA ……………….……………..…………112

4.5 Study of chemically amplified resist (XP 9947)………………………113

4.6 Other applications……………………………………………..………117

CHAPTER 5 EBL OF SELF ASSEMBLE MONOLAYERS……………………...... 118

5.1 Introduction of SAMs…………………………………..……………..118

5.2 SAMs synthesis process………………………………...……………..120

5.3 Chemical characterization of monolayer resist………………………..121

5.4 EBL process ………………………....………………………………..123

v 5.5 Molecular attachment………………..………………………………...126

5.6 Discussion and conclusions………………………...………………….129

CHAPTER 6 DNA PATTERNING FOR MOLECULAR QCA………………..…….132

6.1 Introduction………………...………………………………………….132

6.2 Synthesis of DNA raft….………………………………………..…….133

6.3 Molecular liftoff of DNA……………………….…..………..………..134

6.4 Conclusions……………..……………………………………………..141

CHAPTER 7 SUMMARY AND FUTURE WORK………………………..………...143

7.1 Summary………………………………………………………………143

7.2 Future work……………………………………………………………147

REFERENCES………………………………………………………………..………..150

Chapter 1……………………………………………………………………….150

Chapter 2……………………………………………………………………….153

Chapter 3……………………………………………………………………….154

Chapter 4……………………………………………………………………….160

Chapter 5……………………………………………………………………….164

Chapter 6……………………………………………………………………….166

Chapter 7……………………………………………………………………….167

vi

FIGURES

1.1 Schematic of four-dot QCA cells and QCA devices…………………………….4

1.2 Molecule as QCA cell……………………………………………………….…..6

1.3 Crossbar molecular electronics……………………………………………….…7

1.4 fabricated by nonlithographic super-lattice nanowires pattern transfer (SNAP) process…..……………………………………………………………...7

1.5 Schematic illustration of proposed fabrication process for M-QCA using EBL and molecular liftoff…………………………………………………………………9

2.1 Schematic diagram of the FESEM EBL system…………………..……………13

2.2 Hitachi S-4500 CCFE EBL system…………………………….………………14

2.3 Schematic view of Hitachi S-4500 field emission SEM………………….……16

2.4 Architecture of the V5 software……...….……………………………………..18

2.5 Schematic of beam blanking circuit ……….…………………………………..19

2.6 One-bit QCA full adder patterns……………………….………………………22

2.7 Schematic diagram of the blanking circuit…………………….……………….23

2.8 AFM image of an one-bit QCA full adder pattern in PMMA……………….…24

2.9 EBL patterns of one-bit QCA full adders…………………………….………...24

2.10 Calibrated X-Y dimension ratio (X:Y~1:1)……………………….…...……….25

2.11 Combined noise on the EBL system……………………………………………26 vii 2.12 Ground diagram of Hitachi CCFE EBL system…………………………….…..27

2.13 Cartoon of isolation box made for roughing pumps……………….………..…..28

2.14 Beam current stabilization process……………………….…………………..…29

2.15 Lithography pattern of line grating after noise reduction…………….……..…..30

2.16 Calculations using Gaussian exposure model to determine pixel spacing requirements, pixel spacing higher than the beam diameter will cause uniformity problem and result in higher LER and more resist residue……………………...33

2.17 Electron scattering in electron resist exposure. The curves at the top of the figure show the exposure distributions due to the incident and backscattered electrons …………………………………………...34

3.1 Schematic illustration of positive and negative resists…………………………..41

3.2 Electron scattering during EBL on a resist-coated substrate (Si substrate with 80- nm-thick PMMA resist), simulated by Monte Carlo simulation software………45

3.3 Exposure distributions of forward-scattered and backscattered electrons for a 1-µ m-thick resist layer on a silicon substrate for 10 keV.…………………………..46

3.4 Trajectories of (a) forward-scattered electrons and (b) secondary electrons in 400- nm-thick PMMA resist with the energy of 20 keV. (Adopted from Ref. [32]) …………………………………………...49

3.5 Distribution quantities for study of EBL resolution limits………………………51

3.6 Schematic of EBL liftoff process…………………………….…………………..53

3.7 Schematic of reactive ion etching after EBL……………….……………………54

3.8 PMMA trenches with 4~8 nm widths defined by EBL and developed at 6°C. 4- nm-wide and 6-nm-wide lines are shown at top…………….…………………...59

3.9 Linewidth vs. developer temperature at different doses…….…………..……….60

3.10 SEM image of 8-nm-wide PMMA lines with 35-nm pitch….…..………………61

3.11 PMMA lines with 6~8-nm width and 30-nm pitch………...……………………61

3.12 AFM image of the PMMA patterns used to measure contrast……………..……63

viii 3.13 The PMMA contrast curves at development temperatures of 4°C, 22°C, and 42°C, measured by AFM………………………………………………………………64

3.14 Intermolecular force as a function of linewidth……………………...…………66

3.15 Calculated average fragmented molecular weight (Mf) vs. dose (solid line curves) …………………………………………..68

3.16 Schematic of EBL liftoff process using double-layer PMMA……………….…70

3.17 Metal structures with sidewalls after liftoff…………………………….……….71

3.18 Poor adhesion of thin metal lines to the substrate………………………………72

3.19 SEM images of PMMA structures (scale bar is 100 µm) fabricated by X-ray lithography………………………………………..……………………………..73

3.20 Liftoff lines by EBL with cold development of 60-nm-thick PMMA………….74

3.21 Au60Pd40 lines (3 nm thick) with 5~10 nm width formed in 40-nm-deep PMMA trenches fabricated by EBL using cold development and liftoff………………..75

3.22 Schematic diagram of Au-nanoparticle liftoff process………………………….77

3.23 Liftoff patterns of Au ……………………………………...……..77

3.24 QCA adder patterns in PMMA resists developed at a) 23°C and b) 6°C…..…..81

3.25 Grains of Au (left) and Au60Pd40 (right) films with thickness of 10 nm……..…82

3.26 5-nm Monogranular Au lines (3-nm thick) by liftoff………………………..….84

3.27 Au60Pd40 films deposited by evaporation with and without ionization………….85

3.28 Comparison of 10-nm-wide intrinsic PMMA lines…………………...…………87

3.29 SEM image of cross-sections of sub-10-nm PMMA trenches……………..……89

3.30 Chemical formula of PMMA………………………………………………...…..89

3.31 SEM image of a one-bit full-adder pattern defined by EBL and covered with 1-nm Cr using cold development for molecular QCA. The linewidth is 6-8 nm…..….92

3.32 AFM image of a lifted-off line of CT5 molecules with a calculated width of about 15 nm for M-QCA……………………………...………………………………..93

ix 3.33 AFM image of DNA tiles (pink) deposited on top of PMMA on silicon…….…94

4.1 Structure of calixarene derivative, hexaacetate p-methylcalix[6]arene………....98

4.2 Schematic illustration of CAR under radiation………………………………….99

4.3 Schematic illustration of precise wafer breaking technique………………...….105

4.4 SEM image of cross-section interface…………………………………...……..106

4.5 Optical lithography result of the pattern for cross-sectioning wafer………...…107

4.6 Cross sections of EBL in PMMA………………………………………………109

4.7 E-beam damage to PMMA trenches……………………………………………110

4.8 Optimized process to protect the original PMMA EBL profiles……………….111

4.9 SEM of PMMA trenches…………………………………………………...…..112

4.10 SEM images of PMMA profiles of EBL……………………………….………113

4.11 Definition of half pitch resolution for metal interconnects and poly-silicon gates in industry……………………………………………………….……………...114

4.12 Single pass trenches with 50-nm width in 100-nm-thick XP9947 resist………116

4.13 Grating lines with pitch of 135~160 nm and 60~80 nm linewidth in 100-nm-thick XP9947 resist…………………………………………………………………..117

5.1 Synthesis of phenyl(3-trimethoxysilylpropyl)disulfide monolayers…………..120

5.2 a) Side view of a SAM I molecule (space filling model), b) top view, and c) cartoon of the SAM I…...……………………………………………………...121

5.3 XPS on (a) SAM I on thick SiO2 and (b) pure thick SiO2 in the S 2p region…122

5.4 SEM image of an alignment marker for lithography and AFM imaging………123

5.5 Trenches in SAMs by EBL at 30 keV………………………………………….124

5.6 EBL squares in SAMs by EBL at 30 keV……………….……………………..125

5.7 a) AFM image of circles with overdosed carbon-contamination dots (the white spots) deposited by EBL on purpose. b) Lateral-friction-mode AFM image of the

x same area. The dots (green) and the trenches (light blue) show opposite friction force to the silicon tip………………………………………………………….126

5.8 AFM images of EBL patterns in SAM I a) before and b) after treating with N-(1- pyrene)maleimide; the average cross profiles are shown on the right…………127

5.9 XPS on SAM I before and after DTT treatment. The substrate was 500 nm thick SiO2, and the take-off angle is 30º……………………………………………...128

5.10 The C 1s and N 1s XPS on the SAM I before (blue curve) and after DTT treatment and N-(1-pyrene)maleimide binding (red curve)……………………129

5.11 A possible mechanism for EBL on SAM I……….……………………………130

6.1 (a) A QCA representation of a systolic pattern matching circuits. (b) Circuit mappied to DNA rafts. Green squares are QCA cells and blue frames are DNA raft patterns……………………………………………………………………..133

6.2 Schematic structure of 4-tile DNA raft………..……………………………….134

6.3 Molecular liftoff of DNA rafts………………………..………………………..135

6.4 Comparison of silicon surface roughness……………..………………………..136

6.5 Comparison of substrate surface roughness after PMMA removal by a) acetone and b) dichloromethane. Both AFM images have the same height scale as shown ………………………………………….137

6.6 AFM images of the DNA liftoff process……………………….………………138

6.7 Revised molecular liftoff process……………………..………………………..139

6.8 Chemical structure of a) 3-Aminopropyltriethoxysilane (APTES), b) an ideal APTES monolayer, c) the APTES multiplayer…………..…………………….140

6.9 AFM images of liftoff lines of 4-tile DNA rafts using APTES………….…….140

6.10 A large-scale patterning shows improved defect control by using APTES instead of polylysine as a binding layer for DNA rafts……………….………………..141

7.1 Overall configuration of collimated sputter deposition………………………..148

xi

TABLES

2.1 Relationship between accelerating voltage and resolution…………………….35

2.2 Relationship between condenser lens current and resolution………………….37

2.3 Relationship between objective lens aperture and resolution………………….38

3.1 Parameters of positive-tone resists……………………………………………..42

3.2 Quantities to study e-beam exposure to polymer resists……………………….51

3.3 Chemical properties of PMMA………………………………………...………90

4.1 Major commercial organic resists for EBL, X-RAY, DUV, and EUV………...96

4.2 Properties of XP9947 resists………………………………………………………….115

4.3 Processing details of XP9947 resists…………………………………………………115

xii

ACKNOWLEDGMENTS

I would like to express my deepest appreciation to my advisor, Prof. Gary H.

Bernstein for his tremendous help and support to my research and study. Without his

efforts, I cannot finish this. Not only does his vision, expertise, and broad knowledge

impact and guide me through my whole Ph.D., his working ethic, dedication and

persistence teach me a lot to be a mature and passionate researcher. I feel so honored to

have him as my supervisor and mentor at such an early point in my life.

Furthermore, I would like to express my most sincere gratitude to my

collaborators, Drs. Marya Lieberman, Xuejun Wang, and Koshala Sarveswaran in the

Dept. of Chemistry and Biochemistry. I appreciate their deep dedication, share of

expertise, patience, and teamwork spirit. The working experience with them is a

wonderful memory and a big achievement for me. I am also very much obliged to my

dissertation committee, Profs. Wolfgang Porod, Graig S. Lent, Gregory L. Snider, for

their constant encourage and assistance. Prof. Snider has spent precious time to help me

with equipments.

Some faculty, staff and graduate students helped to make this research accomplished. In particular, I want to thank Dr. Frank Peiris, Tatyana Orlova, Michael

Thomas, Aleksey Komor, Mark Richmond, Clint Manning, Yaakov Sloman. Also, I

xiii want to thank Trisha Metz especially for her great help on purchasing, managing Hitachi

SEM lab, and trip arrangement. In addition, I want to thank Pat Base, Fanny Wheeler and Leona Strickland and graduate students Hongzhi Chen, Guanjun Zhang, Ming Jiang,

Bo Yang, Jie Wu, Min Hu, Mo Liu, Wei He, Peng Sun, Minjun Yan, Alexandra Imre,

Mike Crouse, Charles Arvin, Juan Jiang, Xuan Wang, Heng Yang, Jie Su, Qingling Hang,

Ravi Kummamuru,…The list goes on.

Last but not the least, I want to thank the Dept. of Electrical Engineering and the graduate school for offering me such a great opportunity to study here. Also, I thank

DARPA for financial support of this work.

xiv

CHAPTER 1

INTRODUCTION

1.1 Molecular electronics and electron beam lithography

The systematic downscaling of conventional CMOS and corresponding increases in integration density during last two decades is close to its limits: ultimate channel lengths will be 10-25 nm [1], [2] fundamentally limited by thermal injection, channel breakdown, doping, and difficulty of interconnection. To break through this “red brick wall” to the molecular regime, alternative approaches must be exploited for the next generation computing to be capable of high-volume manufacturing. Molecular electronics is one of the most promising approaches bypassing CMOS to construct nanoscale circuits. Recently, significant progress has been achieved on nanodevices based on switching molecules [3]-[8], carbon nanotubes [9], [10], Si nanowires [11]-[13], quantum dots [14], [15], and quantum-dot cellular automata (QCA) [8], [16]-[23].

Devices are becoming mature, however fabrication issues are still in the nascent stage.

Photolithography, the standard chip-patterning technology, does not work at the scale of single molecules. Therefore, developing fabrication methods to pattern molecular devices is critical to the realization of integrated nanosystems in the future. One promising patterning technique is electron beam lithography (EBL). Extended from EBL,

1 (NIL) [24] and e-beam projection lithography (EPL) could be

future fabrication methods for high throughput.

EBL, a traditional prototyping and mask-making method in the semiconductor

industry, is one of the key fabrication technologies in the area of because of its resolution at the molecular scale [25]-[30], and its supreme flexibility, compatibility and availability. During last two decades, EBL has been widely used to define nanostructures for prototyping nanodevices, such as Coulomb blockade devices [17],

[31]-[32], molecular devices [19], [33]-[34], high-density magnetic storage [18], mold making for NIL [35] among others. Although atomic force microscopy (AFM) and scanning tunneling microscopy (STM) lithography can provide molecular, even atomic, resolution that is higher than that of EBL in resists, they are limited by much lower throughput, more difficult to use, and higher cost than EBL. Since no other tool offers the same degree of flexibility as EBL, continued research into its limitations will have an impact on the ability to investigate new devices and fabrication methods towards molecular electronics. The purpose of this research is to investigate what advantages are offered by using a cold cathode field emission (CCFE) electron column (in our case as part of a scanning electron microscope (SEM)) in the pursuit of the extreme limits of

EBL as a potential patterning method for molecular electronics.

As fabrication throughput is concerned, EBL takes several hours to pattern a single 1 cm2 chip. For whole wafers, patterning time using EBL will be totally

unacceptable. Other methods, such as massively parallel scanning probes, may not be

able to satisfy both the resolution and the writing speed. Therefore, one future printing

method for molecular electronics would likely be a parallel lithography technique with

2 high resolution. NIL, which is capable of sub-10 nm resolution [35], and EPL would serve as possible candidates. As mentioned previously, EBL is widely used for NIL molds and prototyping of the lithography processes required of molecular electronics.

Some of the techniques described in this research can be transferred to NIL and EPL in the future.

1.2 EBL for molecular quantum-dot cellular automata

Here at the Notre Dame Center for Nanoscience and Technology, QCA, a

revolutionary approach to transistor-less nanocomputing, has been described and

functionally demonstrated by Drs. Lent, Tougaw, Porod, Bernstein, and Snider in a series

of papers [36]-[40], [16]-[23]. QCA is a completely new architecture for nanocomputing.

For QCA, binary information is represented not by the states of current switches, but by the charge configuration in a bistable QCA cell. The information propagation along

QCA cells is realized through Coulomb interactions between the neighboring cells without requiring current flow. The advantages of QCA over conventional circuitry are extremely small size, high density (highly scalable), low power, and potentially high processing speed. Figure 1.1 illustrates the basic concept of QCA cell operation. Logic operation using metal dots as QCA cells has been demonstrated at below liquid-helium temperature [17], [37], [40].

3

Fig. 1.1: Schematic of four-dot QCA cells and QCA devices. (a) Four-dot cell. Coulombic repulsion causes the two electrons (black circles) to occupy opposite corners of the cell. (b) QCA binary wire. Cells arranged in a line all take on the same value. (c) QCA majority gate. The three input cells A, B, and C vote on the state of the device cell (shown with a darker box outline). (Adopted from Ref. [23])

4 For room temperature operation, QCA evolves into molecular implementation,

called molecular QCA (M-QCA) [19], [23], which uses molecules as structured charge containers for computing. M-QCA is one of the most promising approaches in molecular electronics. In the paradigm of M-QCA, a QCA cell can be a single molecule. These molecules are capacitively clocked and field-coupled to neighbors, so that no interconnection in signal paths is necessary and circuits are extremely scalable to the scale of molecules. This makes the M-QCA a more advanced method for molecular electronics. An example of molecular QCA cell is shown in Fig. 1.2. The size of the molecular QCA cell is about 1-2 nm, which is predicted to yield room temperature operation.

A different architecture, called Crossbar [33], [34], uses two layers of crossover

nanowires to address individual molecular devices, as shown in Fig. 1.3. The idea is to

put switching molecules [4], [6] at the common points of crossbars to make a -

molecule-nanowire sandwich. Both lithographic (using EBL and imprint lithography)

[34] and nonlithographic [41], [42] methods have been used to fabricate this crossbar

architecture. Recent progress of growth of nanowires demonstrated molecular-scale-

width nanowires with ultrahigh density [41], [42], as shown in Fig. 1.4. However, there

are still difficulties and uncertainty of the molecular switching after molecules are

sandwiched into the crossbar structure [43]. Also, since this approach is still based on a

device-interconnects model, with the scaling of molecular circuits to ultrahigh density in

the future, the tremendous heat from power dissipation might melt the chip [23]. The

QCA approach, though, is able to reduce power dissipation by many orders of magnitude

[38].

5

Fig. 1.2: Molecule as QCA cell. (a) Molecule 1: Big grey circles represent nuclei of carbon atoms; small circles represent nuclei of hydrogen atoms. The molecule has three allyl groups (circled). These groups function as dots. Each circle represents a dot. (b) The calculated isopotential surfaces of the three states are shown on the left, and schematic representations of the states shown on the right are three charge configurations of molecule 1. The three states correspond to occupation of each of the three allyl groups by the excess positive charge, or hole. In the schematic drawings, the hole is represented by a green circle. The presence of the hole on an allyl group causes a bulge in the iso- potential surface around that group. (Adopted from Ref. [23])

6

Fig. 1.3: Crossbar molecular electronics. (a) Optical micrograph of an array of 64- junction molecular circuits fabricated by EBL and NIL for the wires and chemical assembly for the molecules at the wire connections. (b) AFM image of one of the circuits, which could be used either as a random access memory or as a combination of logic and memory circuit. The molecules used are bistable [2]rotaxanes. (Adopted from Ref. [34])

Fig. 1.4: Nanowires fabricated by nonlithographic super-lattice nanowires pattern transfer (SNAP) process. (A) Pt nanowires 8 nm in diameter at a pitch of sub-20 nm [42]. (B) Crossbar architecture fabricated from Pt nanowires with pitch ranging from 20-80 nm [41]. The central crossbar has a junction density of ~5×1010 cm-2.

7 For the fabrication of QCA, we intend to use EBL as the patterning method for its high resolution and flexibility to define complex QCA patterns in high density. We use ultrahigh-resolution EBL to define resist trenches in molecular size for the deposition of

QCA molecules. These molecules (QCA cells) will be laid down and patterned through molecular liftoff [21], and also be arranged by nanoscale self-assembly. Such molecular patterns could be on the order of one to a few molecules in width (2-8 nm). Figure 1.5 schematically illustrates the proposed fabrication process for M-QCA. The fabrication of

M-QCA is a challenge due to requirements of ultrahigh-resolution and ultra-dense lithography to define molecular circuits in only one layer. The major reason for the development of the CCFE EBL system and the study of nanolithography is to this end: to pave the way for the practical fabrication of M-QCA.

In this research, we will discuss the CCFE system, techniques for EBL patterning on the sub-10 nm scale, and applications toward M-QCA patterning. Chapter II will describe the system and exposure parameters. Chapter III will discuss nanolithography processing with cold development of PMMA resist. Chapter IV will introduce a wafer precision cross-sectioning technique and its application to the study of PMMA resist.

Chapter V will discuss the nanolithography on self-assembly monolayers (SAMs) towards molecular patterning. Chapter VI will discuss the patterning of DNA tiles towards M-QCA and some other EBL applications, such as the fabrication of molecular photonic devices and fabrication of protein sensors.

8 The pattern consists of ing EBL and molecular liftoff [21]. ing EBL and molecular liftoff [21]. without interconnects. s and liftoff of PMMA. s and liftoff of PMMA. EBL form QCA adder pattern for molecules to attach to attach for molecules EBL form QCA adder pattern A cell layout of one-bit full adder according to the logic to the logic according adder full of one-bit A cell layout -right corner of (d), ter attachment of molecule oposed fabrication process for M-QCA us (a) Logic diagram of a one-bit QCA full adder. (b) QC (b) of a one-bit QCA full adder. diagram (a) Logic diagram [44]. (c) 6~8-nm-wide PMMA trenches defined by [30]. (d) Cartoon of QCA adder pattern af only molecules as QCA cells, shown in the top Fig. 1.5: Schematic illustration of pr

9

CHAPTER 2

COLD CATHODE FIELD EMISSION EBL SYSTEM

Electron beam lithography (EBL) is an important patterning technology for the semiconductor industry and nanotechnology research in particular due to its very high resolution and versatile patterning capability. The EBL system is the key factor in

achieving high resolution. There are two variations of EBL systems: e-beam projection

lithography (EPL) and direct writing. Several versions of projection lithography [1]-[6]

are still on the experimental stage and are contenders to be used in industry for devices

well below 100 nm feature size. There are some serious problems for the projection

method such as difficulty of mask making, stitching sub-patterns to a single pattern,

aberrations, etc. [7]. The direct writing method is relatively mature and is the most

common EBL technique, providing ultrahigh resolution, extremely fine patterns and

versatility for pattern formation. However, direct writing is too slow to satisfy high-

volume manufacturing in industry. Since the late 1960s, direct-write EBL has been

widely used in masking making, prototyping nanodevice and nanosystem, small volume

special products, and nanotechnology research. It will still be a very important

supporting technique for industry. More importantly, we intend to use EBL for the

fabrication of molecular quantum-dot cellular automata (QCA) [8]. For these reasons, we

10 have been working on the development of a cold cathode field emission (CCFE) EBL system based on a Hitachi S-4500 scanning electron microscope (SEM) with a custom pattern generator. In this chapter, we focus on describing its construction and improvement, advantages it provides, and choosing parameters to achieve maximum resolution.

2.1 Introduction to CCFE EBL system

In the Department of Electric Engineering at the University of Notre Dame, an

EBL system based on an Amray model 1400 SEM has already been built. During the early 1990's, a pattern generator called “V5” was developed by a former graduate student,

Greg Bazan [9]. Later, a Nabity pattern generator system (NPGS) was added for flexibility of pattern formation and its convenient user design interface. However, the V5 patterning software and associated hardware still provides higher resolution and lower noise than the NPGS. The Amray 1400 SEM, however, does not have the smallest possible beam spot size, which limits the resolution (about 15 nm in PMMA) of this system. Also, we have a Hitachi S-4500 CCFE SEM for imaging. It has a very small (1-

2 nm diameter) but bright beam. Based on this situation, we decided to transfer and convert the V5 pattern generator to the Hitachi CCFE SEM to perform EBL at ultrahigh resolution. In addition, the new system is user friendly and easy to operate.

2.2 System overview

The basic mechanism of an EBL system based on an SEM is to use a computer- based pattern generator to scan the electron beam to define patterns in resist-coated samples. Figure 2.1 illustrates the structure of the Hitachi S-4500 SEM based EBL

11 system. The original pattern generator, V5, used an IBM model 30/286 PC to provide a

user interface for pattern design. Users set exposure parameters such as beam current, magnification, exposure dose, pattern position and dimension, and exposure time. The

V5 software communicates through a Hewlett-Packard interface bus (HPIB) card to an arbitrary waveform generator, which contains three 16-bit digital-to-analog signal converters (DACs). The X- and Y-axis scan signals generated by the waveform generator are input to the SEM, and control the beam for lithography. These scan signals are synchronized to draw the desired patterns by feeding back the synchronization signals

(one synchronization signal for each scan signal) from the waveform generator to the V5 computer through a digital I/O card (CIODIO24 card).

Another issue is beam blanking. After an exposure pattern is completed, the waveform generator sends out a synchronized signal to a beam blanking (BBK) circuit.

Then the logic circuit generates a switching signal to control a blanker servo circuit, and the latter generates 250 volts to physically blank the beam away from the scan field.

The system employs several accessories: an electrometer to measure the beam current, a backscattered-electron (BE) detector for imaging through thick resist, the digital image capturing system called SEMICAPS, and a switch box to toggle SEM or

EBL mode.

12 m of the CCFE EBL system. Fig. 2.1: Schematic diagra

13 An additional feature is a user-management program developed to manage the

large number of users of the SEM. Users need to login to turn on the SEM power and

can lock the system if serious problems occur.

The revised V5 patterning system is referred to “V5.2” here. Figure 2.2 shows a

picture of the system in B13 of Fitzpatrick hall. Since the SEM is equipped with a cold cathode field emission electron emitter, which can generate a focused electron beam with a very small diameter (about 1~2 nm), this system promises ultrahigh (around 5 nm) resolution, which is the key feature and main motivation to develop this system. Also, the pixel spacing is smaller than 1.8 nm if the magnification of the SEM is set to 1000 or higher. Using this EBL system, we have reproducibly demonstrated sub-10 nm resolution in PMMA resist. This will be discussed in-depth in chapter III. In addition, this system provides faster lithography speed than the Amray system and excellent imaging quality and ease of operation.

Fig. 2.2: Hitachi S-4500 CCFE EBL system.

14 One serious problem of this new system was the slow speed of the beam blanking

mechanism. The problem was solved by the installation of a fast beam blanker recently.

One ongoing drawback is the absence of precise alignment capability. Furthermore, the

CCFE electron source generates unstable beam current due to the interference of the

electron extraction by adsorbed molecules on the emitter in the vacuum. This unstable

beam current can cause pattern size variation. The elimination of noise is discussed later in this chapter. One more drawback is the small chamber size forbidding the use of 4- inch wafers. Due to these limitations, this system cannot be used to fabricate standard

CMOS logic circuits. However, it can be used to prototype nanodevices and perform research for molecular electronics, which makes it a key facility of the Notre Dame

Center for Nanoscience and Technology.

2.3 System modules

2.3.1 Hitachi S-4500 field emission SEM

Figure 2.3 shows the column cross section of the Hitachi S-4500 CCFE SEM.

Electrons extracted from the cathode are accelerated by a 30 kV voltage to the sample in a vacuum of 10-6 Pa. High-resolution exposure can be achieved by carefully adjusting

the column conditions, such as the condenser lens (spot size equivalent), the objective

aperture, and working distance. The major advantage of cold cathode field emitters over

thermal-extraction sources is that the decreased thermal energy spread results in very low

chromatic aberrations [10], so the beam diameter can be extremely small and bright (less

than 0.5 nm, ours is 1-2 nm at 30 kV compared to 5 nm in the Amray). Such advantage

results in an attendant improvement of resolution, and makes the CCFE an excellent

source for the ultra-resolution EBL system.

15

Fig. 2.3: Schematic view of Hitachi S-4500 field emission SEM.

16 2.3.2 V5 pattern generator

Gregory Bazan, a former graduate student in Dr. Bernstein’s group, wrote the

original version of the V5 software. Although the Microsoft DOS user interface is not

convenient, and the IBM PS2 286 computer is very old, this V5 pattern generating system

fulfills nearly all the requirements for EBL and actually provides less noise than the

NPGS. Its implementation is the key part of our EBL system. The original V5 pattern

generating system is composed of the V5 software, an HPIB card, a CIODIO24 card, a

waveform generator, a blanker circuit, and an IBM PS/2 286 computer. The V5 software

has been the control center for all the hardware. Figure 2.4 shows the overview of the V5

software architecture.

V5 software:

The V5 software contains 3 parts. The first part is the pattern design interface, the

second is the waveform generator control, and the third is for synchronization and beam

blanking.

The pattern-design interface provides three functions. It provides pattern design tools (line, circle, square, triangle, grating, and dot array), exposure parameter settings

(magnification, beam current, and exposure dose), and generation of EBL files. Once the magnification, beam current, and exposure dose are all set, scan field, pixel spacing and

exposure time are determined automatically.

The waveform generator control unit converts the .EBL files to exposure

information that the waveform generator understands. This information is then loaded

one pattern at a time into the generator to output the scan and synchronization signals. So

this part basically does the exposure.

17

Fig. 2.4: Architecture of the V5 software. (Derived from the V5 source code programmed by G. Bazan)

The synchronization and beam-blanking unit receives synchronization signals

from the waveform generator and sends enable signals through the digital I/O card to the

BBK circuit. It synchronizes scan and blanking signals to drawing different patterns.

This part is basically the timing control center.

Waveform generator--Pragmatic 2201A:

The Pragmatic 2201A waveform generator provides three DACs with high resolution, low noise, and flexibility of control and synchronization. We use these DAC channels to control the electron beam for lithography. Each channel is defined by a 16-

18 bit word, so the SEM scan field can be divided into a 65536 × 65536 pixel arrays. The reason for V5’s better signal-to-noise ratio over the NPGS is because of this waveform generator. The NPGS uses a DAC card in the computer to generate scan signals, which has higher noise.

The specifications of the Pragmatic 2201A high-definition arbitrary waveform generator are: three DAC channels with 65536 points/channel, 50 Ω channel resistance, and 65536 points vertical resolution; sampling rate of 0.1 Hz to 2MHz; low noise, typically below 86 dB in a 80 KHz measurement bandwidth. This instrument is controlled in remote mode by programs sent from the V5 computer through an HPIB card.

The communication protocol is IEEE 488.2.

Beam blanking circuit:

The BBK circuit passes the synchronization signals to the V5 computer and receives an enable signal from it to send out the blanking signal to SEM for beam blanking. The determination of the beam-blanking signal is illustrated in Fig. 2.5, as built by Bazan. The communication interface to the V5 computer is the digital I/O card.

Fig. 2.5: Schematic of beam blanking circuit. (Adopted from Ref. [9])

19 2.3.3 Beam blanker

An add-on beam blanker is installed in the Hitachi SEM. The blanking frequency

can be as high as 500 MHz at a voltage from 100 to 500 volts. We have re-machined the

blanker supplied by Scanservice Inc. to fit our small chamber. The external blanking signal comes from the V5 patterning system. For more details about the blanker and its installation, see appendix A.

2.3.4 SEMICAPS image capture system

The SEMICAPS is basically an image capture tool for the Hitachi SEM. It can work in passive or active mode. In passive mode, SEMICAPS retrieves the original image appearing on the CRT from the SEM memory. In active mode, SEMICAPS generates its own scan signals to control the beam and retrieve the image directly from

SEM to appear on the computer screen. The major difference between these two modes is the resolution and damage to the samples. Images captured in passive mode have a resolution of only 800 × 600, which is the CRT resolution, while active mode can provide as high as 4,000 × 4,000. However, for good image quality, active mode must scan multiple times over the sample, which causes severe damage to soft samples. Therefore, users should determine which mode to use based on their own sample conditions and requirements.

2.3.5 User management program

This program manages users to prevent unauthorized use of the machine and possibly serious errors. It is implemented using Visual C++ 5.0 on the Windows 95 platform. It uses a serial port of the SEM computer to send an enable signal to turn on

20 the SEM display power. Users need to login in order to turn on the SEM. An operational start and end time stamp is saved into the log file automatically. Users can lock the SEM and report errors when serious problems occur. For details, go to Appendix B.

2.4 System optimization

The V5 software was designed for the Amray SEM, so the X and Y dimensions were not correct when first applied to the Hitachi SEM. Circles became ellipses after lithography. Also, actual pattern dimensions did not agree with the designs. Therefore the “Amray system” needed to be calibrated for the Hitachi SEM. Third, since the CCFE

SEM at first had no fast beam blanking mechanism, the V5 was modified to switch away the e-beam during the idle time between two exposures. However, this method still could not remove the overdosed exposure dots. So later, a fast switching circuit was developed to do the same thing. Using the revised V5 and the switch circuit helped alleviate the beam-blanking problem. However, this scheme did not allow time for the scan coils to settle before writing, so some distortion of the lines at the start of the pattern was observed in very fine patterns. Finally, a beam blanker was customized for our small chamber and the blanking problem was solved eventually. When the system was firstly hooked up, there was hybrid noise, which prevented ultrahigh resolution and good pattern quality. The solutions to these problems are described in the following sections.

2.4.1 Beam blanking problem

Since the SEM did not have a physical blanker, the idle time during exposures caused extra exposure dots at the endpoints of every pattern. For example, to pattern a prototype QCA full adder as shown in Fig. 2.6a, EBL with the original V5 resulted in the

21

Fig. 2.6: One-bit QCA full adder patterns. Cell layout; (b) pattern by original V5; and (c) by V5.2.

pattern of Fig. 2.6b. In order to solve this problem, several methods were performed and described here.

2.4.1.1 Software revision

Since at first we were notified that installation of a physical blanker was not applicable for the small chamber of our Hitachi S-4500 type I SEM, we had to develop other methods. This problem could not be resolved by eliminating idle time of the beam because the V5 was not a real-time pattern generator, which meant when the first pattern was complete, the beam had to stop at the end point of the pattern and waited for the next pattern information to be loaded into the waveform generator. However, these dwell points could be replaced with points far from the patterning area, for example the points at the edges of the scan field. In this way, immediately after every exposure, the beam was moved to the scan field corner in 0.5 µs. We revised the V5 software to sweep the beam. The codes for scan-signal generation were changed (referred to V5.2). Figure 22 2.6c shows an image of the adder pattern using the V5.2. EBL pattern was improved and

the beam was successfully swept away from the EBL areas. However, there were still

some extra dots in the area.

2.4.1.2 Blanking circuit

The extra dots left over by the V5.2 turned out to unavoidable with difficulties of

program revision. Instead, a simple blanking circuit was built to sweep away the beam,

as shown in Fig. 2.7. The EBL pattern fabricated using this circuit is shown in Fig. 2.8.

The extra dots were gone and the pattern was improved significantly. However, sometime the EBL lines had short curved endings, which may be due to the slow slew rate of analog amplifiers or the slow speed of scan coils.

2.4.1.3 Beam blanker

The physical beam blanker, which is customized by Scanservice Inc. for our small column chamber, works at 500 MHz and blanks the beam completely away from the whole sample during the idle time of pattern exposure. An EBL pattern using the blanker

is shown in Fig. 2.9 and compared with Fig. 2.8. The oversized dots in Fig. 2.9 are due to

Fig. 2.7: Schematic diagram of the blanking circuit.

23

Fig. 2.8: AFM image of an one-bit QCA full adder pattern in PMMA.

the overlay doses at the intersections of horizontal and vertical lines. In addition, the beam blanker avoided the exposure dots at the corner of scan field, compared to V5.2 and blanking circuit solutions. Refer to Appendix A for more details about beam blanker.

a b

Fig. 2.9: EBL patterns of one-bit QCA full adders. (a) AFM image of the pattern using the blanking circuit; (b) SEM image of the pattern using the physical blanker.

24 2.4.2 X-Y dimension ratio calibration

The ratio of X-Y dimensions was 4:3 to fit the CRT screen when the system was

hooked up. It can be adjusted back to 1:1 by adjusting the X or Y channel amplitude on

the waveform generator, without any revision of the V5 software. The adjusting process takes some attention. Adjusting the channel amplitudes before starting the V5 or V5.2 does not work since starting V5 resets all the channel amplitudes. Also, when the software is started, the waveform generator does not take any adjusting since it is completely controlled by the software in remote mode. The right procedure is: start V5, toggle on the waveform generator, and change the X-channel amplitude from 10 volts to

8 volts, leaving Y-channel amplitude to be 10 volts to make these two directions equal length. Figure 2.10 shows that the ratio is calibrated to be close to 1.

Fig. 2.10: Calibrated X-Y dimension ratio (X:Y~1:1).

25 2.4.3 Pattern dimension calibration

Experiments were performed to determine the precise dimensional difference between design value and actual pattern size. A ratio factor was calibrated precisely based on reproducible experiments. When designing patterns, users should multiply the real dimensions by this factor to get correct dimensions for the V5 design, i. e.

V5 design value EBL pattern dimension = , (2.1) 1.463

2.4.4 Noise elimination

Noise can significantly affect resolution and pattern quality. Figure 2.11 shows the noise in patterns experienced early in the development of the system. The noise is a combination of ground-loop magnetic coupling, vibration noise, acoustic noise, beam instability, and interference from the fluorescence lights. Figure 2.11a shows the problem of linewidth uniformity and Fig. 2.11b shows the noise at 120 Hz.

(a) (b) Fig. 2.11: Combined noise on the EBL system. (a) AFM image of line distortion shows significant linewidth variation and low frequency interference. (b) SEM image of curving lines shows noise at a certain frequency (120 Hz: line dose 1.5 nC/cm with 6 pA beam current, 1.92s for 50 µm line).

26 2.4.4.1 Grounding

The general rule for grounding the EBL system is that every subsystem needs to be grounded directly and only to the SEM ground, so that there are no ground loops.

Some ground loops are due to BNC connections, which connect grounds of the two terminals. Also, power cords may ground the device to the building ground, which is very noisy. The original and revised system grounding is illustrated in Fig. 2.12.

V5 Computer GPIB Digital I/O Login Semicaps Program Waveform SEM computer BBK Circuit Generator

Login Circuit Scan Box Blanker Servo

Building Electrometer SEM Ground (G) G a

V5 Computer GPIB Digital I/O Login Semicaps Program Waveform SEM computer BBK Circuit Generator

Login Circuit Scan Box Blanker Servo

Building Electrometer SEM Ground (G) G b

Fig. 2.12: Ground diagram of Hitachi CCFE EBL system. (a) Original grounding map has several ground loops to pick up noise. (b) Improved grounding map.

27 2.4.4.2 Acoustic and vibration noise

Acoustic and vibration noise is a severe interference to the scanning of the beam.

This kind of noise includes human voices, voice and vibration from roughing pumps, the water chiller, and SEM power supplies. Users need to keep silent during EBL, shut off the fluorescence lights, and isolate vibration from the water chiller and roughing pumps.

Firstly, we found that the chiller motor was defective and caused heavy vibration, which was transferred to the SEM column through the cooling water lines. We tightened the lines at the SEM end and replaced the defective motor. We designed a soundproof box and had it built to isolate mechanical pumps. Figure 2.13 illustrates the isolation box. By doing these improvements, we have reduced the acoustic and vibration noise of the system to a very low level.

Fig. 2.13: Cartoon of isolation box made for roughing pumps. Inside walls are covered with soundproof materials. A power-adjustable fan with low noise is installed for heat dissipation. Note that the cap for the box with soundproof wall is not shown in the figure.

28 2.4.4.3 Beam current instability

Beam instability, which is a common problem for CCFE, falls into two different categories. First is slow current drift, which is caused by the adsorbed molecules interfering to electron extraction from the cold emitter in the vacuum. This instability can be eliminated, in principle, by current feedback [11]. Dial et al. [12] have demonstrated compensation of the current variation by monitoring the current and dynamically adjusting exposure dose during lithography. The second beam variation is the current jumps. This can also be minimized by current feedback compensation.

However, we found that, for our system, just waiting 15-30 min for the beam to stabilize reduces this effect significantly. The beam current decreases exponentially [13] at the beginning, but pressing the “ON” button to bring up the current again leads to a slower second decrease. By repeating this several times, the beam current can be stable for more than 10 min (with a few percent variation after about 30 min), which is sufficient to finish most EBL we required. Figure 2.14 illustrates the beam stabilization process.

Fig. 2.14: Beam current stabilization process. Arrow in the figure means pressing the power “ON” to bring up the current to an initial value. 20-min waiting results in good stability.

29 In summery, after these efforts to reduce the noise on the system were performed, the pattern uniformity was improved, as shown in Fig. 2.15. The linewidth variation of the 30-nm-wide lines is less than 5 nm.

Fig. 2.15: Lithography pattern of line grating after noise reduction.

2.4.5 V5.2 update

The original V5 pattern generator is used with the Amray SEM for several research projects, so a separate V5 patterning system is needed for the Hitachi. The V5.2 computer system for the Hitachi SEM used a Pentium 266 MHz computer with HPIB

82335B GPIB card and CIODIO24 for digital I/O. The address of the HPIB card was set to 16, and the address of the CIODIO24 card was set to H300 with interrupt setting disabled. The address jumpers on the cards were set according to the user guides. In the original V5, the c:\grep\xts directory was reset to the name of the new directory in the computer's hard disk (c:\v5\xts). V5 was then compiled on the new computer using the

Turbo PASCAL 5.5 compiler. All *.TPU units were compiled first, followed by compiling and linking V5.pas to V52.exe. In response to an error message for

30 insufficient memory, the environments for compiling and linking in the turbo PASCAL

IDE menus were set to get more memory for V52. Also, some constants related to the

Hitachi SEM were changed.

2.4.6 Future improvements

After all the improvements, the system provides 5-nm resolution, low noise, stability, and ease of use and maintenance. For application to nanosystem and nanodevice fabrication, an alignment function needs to be added. There are basically two ways that alignment could be done. The first would be to use a manner similar to that of the Amray system, which is to scan squares at four corners for users to align to sample marks. Another way would be to install a precision stage, which could be moved with the resolution of 30-50 nm by a computer. In this way, alignment could be done with one screen on several marks on the sample, and then move precisely to the lithography area.

2.5 Exposure parameters of the SEM for higher resolution

2.5.1 Magnification and scan field

The magnification of the SEM determines the scan area of the electron beam.

This maximum square area is called the scan field. The magnification × scan field is the so-called SEM constant. For example, at 1000× magnification, the SEM scan field in imaging is about 120 µm × 90 µm for the most SEMs. (This is related to the size of

Polaroid sheet film, but is not exactly the size due to scanning beyond the edge of the picture areas.) For EBL, the maximum exposure area for lithography is 90 µm × 90 µm square at 1000× magnification. According to this relationship, when the magnification is given, the scan field width can be calculated by:

31 90,000 X/Y dimension of scan field = , (2.2) Magnification

Magnification and scan field determine the pixel spacing, which is important for pattern variation and quality. To achieve low line edge roughness (LER), the exposure pixel spacing should be optimized to eliminate resist residue between pixels [14]. Our calculations using a double-Gaussian exposure model, as shown in Fig. 2.16, suggest that the exposure pixel spacing should be no larger than the beam diameter, which agrees with the results of Deshmukh et al. [14]. Since the waveform generator has 16-bit resolution, the actual pixel spacing is:

scan field 90,000 Pixel spacing = = , (2.3) 65535 Magnification × 65535

For our system, the beam diameter is 1-2 nm at 30 kV, so the minimum pixel spacing for good uniformity is 1-2 nm or less. We set the magnification to be 1000× or

2000×, and the pixel spacing is 1.4 nm or 0.7 nm respectively according to Eq. 2.3.

2.5.2 Beam energy and current

The e-beam energy affects exposure resolution. During EBL, electrons are scattered by the resist molecules and substrate atoms. Scattering that results in continued forward momentum is called forward scattering [15], and that which results in momentum oppositely directed is called backscattering [15]. Figure 2.17 [16] shows electron scattering and indicates that using high accelerating energy minimizes the backscattering effect on the resolution. Table 2.1 is adopted from the Hitachi SEM user manual. It shows that the higher accelerating voltage results in higher-resolution imaging, and therefore higher resolution for EBL.

32 nd result in higher LER and more in higher nd result pixel spacing requirements, er will cause uniformity problem a problem will cause uniformity er ssian exposure model to determine spacing higher than the beam diamet than the beam spacing higher Fig. 2.16: Calculations using Gau resist residue.

33

Fig. 2.17: Electron scattering in electron resist exposure. The curves at the top of the figure show the exposure distributions due to the incident and backscattered electrons. (Adopted from Ref. [16])

34 TABLE 2.1

RELATIONSHIP BETWEEN ACCELERATING VOLTAGE AND RESOLUTION

Table is adopted from Ref. [17].

Exposure dose at a pixel, in Coulombs, is the product of the beam current and the dwell time. When we use the term "electron beam current," we mean only those electrons that directly contribute to sample exposure and not the full emission from the tip. The exposure time can be calculated once the beam current and dose are given.

Beam current affects exposure resolution and exposure uniformity. For the highest

35 resolution, the lowest beam current is chosen. For the smallest beam current, the smallest spot size, smallest aperture and smallest extraction current are needed. However, when using the smallest extraction current of 6 µA, the current becomes relatively unstable. As a tradeoff, 8 µA extraction current is used instead for acceptable beam stability and high resolution. With a spot-size setting of 15, aperture 4, and 8 µA extraction current, 6-10 pA beam current was obtained and used for EBL.

2.5.3 Spot size

The spot size is the electron beam diameter at the sample. Smaller spot size yields higher resolution. For our EBL system, changing the condenser-lens setting changes the spot size. From Table. 2.2, the larger the condenser lens setting, the higher the resolution, and the lower the beam current.

2.5.4 Objective lens aperture

Table 2.3 shows that the aperture-opening diameter affects the resolution and beam current. A smaller aperture diameter gives higher resolution and lower beam current. We use notch number 3 or 4 on the aperture holder for EBL.

36 TABLE 2.2

RELATIONSHIP BETWEEN CONDENSER LENS CURRENT AND RESOLUTION

Table is adopted from Ref. [17].

37 TABLE 2.3

RELATIONSHIP BETWEEN OBJECTIVE LENS APERTURE AND RESOLUTION

Table is adopted from Ref. [17].

In summary, in order to reach the highest lithography resolution, we set accelerating voltage to 30 kV, aperture opening to 20 µm (No. 4), condenser lens to 15

(1-2 nm spot size), working distance to 7 mm and beam current to 6-10 pA.

For our Hitachi CCFE SEM, the SEM conditions no longer limit the final pattern resolution because of a small beam size and high accelerating voltage. The most important factor is the EBL process, which involves the substrate, resist, development, and pattern transfer methods [18]. These are discussed in Chapter III in detail.

38

CHAPTER 3

NANOLITHOGRAPHY WITH COLD DEVELOPMENT OF PMMA

3.1 Introduction to e-beam nanolithography

As we have discussed in Chapter I, molecular electronics, especially molecular

quantum-dot cellular automata (M-QCA) requires ultrahigh-resolution patterning

techniques, such as electron beam lithography (EBL). Exploring resolution limit of EBL

started in the mid 1970’s, resulting in the publication of thousands of papers. These

developments reduced dimensions of microelectronics fabrication to the nanometer scale.

EBL demonstrated the capability of fabricating sub-10 nm structures [1]-[7] twenty years

ago. During the last decade with the boom of nanotechnology, EBL has been widely investigated again for further improvement on resolution with many novel techniques and applications to nanodevices and nanosystems fabrication. These studies used diverse techniques such as membrane substrates [8], direct writing on inorganic materials such as

NaCl, silicon dioxide [8], lithium fluoride (LiF) [9], [10] and aluminum fluoride (AlF3)

[4], ultrasonic development [11], ionized metal evaporation for liftoff [12], ultrathin metal film (< 2 nm) deposition [13], system with cold field emitter and IPA developer

[14], or schottky emitter at 100 kV [15], and novel resist/developer systems [16], [17].

39 EBL has been extended from the traditional semiconductor area to an interdisciplinary

area merging engineering, chemistry, physics, and biology. For sub-10-nm lithography,

we need to reconsider the effects of those traditional EBL factors, such as substrates,

processing temperature, resist and developer systems, liftoff techniques, and

compatibility with molecular and biological processing. In this chapter, we discuss

details about an optimized EBL process using cold development of poly

(methylmethacrylate) (PMMA) resist to achieve sub-10-nm resolution towards the

fabrication of molecular electronics.

3.1.1 E-beam resists

E-beam resists are layers of materials coated onto substrates to record the image

of the pattern defined by EBL. Usually, resists change their structures under e-beam radiation. The exposed or unexposed area can be selectively dissolved in some solvents.

However, for e-beam direct writing on inorganic resists, development is not necessary.

The exposed or unexposed areas can be used for pattern transfer directly.

According to their chemical properties and response to e-beam radiation, resists can be classified into three major categories: conventional chain-scission (or cross- linking) organic resists, chemically amplified resists (CAR), and inorganic resists. All resists have either one or both of two tones: positive and negative. Figure 3.1 illustrates the tones of resists. For the positive-tone resist in Fig. 3.1a, the e-beam radiation breaks polymer chains and decreases the molecular weight of resist. The exposed part is developed and removed by the developer, leaving the unexposed area as a mask for sequential pattern transfer, such as metal liftoff. For the negative-tone resist in Fig. 3.1b,

40

Fig. 3.1: Schematic illustration of positive and negative resists. (a) For positive-tone resists, e-beam exposed area is developed and removed and liftoff is used for pattern transfer. (b) For negative-tone resists, unexposed resist is developed and removed, leaving unexposed area as a mask for pattern transfer, such as etching of the substrate.

the e-beam radiation increases the molecular weight of the resist through the cross- linking phenomena, and the unexposed area is developed and removed by the developer,

leaving the exposed part as a mask for pattern transfer, for instance by reactive ion

etching.

PMMA is the first material developed as a chain-scission positive resist for EBL,

and is still providing the highest resolution of all organic resists. PMMA can also be

used as a negative resist with sub-10-nm resolution at higher-dose exposure [18], [19].

However, PMMA has poor etching durability and low sensitivity.

Other popular resists for nanofabrication include ZEP-520 [17], [20], [21],

hydrogen silsequioxane (HSQ) [16], [22], and ketone resist systems (KRS) [23], [24].

Both ZEP and HSQ resists offer resolution close to 10 nm. Their advantages over

41 PMMA are high sensitivity and good etching resistance. They are potential candidates for high-end mask making and next generation lithography.

Several parameters as shown in Table 3.1 (for positive tone resist) are commonly used to characterize resists. Contrast γ is a key measure of resist and development performance. It measures how sharply the resist solubility can respond to a change of exposure dose. Do measures the sensitivity of resists to e-beam radiation. Normally, high sensitivity and high contrast are preferred. More discussions on the concepts and processing of these resists will be presented in Chapter IV.

TABLE 3.1

PARAMETERS OF POSITIVE-TONE RESISTS

Parameters Definition

Do Critical dose (CD), the minimum dose required to render resist completely soluble to solvent immersion and determines the sensitivity of the resist.

Di Exposure dose where significant changes are detected in resist.

D γ = log−1 o γ Contrast, determined by Di

Another popular group of resists for EBL are self-assembled monolayers (SAMs).

During e-beam radiation, SAMs break bonds and change their chemical properties that can be used for pattern transfer. The thickness of SAMs is normally in the range of 1~10 nm and no development is needed after EBL. Besides SAMs, some inorganic films, such as LiF, AlF3, NaCl, SiO2, and TiO2, can exposure used as resist for EBL. The major advantage of these inorganic thin-film resists is their extreme resolution (2~8 nm) [3], [7],

[9]. 42 Another material called contamination resist has been known for many years to be a resist for EBL. Formed of condensed carbonaceous material, it is e-beam induced from the oils used for vacuum pumping, O-ring materials used for vacuum seals, vacuum greases, and improperly cleaned vacuum components [8].

3.1.2 Substrate

Silicon and silicon dioxide are most popular substrates for nanofabrication. They are easy to obtain, cut, process, and are capable of high-resolution patterning. More importantly, Si and Si dioxide are versatile for most pattern transfer and device fabrication after EBL.

Membranes with tens of nanometer thickness have been used as substrates for ultrahigh-resolution EBL [8], [25], [26] because of significant reduction of backscattering effects. Fabrication of sub-5 nm trenches in PMMA has been achieved by using thin silicon nitride membranes as substrates [8]. Using unsupported 10 nm carbon films on glass with very thin contamination resist, 8 nm feature sizes were achieved (electron beam diameter 0.5 nm, 45 keV beam energy, and ion etching of AuPd film) [25].

Although thin membranes provide less backscattering effect than Si and SiO2, the membrane process is more complex and difficult for device fabrication.

3.1.3 EBL theory and Monte Carlo simulation

3.1.3.1 Scattering

In order to obtain ultrahigh resolution, we need to understand the nature of the scattering processes in the resist and substrate during e-beam radiation. When an electron beam hits a resist-coated substrate, it is scattered by the resist molecules and the substrate

43 atoms. Scattering that results in a continued forward momentum is called forward scattering [27] (small angle inelastic scattering by the resist), and that results in a momentum oppositely directed is called backscattering [27] (large angle elastic scattering by the substrate). Figure 3.2 illustrates the scattering phenomena. Both types of scattering can be modeled by Gaussian distributions [27]. The overall exposure f(r) due to scattering can be modeled as [27]:

2 r 2 r ⎧ − − ⎫ 1 ⎪ 1 2 η 2 ⎪ f (r) = ⎨ e α + e β ⎬ , (3.1) π (1+η) α 2 β 2 ⎩⎪ ⎭⎪ where f(r) is the exposure by forward-scattered and backscattered electrons at the substrate surface with a distance r from the center of the beam, α and β are the distribution widths of forward-scattering and backscattering respectively, and the factor η is the ratio between the exposure by the backscattered electron and the incident beam.

Using the double-Gaussian model of Eq. 3.1, the contribution to the exposure by incident beam and backscattered electrons can be determined when α, β, and η are known. These three parameters strongly depend on the resist and substrate material, incident beam energy, and resist thickness. Figure 3.3 presents Monte-Carlo-simulation results of exposure distribution f(r) on a resist-coated Si substrate [26]. The simulation results based on Eq. 3.1 agreed with experimental results [5], [8] very well.

44

Fig. 3.2: Electron scattering during EBL on a resist-coated substrate (Si substrate with 80-nm-thick PMMA resist), simulated by Monte Carlo simulation software (written by David Joy). (a) Trajectories of forward-scattered electrons in the resist (30 keV) and (b) trajectories of backscattered electrons (30 keV) in the resist. A point electron source is assumed in this simulation. 5000 electrons were simulated.

45

Fig. 3.3: Exposure distributions of forward-scattered and backscattered electrons for a 1-µm-thick resist layer on a silicon substrate for 10 keV (α = 0.3 µm, β = 0.8 µm, and η = 1), 25 keV (α = 0.12 µm, β = 3 µm, and η = 0.86), and 50 keV (α = 0.07 µm, β = 8 µm, and η = 0.5) electrons [26].

46 The spread of the incident beam by the resist can be modeled [28]:

1 3 ⎛ Z ⎞ ⎛ ρ ⎞ 2 ⎜ ⎟ 2 di = ⎜ ⎟ ⋅t ⋅⎜ ⎟ , (3.2) ⎝ E0 ⎠ ⎝ A ⎠ where di is the maximum diameter of the incident beam at the interface of resist and substrate, E0 is the energy of the incident beam, and t, ρ, Z and A are the thickness, the density, atomic number and atomic weight of the resist respectively. This relationship suggests that when thin resists (< 100 nm) and high beam energies (> 25 keV) are used, the forward-scattering width can be reduced to a few nanometers. So for nanofabrication where thin resist and high energy are used, forward scattering will not limit the resolution significantly.

For backscattering, the Monte Carlo simulation in Fig. 3.3 and some experimental measurements [29], [30] suggest that with high beam energy the backscattering distribution would be wider than a few microns and the exposure intensity would be very little. Therefore, when pattern dimensions are far below a micron, backscattering would cause only a long-range background fog of exposure and its effect on resolution is ignorable. However, backscattering would still reduce the contrast slightly for dense nanolithography. Such negative effects can be avoided by using membrane substrates.

3.1.3.2 Secondary electrons

Secondary electrons are generated by the inelastic scattering when high-energy electrons (both forward-scattered and backscattered electrons) travel through the resist.

The secondary electrons with lower energies can break or make chemical bonds, and thereby cause exposure to the resist. Actually, due to their low energies, secondary

47 electrons are more efficient to cause exposure than are scattered electrons with high energies, according to the Bethe theory [28]:

− dE 2πe4 ⎛1.166E ⎞ = ∑ NiZi ln⎜ ⎟ , (3.3) dS E i ⎝ J ⎠ where dE/dS is the loss of energy dE by an electron traveling a distance dS in the solid, E is the kinetic energy of the electron, e is the electron charge, Ni, Zi are the number per unit volume and atomic number of atoms of type i in the solid, and J is the mean ionization potential [28]. For instance, a 500 eV secondary electron can deposit energy as much as

50 times more efficiently than can a 20 keV electron [28]. Therefore, even though the number of secondary electrons is low, the energy deposited to expose the resist can be significant. The distribution of secondary electrons can be modeled as Gaussian [31] or other forms [33]. Based on Eq. 3.1, the overall exposure by all electrons can be modeled with a three-Gaussian model as [31]:

2 2 r 2 r r ⎧ − − − ⎫ 1 ⎪ 1 2 η 2 η′ 2 ⎪ f (r) = ⎨ e α + e β + e γ ⎬ , (3.4) π (1+η +η′) α 2 β 2 γ 2 ⎩⎪ ⎭⎪ or with the double-Gaussian plus an exponential part as [33]:

2 r 2 r r ⎧ − − − ⎫ 1 ⎪ 1 2 η 2 η′ 2 ⎪ f (r) = ⎨ e α + e β + e γ ⎬, (3.5) π (1+η +η′) α 2 β 2 γ 2 ⎩⎪ ⎭⎪ where the first two terms are for the forward-scattered and backscattering electrons, and the third term is the distribution of secondary electrons. Figure 3.4 shows a simulation result based on a hybrid Monte Carlo model [32] about secondary electron trajectories in a 400 nm PMMA film.

48

Fig. 3.4: Trajectories of (a) forward-scattered electrons and (b) secondary electrons in 400-nm-thick PMMA resist with the energy of 20 keV. (Adopted from Ref. [32])

D. C. Joy [28] reported similar results of Monte Carlo simulation about secondary electrons. These results along with experimental observations [26] have indicated that the distribution width of secondary electrons is not strongly dependent on the PMMA thickness on the nanometer scale and is the major factor in EBL resolution. However, it is hard to determine the interaction range of the secondary electrons. One way is to measure the ultimate developed linewidth, in which the range often turns out to be close to 10 nm [8], [26]. With a different method, Rishton et al. [34] have measured the ranges of low energy electrons in PMMA resist. These data were plugged into Monte Carlo simulation [33] and the secondary-electron range turned out to be on the order of 2~3 nm.

49 3.1.3.3 Point spread function

Effects on resolution and pattern profile by the overall exposure can be studied using several quantities, such as point spread function [8], [26], spatial distribution of energy dissipation, equi-energy density contours [6] (contours of equal absorbed energy

[28]), and absorbed energy distribution [28] (exposure intensity distribution [27] or exposure dose). Table 3.2 gives descriptions of these quantities. These distributions can be obtained by Monte Carlo simulations, experimental methods [8], [27], or their combination. Some examples of these distributions are given in Fig. 3.5.

The point spread function, as shown in Fig. 3.5a, is used to model the overall effects on the resolution by the electron scattering, secondary electron generation, resist properties, and development. This function determines the spatial energy distribution.

The minimum exposure width (spatial resolution) of the EBL process can be derived from this function, which can be obtained experimentally by determining the relative doses required for complete development of lines with precisely measured widths [8].

The equal energy density contours, as shown in Figs. 3.5c and 3.5d, can be used as a reference to predict the cross-sectional profile of EBL patterns. For example, from the contours in Fig. 3.5c, the cross-sectional profiles (trench sidewalls) would likely be close to one of the contours after development, depending on the development sensitivity.

50 TABLE 3.2

QUANTITIES TO STUDY E-BEAM EXPOSURE TO POLYMER RESISTS

Quantity Description Point spread function Model overall exposure and effect of scattering electrons, (distribution) secondary electrons, resist molecular properties, and development process.

Equal energy density 2-D map of equal-energy-density contours in the resist. contours / equal absorbed These contours can predict cross-sectional profile of energy patterns

Distribution of absorbed Plot exposure density or dissipated energy that resist has energy / exposure intensity received at the bottom of resist layer as a function of radial / dose / energy dissipation distance from the center of the incident beam.

Fig. 3.5: Distribution quantities for study of EBL resolution limits. (a) Point spread function for PMMA resist obtained by Monte Carlo simulation with and without secondary electrons [35]. (b) Distribution of exposure intensity in PMMA resist [27]. (c) Equal energy density contours form I with 20 keV on 400-nm-thick PMMA, and (d) form II for 100-nm-thick PMMA on Si substrate with 100 keV [6].

51 3.1.4 Developer

Developers and development condition can strongly affect resolution and pattern quality due to the fact that contrast is a function of the developer. Developers are usually solvents that can selectively dissolve the exposed or unexposed resist materials. There are various developers for e-beam resists. The typical developers for PMMA are 1:3 methyl isobutyl ketone : isopropanol alcohol (MIBK: IPA) for high contrast and 1:1

MIBK : IPA for high sensitivity [36]. There are other developers such as IPA : H2O,

IPA [14], [37]. The developer for PMMA we used in this research is IPA : MIBK (3:1) with 1.5 vol % methyl ethyl ketone (MEK) because of its high contrast [36].

3.1.5 Pattern transfer

Liftoff is a popular pattern transfer method for EBL. The common materials used for liftoff are metal, molecules, nanoparticles, or inorganic compounds. For example, Fig.

3.6 illustrates the metal liftoff process. Firstly, EBL is performed on a resist-coated substrate (Fig. 3.6a). Then exposed resist is developed and removed by the developer

(Fig. 3.6b). A metal layer is deposited by thermal or e-beam evaporation (Fig. 3.6c).

Finally, metal on the unexposed resist is lifted off by dissolving the underlying resist in a solvent (Fig. 3.6d). The liftoff of other material is similar.

A successful liftoff process normally prefers thick or multiple layers of resists for good undercut profiles. Adhesion to the substrate of deposited materials is also important.

For the liftoff of molecules, nanoparticles, inorganic materials, or biomaterials, the liftoff solvent is important for achieving low surface roughness.

52

Fig. 3.6: Schematic of EBL liftoff process. (a) EBL in the resist, (b) exposed resist is developed, (c) metal deposition, (d) liftoff of metal on unexposed resist by removing resist underneath.

Reactive ion etching is another popular method to transfer patterns after EBL, as illustrated in Fig 3.7. EBL is done on a resist-coated sample, and exposed resist is developed and removed by the developer. Using the remaining resist as a mask, the substrate not covered by resist is etched. Finally, remaining resist is removed. Compared with wet etching, reactive ion etching offers less undercut.

Another pattern transfer method is chemical attachment, which is a relatively new technique mainly for molecular electronics. Depending on substrate, resist, and materials to be attached, the process is different. In some cases, the chemical attachment process is very similar to the liftoff process, but with the extra step of chemical treatment to the substrate before the deposition of materials. This treatment is for the attachment

(normally chemical bonding) of additional materials such as biological cells or molecules.

53

Fig. 3.7: Schematic of reactive ion etching after EBL. a) EBL is performed on the resist, b) exposed resist is developed, c) the substrate without resist covering is etched down by the plasma, and d) resist is removed.

In chapter V, we will introduce the use of e-beam exposed SAMs as templates for molecular patterning. In chapter 6, we introduce the liftoff of DNA, which can also be treated as a chemical attachment process.

3.2 EBL process with cold development

As discussed in Section 3.1, the resolution of e-beam exposure is partially determined by the secondary electron generation since primary electron scattering effects are ignorable when thin resists and high beam energies are used. The resolution of nanofabrication by EBL is not determined only by exposure process, but also by the resist development and pattern transfer. We have explored an EBL process with thin PMMA resist using lower-temperature development (cold development). We have found that

54 development temperature plays an important role in the determination of the cleanliness of the surface in the resist trenches, patterning resolution, pattern dimensions, line edge roughness (LER), and cross-sectional shapes of the patterns.

3.2.1 Substrate and cleaning

Substrate preparation is important for nanolithography in that a clean substrate is necessary for high-resolution [38]. The major concern about substrate cleaning is the surface roughness. For metal liftoff and etching, the roughness is not very critical.

Soaking samples in acetone for 5 min and then IPA for another 5 min in an ultrasonic bath is our normal sample preparation step. A hotplate bake of 1 min at 100 °C drives off the solvents. For the preparation of SAMs, liftoff of DNA or liftoff of molecules, sample preparation is critical since the molecules, SAMs or DNA tiles are a few angstroms to nanometers thick and would be indistinguishable on rough substrates. For these processes, we perform a complex cleaning procedure as described below:

1. RCA clean of 4-inch silicon wafers, followed by HF for 10 s.

2. Use to make markers, and dice wafers to ~1 cm2 samples.

3. Peel off particles on the samples using scotch tape, remove photoresist by

acetone, and then boil samples in toluene at 80°C to remove grease.

4. Soak samples in piranha solution (vol. 1:3 H2O2 : H2SO4) for 30 mins, then

wash with DI water.

5. Dip samples in HF (1:10 diluted) for 10 s to remove native oxide.

6. Perform RCA clean, dry with blown N2, and store in clean and dry containers.

7. Apply PMMA or grow SAMs on clean samples as soon as possible.

55 In this way, the roughness of Si samples with native oxide can be as low as 0.05 nm, while the roughness prepared with normal process is about 0.5 nm, as measured by a

Digital Instrument Nanoscope IV atomic force microscope (AFM).

3.2.2 PMMA preparation

Thin PMMA films were spin-coated onto silicon samples covered with native oxide. The molecular weight of PMMA used in this research was 950 K amu and the thickness was 30~120 nm, as measured with an Alpha-Step 500 profiler and AFM. The thickness is a function of the PMMA concentration, the spin time, and spin speed.

Spinning 1.2% PMMA in anisole at 3000 RPM for 30 s yields a thickness from 30 to 40 nm; 1.6% at 4000 RPM for 30 s yields 60 nm; 2% at 4000 RPM for 30 s yields 80 nm.

After spin-coating with PMMA, samples were baked at 165~175°C in an oven for more than 5 hours, and then baked at 170~180°C for 3 min on a hot plate just prior to exposure. The resist bake process, which may be easily ignored, is important. This is one of the main reasons that we could not achieve lithography feature sizes below 20 nm at the beginning. Our early bake procedure (hotplate for 3 min at 170~180ºC) could not drive off all the solvent, resulting in lower EBL resolution.

3.2.3 Cold development

Rooks et al. [39] and Pantenbug et al. [40] have both reported that decreasing developer temperature for thick (several micron) PMMA films enhanced contrast and achieved better-quality microstructures. However, neither explored the effects of cold development on the resolution of EBL at the nanometer scale. We studied the effects of development temperatures on dose requirements, resolution, pattern roughness, resist

56 residue, and cross-sectional shapes in the nanometer scale using AFM metrology [41]-[43] and scanning electron microscopy (SEM). Our results show that decreasing the development temperature does not significantly affect contrast, but is found to decrease the sensitivity of PMMA to the developer, which proves to have positive repercussions for sub-10-nm nanolithography. The combination of using higher doses and cold development improves pattern quality, removes PMMA residue thoroughly to ensure successful liftoff, and allows sub-10-nm resolution.

3.2.3.1 Process

During development, 10 ml of developer was maintained at a temperature of 4~8

°C with a precision of 1°C. The 0.5~1 cm2 samples were initially at room temperature

(around 23°C). Development time was varied from 30 s to 90 s depending on the resist thickness, developer temperature and exposure dose. Typically, development time was longer for lower doses, lower temperatures, and thicker films.

The developer used was isopropyl alcohol (IPA): methyl isobutyl ketone (MIBK)

(3:1) with 1.5 vol % methyl ethyl ketone (MEK) because of its high contrast [36]. We believe that the added MEK, a strong solvent of PMMA, helps to remove resist residue at the bottom of trenches.

For SEM examination, either 1~2 nm thick Cr was sputtered on the samples by a plasma sputter coater (Emitech model 675), or 1~2 nm thick AuPd was evaporated by thermal evaporation.

57 3.2.3.2 4~8 nm PMMA trenches

We have reproducibly obtained PMMA trenches narrower than 10 nm using the cold development, as shown in Fig. 3.8. Linewidths were measured by the SEM measurement function and SEMICAPS [44] image capture system. The SEM was calibrated with a certified sample [45] at an accuracy of 0.5%. The lines with 4~8 nm widths were clearly resolved without evident microbridging effects, which have been commonly observed for EBL lines narrower than 10 nm [8], [43]. We studied the linewidth vs. dose at different temperatures. Figure 3.9 shows that development temperature plays a significant role in determination of trench linewidths. With the same developer and dose, decreasing the developer temperature below room temperature results in narrower linewidth. As for the same linewidth, colder developer requires 2~3 times higher dose. For high-density patterning, a line grating with 35-nm pitch and 6~8 nm width is shown in Fig. 3.10 and a grating with sub-30-nm pitch is shown in Fig. 3.11.

Gratings with smaller periods failed due to the collapse of soft PMMA walls [46].

58

Fig. 3.8: PMMA trenches with 4~8 nm widths defined by EBL and developed at 6°C. 4- nm-wide and 6-nm-wide lines are shown at top. 6 to 10-nm-wide grating lines are shown at bottom. Line dose is 8~9x10-10 C/cm. Samples are sputter-coated with 1~2 nm Cr. PMMA thickness is 40~60 nm.

59 120 1e-09 C/cm 8e-10 C/cm 5e-10 C/cm 100 3e-10 C/cm

80

60

Linewidth (nm) Linewidth 40

20

0 5 1015202530354045 Developer Temperature (o C)

Fig. 3.9: Linewidth vs. developer temperature at different doses. For the same dose, higher developer temperature leads to larger linewidths. PMMA thickness is approximately 60 nm

60

Fig. 3.10: SEM image of 8-nm-wide PMMA lines with 35-nm pitch. 40-nm- thick PMMA was coated with 1~2 nm Cr.

Fig. 3.11: PMMA lines with 6~8-nm width and 30-nm pitch. 30-nm-thick PMMA was coated with 1~2 nm Cr. 61 3.2.3.3 Contrast measurement by AFM

For better understanding of our results, we performed a study of cold development using AFM [41]-[43]. We determined the contrast at several different development temperatures by measuring the depths of exposed squares with graduated doses. In order to minimize measurement errors, we decreased the pixel spacing to 1 nm and de-focused the beam slightly so that the surface roughness of the developed patterns was minimized to 0.5~2 nm. Roughness is relatively high in squares with doses close to the critical dose. Figure 3.12 shows an AFM image and cross-sectional profile of the exposed squares. Roughness of the exposed squares was less than 2% of the original resist thickness. The resulting dose-exposure contrast curves are shown in Fig. 13.

The contrast curves in Fig. 3.13 do not reveal significant differences in contrast at different temperatures. Instead, we find that temperature affects the sensitivity and, therefore, for a given dose, the intrinsic linewidth. Rooks et al. [39] have found that cooling the developer increases contrast while sacrificing sensitivity. For their case, thick

(several micron) PMMA films were used for microstructure fabrication by x-ray lithography, and loss of sensitivity due to colder developer (was) not tolerable. However, for the case of thin films and molecular scale patterning, loss of sensitivity caused by cold development instead allows the use of higher doses, leading to improved pattern quality and higher resolution. Our results agree with theirs on the improvement of pattern quality using cooled developers.

62

Fig. 3.12: AFM image of the PMMA patterns used to measure contrast. Cross-sectional analysis shows that the roughness of the developed squares was maintained at 2% of the original PMMA thickness.

63

Fig. 3.13: The PMMA contrast curves at development temperatures of 4°C, 22°C, and 42°C, measured by AFM.

The “tail” shapes of the contrast curves in Fig. 3.13 are important for high resolution. For development at 4°C, the higher dose and lower sensitivity result in a shorter tail on the contrast curve past the critical dose, Df, so that the required dose to actually clear the bottom of the trench lies closer to Df. Because of this, the minimum deposited energy to clear the trench is more narrowly confined to the center of the trench near the primary beam, resulting in higher resolution.

64 3.2.3.4 Development time

Development time must be sufficient to clear trench bottoms while being as short as possible for the narrowest linewidth. For the cold development, development time is much longer since the dissolution rate, D, is dramatically reduced according to [47]:

−∆E / KT D = Ae ad , (3.7) where A is a constant and ∆Ead is the PMMA activation energy, which is a function of

PMMA molecular weight. The development time depends on the exposure dose, temperature, developer, and PMMA thickness. The development time we used was

30~60 s for 6°C with 40~60 nm PMMA thickness, which was enough to clear trench bottoms proven by liftoff. It was about 4 times longer than the room-temperature development.

3.2.3.5 Time between lithography and development

To our knowledge, the storage time between EBL exposure and development has never been considered to affect resolution in PMMA. However, our experimental results indicated that it does. Development without delay after lithography shows sub-10 nm feature sizes and high image contrast. Two weeks delay after lithography resulted in the disappearance of patterns less than 20 nm. Such delay also resulted in poor image contrast and poor cross-sectional profile. Chapter IV discusses a cross-sectional SEM method to study this factor.

65 3.2.4 Comparison of cold and ultrasonic development

In 1993, Chen and Ahmed reported that using ultrasonic agitation during development can improve resolution [11], [48]. They stated that when the exposed structures become smaller and are comparable with the molecular size of PMMA (about

2 nm), intermolecular forces become significant in the development process. Figure 3.14 shows the intermolecular force of PMMA as a function of linewidth. The exposed resist molecules are trapped in the potential well of the unexposed molecules and are no longer dissolved into the developer solution. Using ultrasonic agitation in development can raise the energy of the molecules and help them dissolve into the developer. Otherwise, dose must be increased, and so does the linewidth, in order to develop the resist.

Fig. 3.14: Intermolecular force as a function of linewidth. (Adopted from Ref. [11])

66 Using such technique, Chen and Ahmed have reported EBL resolution below 10 nm. They used low doses and room temperature development and claimed that their ultrahigh resolution was due to the use of ultrasonic development to help the removal of degraded molecules. Ultrasonic agitation was found to be helpful in cleaning the exposed resist at micron scales [39].

However, the results we obtained using room temperature development with ultrasonic agitation were not as good as those using low temperature development without ultrasonic agitation. Instead, for the cold development, during exposure, higher dose (2~3 times higher) can be used to decrease further, and more uniformly, the average fragmented molecular weight Mf of PMMA according to [42]

1 1 gD = + , (3.6) M f M 0 ρN A and thereby reduce the residue. Here, M0 is the original molecular weight of PMMA, D is the exposure dose, NA is Avogadro’s number, g indicates the number of effective scissions per electron, and ρ is the density of PMMA. Calculated Mf vs. dose based on

Eq. 3.6 [49] is given in Fig. 3.15. Based on Fig. 3.15, exposure at a dose of 300 µC/cm2

2 results in Mf = 2 K amu, while 100 µC/cm results in Mf = 7 K amu. Mf decreases rapidly with increasing dose [42]. Therefore, the 2~3 times higher dose offered by cold development results in lower Mf than room temperature and less residue. Furthermore, we added 1.5% MEK in the developer to help the removal of PMMA residue. During the cold development, only the center parts of the exposed patterns are removed due to the reduction of sensitivity, and sub-10 nm wide trenches are formed.

67

Fig. 3.15: Calculated average fragmented molecular weight (Mf) vs. dose (solid line curves). (Adopted from Ref. [49])

Both cold and ultrasonic techniques reduce the residue in the trenches. The difference is that ultrasonic development helps the residue come out of the trenches by applying external energy. However, low-dose exposure, required for narrow linewidths, generates more residue than high-dose exposure. Cold development uses 2~3 times higher doses to reduce the Mf and generates less residue. Since our results using ultrasonic agitation were not as good as that of cold development, we conclude that cold development may result in less residue and higher resolution. A combination of ultrasonic and cold development may have better performance based on these arguments.

Broer et al. argued that ultrasonic agitation did not improve contrast [8], [43] based on their own experiments. Similarly for the cold development, we had not 68 observed contrast improvement, as shown in Fig. 3.13. However, both techniques achieved ultrahigh resolution in PMMA and successful sub-10-nm liftoff. We believe that complete development of resist residue is another important factor in determining the final pattern resolution besides contrast.

3.2.5 Liftoff of metal

Metal liftoff is a common transfer method for EBL applications. It is widely used to fabricate devices, interconnections, and test structures. A successful metal liftoff normally requires enough undercut to prevent sidewall connections as well as adhesion of metal to the substrate. Either single-layer or multi-layer resists can be used for liftoff.

Multi-layer resists can give more undercut [11] and are good for liftoff of thick metal.

Figure 3.16 illustrates a liftoff process using double-layer PMMA. Higher-molecular- weight PMMA is applied over a lower-molecular-weight PMMA layer. After EBL and development, the lower-molecular-weight layer gives a larger aperture to form significant undercut.

Metal liftoff in the sub-10 nm scale is difficult due to several reasons. Firstly, thin resist films, required for high resolution, may have insufficient undercut to prevent sidewall coating. Secondly, it is difficult to completely develop resist residue from the narrow and high-aspect-ratio PMMA trenches. Our early failures in achieving sub-10-nm liftoff metal lines may have been due to the incompleteness of the development without using cold development. The developer did not etch through to the substrate surface in the sub-10-nm wide trenches. Thirdly, thin metal films (1~5 nm thick) have weak

69

Fig. 3.16: Schematic of EBL liftoff process using double-layer PMMA. a) Apply double layers of PMMA with 950 K amu on top and 200 K amu underneath, b) e-beam exposure, c) development, d) deposition of metal, e) liftoff of metal.

70 adhesion to the substrate based on our observations. Thin metal films are used for sub-

10-nm metal liftoff [13] since thick metal lines in sub-10 nm would have significant breaks [13], [48] due to coalescence and strain of metal grains [48]. Also, thick metal films combined with insufficient undercut would likely cause sidewall connections.

Figure 3.17 shows metal structures with such sidewalls after liftoff [50]. Due to the weak adhesion to substrates, thin metal films cannot stand the ultrasonic force or high velocity developer from a syringe during liftoff. Our liftoff experiments using ultrasonic or syringe never resulted in continuous sub-10-nm lines. In most cases, lines were broken or completely washed away after liftoff. Figure 3.18 shows an example of a thin metal line (5-nm thick) with poor adhesion to the substrate.

a b

Fig. 3.17: Metal structures with sidewalls after liftoff. a) Circles with more than 60 nm high sidewalls; b) squares with sidewall connections cause liftoff failure. 33 nm cobalt were evaporated thermally after EBL of 130-nm-thick single layer PMMA [50].

71

Fig. 3.18: Poor adhesion of thin metal lines to the substrate. Metal thickness is 5 nm.

To overcome those difficulties and achieve sub-10 nm metal liftoff, we tried several different techniques. We found that using the cold development reduces the effects of the first two problems. Cold development improves the cross-sectional profile of PMMA trenches for liftoff. Pantenburg et al. [40] observed a difference in cross- sectional shapes at different development temperatures, as shown in Fig. 3.19. Features developed at 20°C had much better profile than at 37°C. In summary, it appears that cold development (4~8°C in our cases) results in sharper trench edges and better cross- sectional shapes for liftoff in the sub-10 nm scale.

Using cold development, ultrathin metal deposition, and careful control of the process, we have obtained narrow Au60Pd40 and Au lines by liftoff after EBL in 40 to 60- nm-thick PMMA, as shown in Fig. 3.20. Figure 3.20a demonstrates a single-pass continuous AuPd line with 1~2 AuPd grains in the width, which is from 7 to 12 nm.

72

Fig. 3.19: SEM images of PMMA structures (scale bar is 100 µm) fabricated by X-ray lithography. Top image was developed at 37°C and the bottom one at 20°C. Development with lowered developer temperature resulted in sharper pattern edges. (Adopted from Ref. [40]) 73

Fig. 3.20: Liftoff lines by EBL with cold development of 60-nm-thick PMMA. (a) Au60Pd40 line (3 nm thick and 7~12 nm wide) with one or two AuPd grains in the width. (b) Au lines (2 nm thick and 20~25 nm wide) with two or three Au grains in the width.

AuPd lines with 5~10 nm widths were well formed in the PMMA trenches, as shown in Fig. 3.21. In these cases, we did not use ultrasonic agitation or syringe blowing during liftoff due to the weak adhesion of these thin metal lines. PMMA films with

30~40 nm thickness were used to define narrow trenches and complete development.

However, the top metal layer stuck to the substrate after PMMA was removed by acetone. The combination of thin resist and thin metal film would likely be the reason to cause this problem. The bulk silicon substrates were charged by EBL and could strongly attract the thin metal films by electro-static forces when the thin resist was removed during liftoff. The thinner the resist, the less able is the metal to stand up to. PMMA with thickness below 40 nm always causes this problem. The poor uniformity and pinholes of thin resist films prepared by spin-coating technique may be another reason.

An alternative film deposition method could be explored in the future. If higher accelerating voltage (>50 kV) and small beam are available, the use

74

Fig. 3.21: Au60Pd40 lines (3 nm thick) with 5~10 nm width formed in 40-nm-deep PMMA trenches fabricated by EBL using cold development and liftoff.

of sub-40 nm thin resists can be avoided with satisfactory resolution, and the hanging- metal problem could be solved. For example, Vieu et al. [13] has demonstrated liftoff metal lines with 5~7 nm width and 2-nm thickness using 140-nm-thick PMMA. A 200 keV beam energy and a 0.5 nm beam enabled high resolution in the thick resist.

However, we can see from Fig. 3.21 that formation of 5-nm-wide metal lines were successful and it proves that the 5-nm-wide PMMA trenches can be completely developed from top to the substrate surface. This conclusion is important for our associated high-resolution molecular liftoff for M-QCA [55].

75 3.2.6 Liftoff of Au nanoparticles

Au-nanoparticle patterns fabricated by EBL and liftoff can potentially be used for multiple-junction single electron transistors and memory [51]. Also direct writing on nanoparticle films was reported to form patterns for Coulomb blockade devices [52].

Here, a liftoff process for Au nanoparticle patterning is presented, as illustrated schematically in Fig. 3.22. After EBL and cold development, the PMMA trenches were soaked in a solution of poly(lysine) and then exposed to a suspension of citrate-stabilized gold nanoparticles [53]. The average diameter of the Au nanoparticles was 5.7 nm as measured by transmission electron microscopy. The PMMA removal was by 2- dichloroethane [54] or acetone. Liftoff patterns are shown in Fig. 3.23. Since the Au nanoparticles do not attach to PMMA residue, we conclude that the trench bottom is clear after low temperature development, and that development completely through the resist is successful.

As shown in Fig. 3.23b, we have demonstrated a Au-nanoparticle line only one particle in width. The comparison between Figs. 3.23a and 3.23b shows that wider lines result in multiple-dot-width features and narrow lines have only one dot along the width.

This is further evidence that the trench remains narrow to the surface of the substrate, even in the presence of some expected undercut due to both primary and backscattered electron scattering. In the presence of significant undercut, the gold particles would flow to the edges of the trench [55] and reveal a linewidth greater than that apparent in the surface SEM micrographs, at least to the resolution of the width of one nanoparticle.

76

Fig. 3.22: Schematic diagram of Au-nanoparticle liftoff process. a) PMMA trenches after EBL and development at 6°C; b) sample soaked in a 0.01 (vol) solution of poly(lysine) for 10 min to make trench bottom positively charged; c) Au-particle attachment for 10~20 hours; d) PMMA removal by acetone or 2-dichloroethane.

Fig. 3.23: Liftoff patterns of Au nanoparticles. a) multiple-particle-width line; b) single- particle-width line.

77 3.3 EBL process discussion

3.3.1 Resolution

Resolution of EBL in resist is set by system, exposure parameters, electron-resist interaction phenomena, resist development, and pattern transfer. As discussed in Section

3.1.3, resist is mainly exposed by secondary electrons, therefore spatial resolution of e- beam exposure is set by the distribution width of secondary electrons in resist, which is the combination of secondary electron generation and their straggling range in resist. The secondary electron generation by backscattered electrons is ignorable since it is distributed over a range of about 5 µm with very small intensity for our lithography at 30 kV in thin resist. The range of secondary electron generation by the forward-scattered electrons can be approximately calculated by the following equation [56], which is derived from Eq. 3.2 to calculate the forward scattering width.

1/ 2 ⎛ Z ⎞ 3 / 2 ρ B = 625⎜ ⎟t , (3.8) ⎝ Eo ⎠ A where, B is the increase in the diameter of the beam at the bottom of resist, Z, A, ρ and t are the atomic number, atomic weight, density and thickness of the resist, respectively, and Eo is the beam energy. For PMMA resist with the formula of (C5H8O2)n, Z = 3.6, A =

3 6.7, ρ = 1.2 gm/cm [57]. For our process, t = 30~60 nm and Eo = 30 keV, the incident beam spread at the resist-substrate interface is about 3~4.5 nm. Therefore, the forward- scattering width cannot be ignored for sub-5-nm EBL. The straggling range of secondary electrons is about 2~3 nm, as discussed in Section 3.1.3.4. Based on these values, the distribution width of exposure, which determines the ultimate EBL resolution, is about 3 nm + 2 × 2 nm = 7 nm for our EBL process. The final resolution in resist is also

78 determined by the consequent resist development. For > 100 kV EBL, the incident beam spread can be as small as 1 nm. It is possible to achieve sub-5-nm EBL with high accelerating voltage, small beam diameter, thin resist, and appropriate development techniques.

Resist development is critical for sub-10 nm EBL. However, dissolution of the degraded resist molecules from the extremely narrow and high-aspect-ratio trenches has not been clearly understood yet. Without external stirring (such as ultrasonic or magnetic agitation), the development process is by pure diffusion and natural convection driven by local differences in temperature and concentrations. The following factors may affect the development: PMMA molecular distribution and Mf, trench geometry, PMMA viscosity, dissolution rate, developers, and developer temperature. As discussed in section 3.2.4, ultrasonic forces can be applied to help degraded molecules escape into the developer.

The cold development leads to higher exposure and therefore lower Mf in the trenches, as well as increases viscosity of unexposed PMMA. Therefore, molecules with lowered Mf and smaller sizes in the trenches can come out, while the walls and edges are much slower to be dissolved, resulting in better cross-sectional shapes.

Several groups have demonstrated EBL resolution below 10 nm in polymer resists.

Broers et al. have demonstrated the fabrication of 5-nm-wide PMMA trenches on a thin silicon nitride membrane using normal EBL processing [8]. Their success was due to a supreme EBL system (a 0.5-nm diameter and 50~300 keV electron beam) and the use of membrane substrates. Chen et al. have demonstrated 5~7 nm lines both in PMMA and etched in thick silicon substrate due to the use of ultrasonic development and a 100 keV beam with small diameter [11]. The same group demonstrated fabrication of 2-nm metal

79 islands for single electron devices using an ionized beam deposition technique and ultrasonic development [59]. Vieu et al. [13], [60] have demonstrated liftoff of monogranular metallic lines with 7 nm widths by the use of ultrasonic development, monogranular metal film deposition, and a 200-keV beam with 1-nm diameter.

Many groups have reported fabrication of sub-10-nm structure using EBL along with a variety of methods. For example, Namatsu et al. [61]-[63] have demonstrated the fabrication of 2~10-nm silicon nanowires using a combination of EBL and orientation- dependent etching. Cumming et al. [64], [65] have demonstrated a novel method that exposed PMMA by the lateral straggle of secondary electrons in the small gaps of 20 nm wide lines to fabricate 3 nm NiCr structures on a silicon substrate with a 3-nm-diameter,

100-keV beam. However, all these structures were not directly defined by e-beam and have severe limitations in applications.

In Section 3.2.3, we demonstrated 5-nm EBL using cold development. This resolution has been reproducibly obtained on PMMA trenches, metal liftoff, and liftoff of

Au nanoparticles. We have compared the cold development with ultrasonic development in section 3.2.4 and conclude the use of these techniques directly results in complete development of sub-10 nm trenches. Figure 3.24 compares two QCA adder patterns to further illustrate the difference of cold and room temperature development. The linewidth in each pattern is 6~10 nm and the PMMA thickness is the same. For room temperature development, in order to achieve the sub-10 nm resolution, a low dose of 3.5

×10-10 C/cm had to be used. The difficulty of complete development of the sub-10 nm lines was observed as shown in Fig. 3.24a. The adder pattern in Fig. 3.24b was developed at 6°C with a dose of 8.5 ×10-10 C/cm using the same developer. The room

80 temperature sample showed obvious bridging in the lines while the cold development sample has no such effect. Cold development helped eliminate the bridging effect in sub-

10 nm lithography. The tail shape of contrast curves in Fig. 3.13 in the section 3.2.3 is another explanation to the improvement of resolution by cold development.

a b Fig. 3.24: QCA adder patterns in PMMA resists developed at a) 23°C and b) 6°C.

In summary, for EBL using ultrathin resists, a bright and small beam with high beam energy, exposure by scattering electrons and secondary electrons can be at the range of 5 nm. Cold development can help transfer such exposure to resist patterns in the sub-10 nm range. The liftoff process is a feasible method to transfer such ultrahigh resolution to various nanofabrication applications.

3.3.2 Metal Liftoff

Metal liftoff was discussed in Section 3.2.5 where problems of sub-10 nm liftoff were addressed. 5-nm-wide metal wires were fabricated by liftoff with the use of cold

81 development, ultrathin metal deposition, and careful process control. Here we discuss some factors regarding its limits to the fabrication resolution.

Metal atoms of about 0.3 nm size can easily go into sub-10 nm resist trenches and sit on the substrate surface. Metal atoms migrate on the trench bottoms for energy relaxation. Such surface reconfiguration results in the formation of grains. The size of grains depends on the material properties of metal and substrates, metal thickness, and deposition conditions. Figure 3.25 shows the grains of Au and Au60Pd40 with the thickness about 10 nm deposited by thermal evaporation. The grain size of the Au film was larger than 10 nm while the grain size of Au60Pd40 was about 6 nm. The gap between the Au60Pd40 grains was about 2 nm.

Fig. 3.25: Grains of Au (left) and Au60Pd40 (right) films with thickness of 10 nm.

Vieu et al. [13] have demonstrated 5-nm-wide Au monogranular lines (only one grain is formed in the width of the lines) by liftoff (Fig. 3.26), while the normal grain size of Au was about 7 nm. They found that the natural 7-nm Au grains appeared to be elongated to fit in the 5-nm-wide trenches, and the gaps between these grains were increased to 5~6 nm compared with 2 nm for the 7-nm Au grains. Although they

82 reported 3~5 nm intrinsic resolution in resist, sub-5 metal lines were not achieved. Our

5-nm-wide Au60Pd40 monogranular line in Fig. 3.21 has a similar appearance: larger grain separations in the 5-nm-wide line than that in the 9-nm-wide line. However, we cannot determine the shapes of the grains due to the limited imaging resolution. Concise measurement of the grains and grain separation requires a higher-resolution imaging method, such as transmission electron microscopy (TEM). Au60Pd40 lines narrower than

4 nm were not achieved.

When metal atoms go into trenches narrower than their grain size, the metal atoms try to form grains to relax strain forces and change the grain shape to fit in the containers.

However, when the trenches get even narrower, such grains cannot be formed and the adhesion of these metal atoms to the substrate is not strong enough to withstand the liftoff process. As a result, such narrow metal lines are not formed or are washed away during liftoff. Simulation results [66] indicated that when metal wires are very narrow and ultrathin, metal atoms optimize their energies by arranging themselves in non-crystalline structures. The adhesion to substrates of the amorphous metal lines would be significantly reduced.

It has been proven that 3~5 nm intrinsic resolution is achievable by EBL in

PMMA resist [8][13][67]. Therefore, fabrication of sub-5 nm metal lines by liftoff is achievable only if metal grains can be formed in the trenches. It has been shown that the ionized beam deposition methods can yield smaller grain size and better adhesion of the metal film [12] (shown in Fig. 3.27), however the grain separation would increase.

83

Fig. 3.26: 5-nm Monogranular Au lines (3-nm thick) by liftoff. (Adopted from Ref. [13])

In addition, the resist-removal solvents used for liftoff and processing details are also important. The solvents for liftoff can be warm acetone (<55° C), dichloroethane

[54], dichloromethane [54], and methyle chloride, etc. Using hot acetone (90° C) [38] or an isopropanol solution with injection of hot trichloroethylene at the sample surface [13] during the liftoff process can help achieve sub-10 nm liftoff. Ten-second oxygen plasma etching of the samples can be used to eliminate some hanging PMMA residue in the

84

Fig. 3.27: Au60Pd40 films deposited by evaporation with (left) and without (right) ionization. (Adopted from Ref. [12])

trenches. Before liftoff, 3-min oxygen plasma etching can eliminate metal line ragged edges after evaporation. Rinse samples in the chlorobenzine solvent before development can harden the top layer of PMMA to improve the undercut shapes.

3.3.3 Pattern quality

Besides resolution, the pattern quality, such as cross-sectional shape and line edge roughness (LER), is an important issue for nanofabrication. The following experiment compares the quality of PMMA trenches with the same width but developed at different temperatures. Two sets of exposures were performed with the same exposure parameters,

PMMA preparation, and Cr deposition. The exposed patterns were gratings with graded doses. One sample was developed at 26°C for 10 s and another at 6°C for 30 s, which is the minimum development time required to clear the trench bottom. The two samples were imaged side by side in the S-4500 SEM. Lines with different doses but with the

85 same 8~10 nm width are compared in Fig. 3.28a. It appears that lines on the left

(developed at 6°C) were better resolved and show higher contrast on the edges than those developed at room temperature shown on the right. The contrast and brightness in both images were automatically adjusted on the SEM to achieve the same average values.

Since the exposure and imaging conditions were the same for both samples, we believe the contrast difference is related to the trench shapes. In the formation of a secondary electron image from SEM raster scanning on the lines, sharper edges will generate more secondary electrons [68] and result in higher image contrast. Therefore, lines developed at 6°C apparently have sharper edges as illustrated schematically in Fig. 3.28b. This is similar in nature to what Pantenburg et al. [40] observed as shown in Fig. 3.18. At lower temperatures, PMMA viscosity η is higher according to [69]:

∆E / KT η = Ae Visc , (3.8) and the dissolution rate of PMMA molecules, D, will be slower according to Eq. 3.7.

Here A is diffusion constant and ∆EVisc is the activation energy, which is a function of

PMMA molecular weight. Therefore, after cold development, the loss of PMMA molecules at trench edges will be less than at room temperature. It has also been reported

[70] that for the chemically amplified resist NEB-22 (Sumitomo Chemical), cold development enhances cross-sectional shapes.

86

Fig. 3.28: Comparison of 10-nm-wide intrinsic PMMA lines. a) Developed at 6°C (left) and 26°C. (right). The doses for the left and right lines were 8 × 10-10 C/cm and 3 × 10-10 C/cm, respectively. b) Cartoon explaining how the shapes of trench edges affect the contrast. Samples were sputter-coated with 2-nm Cr.

Another important quality issue is LER, which relates to beam current variation, dose, noise, exposure pixel spacing, grating pitch, resist reconfiguration during development, and pattern transfer. Dobisz et al. [42] reported that with higher dose and larger grating periods, the grating LER was apparently better. Cold development allows the use of 2-3 times higher dose for which the resulting pattern LER would be lower.

Higher dose also results in less noise by averaging the beam current variation from the cold field emission cathode. To achieve lower LER, the exposure pixel spacing should be optimized to eliminate resist residue between pixels [71]. Our calculations using a

87 double Gaussian exposure model suggest that the exposure pixel spacing should be no larger than the beam diameter, as shown in Fig. 2.16 in Chapter II.

3.3.4 Aspect ratio and cross-sectional shape

The narrowest trench width we fabricated is about 5 nm for a PMMA thickness of

40~60 nm. It is difficult for the developer to transport to the bottom of high-aspect-ratio trenches to clean out the high-molecular-weight residue. Also, during development,

PMMA swelling may block solvent from transporting into the trenches. The 1.5% MEK, a strong solvent of PMMA, in our developer aids removal of exposed PMMA residue from the high-aspect-ratio trenches. The cross-sectional image in Fig. 3.29 shows that our sub-10 nm wide trenches had a high aspect ratio of 8~10 and the opening was resolved from the top to the bottom.

To reduce the high aspect ratio of trenches and reduce development time, ultrathin resist (less than 30 nm) can be used. With ultrathin resist, development will be more efficient for the removal of exposed PMMA and may result in narrower linewidth.

3.3.5 PMMA resist

PMMA (chemical formula: C5H8O2) offers the highest EBL resolution among all polymer resists. Figure 3.30 shows the chemical structure of PMMA. The degree of polymerization, n, is the number of repeated units in one PMMA molecular chain.

Therefore, n determines the molecular weight. Table 3.3 summarizes several key chemical properties of PMMA.

88

Fig. 3.29: SEM image of cross-sections of sub-10-nm PMMA trenches. From the cross- sections, the trenches appear to be developed through. The aspect ratio of the trenches is around 8. Trenches were covered with 1~2 nm Cr by sputter coating. Cross sections were obtained by exposing lines with one ends into a square pattern. The lines were viewed from the square end at an angle of 45°.

Fig. 3.30: Chemical formula of PMMA.

PMMA chains can be easily entangled. The threshold molecular weight for

PMMA entanglement is about 16,000 amu. Chains below such a molecular weight

89 would disentangle and occupy a sphere of ~4 nm in diameter, thus impairing the resolution [33][72].

Resists with different molecular weights require different doses to achieve the same linewidth. For example, 950 K amu resist requires 10 times more dose than 15 K amu resist for the same linewidth [72]. In other words, resists with lower molecular weights provide higher sensitivity [73] and have lower activation energy and higher dissolution rate according to Eq. 3.7. Although PMMA with high molecular weight

(normally 950 K amu) was widely used for high-resolution EBL, molecular weight is not an important factor for the determination of the resolution [28][72][74].

TABLE 3.3

CHEMICAL PROPERTIES OF PMMA [75]

Parameters Definition M molecular weight, the sum of the relative atomic masses of the constituent atoms of a molecule.

Poly dispersity describing a polymer consisting of molecules with a variety of chain lengths (and hence molecular weights); calculated as Mw/Mn.

Mn number-average molecular weight - the average molecular weight of a poly-disperse polymer sample, averaged to give equal statistical weight to each molecule; calculated as

∑M i Ni M n = . ∑ Ni

Mw weight-average molecular weight - the average molecular weight of a poly-disperse polymer sample, averaged to give higher statistical weight to larger molecules; calculated as 2 ∑M i Ni M w = . ∑M i Ni

90 During development of PMMA, fragmented PMMA segments are dissolved into developer, and also developer-induced swelling occurs in the unexposed or less exposed resist at the same time [74]. Higher doses generate lower average fragment molecular weight Mf and results in better development performance. PMMA with high initial molecular weight would have higher contrast, but suffer from severe swelling [74].

Dobisz et al. [35][42][74] have discussed intensively the molecular properties of PMMA for nanolithography. They claimed that the granular structure, gel layer formation, and poly-dispersity of PMMA are important in determining pitch resolution and LER.

However, based on our grating results in Fig. 3.11, grating lines with 28-nm-pitch were achieved on 950K PMMA, and no obvious effect of LER from granular structures was observed. The effect of developer-induced swelling and granular structure of PMMA to the resolution is not clearly understood yet. It is important that a more in-depth understanding of the fluid properties in the narrow resist channels during development and deposition of add-on materials for liftoff be processed.

3.4 Applications

As discussed in the previous sections, the cold development with high-dose exposure and the developer with some MEK enable us to fabricate completely developed, high-aspect-ratio, 5-nm-wide PMMA trenches. These trenches could be used for attachment of QCA molecules and liftoff to pattern QCA molecular circuits [55], [76].

Figure 3.31 shows an SEM image of a PMMA trench-pattern of a one-bit QCA full adder

[77] for molecular liftoff. The linewidth is 6~8 nm, but is much larger at the overlap, indicating that proximity effects are strong on these size scales. Based on the chosen chemistry, self-assembly of the molecules within the trenches will guarantee coherent

91

Fig. 3.31: SEM image of a one-bit full-adder pattern defined by EBL and covered with 1- nm Cr using cold development for molecular QCA. The linewidth is 6-8 nm.

pattern formation suitable for molecular QCA. Figure 3.32 is an AFM image of a liftoff line of Creutz-Taube ion [(NH3)5Ru(pyrazine)Ru(NH3)5](o-toluenesulphonate)5 (CT5) molecules with an apparent width of 22 nm [76]. Upon deconvolution of the AFM tip, assumed to be about 10 nm radius, an actual linewidth of about 15 nm is achieved [76].

(see details about molecular liftoff for M-QCA in Qingling Hang’s Ph.D. dissertation)

Another key application of the EBL process in our group is to use hierarchical self-assembly to create DNA tiles and multi-tile “rafts” for M-QCA patterning, which is collaboration with Drs. Sarveswaran and Lieberman in the Dept of Chemistry. Figure

3.33 shows the molecular liftoff technique applied to the deposition of 4-tile rafts on tracks coated with APTES. Different molecules or nanoparticles can be attached to

92 chemically modified sites in the major groove of each DNA tile [76]. Details about DNA patterning for M-QCA will be discussed in Chapter VI.

Figure 3.33: AFM image of a lifted-off line of CT5 molecules with a calculated width of about 15 nm for M-QCA. (Adopted from Ref [76])

Besides applications to M-QCA, the ultrahigh-resolution EBL process discussed in this chapter could be applied where EBL is needed to define sub-10-nm structures, such as room temperature single electron transistors and memory, molecular electronics, and quantum devices.

93

Fig. 3.32: AFM image of DNA tiles (pink) deposited on top of poly-lysine tracks (yellow) on silicon.

94

CHAPTER 4

STUDY OF RESISTS AND WAFER CROSS-SECTIONING TECHNIQUE

Since photo-resists (PR) play a key role in the introduction of the next generation

lithography (NGL) and the next generation mask fabrication, there has been significant

interest in the development of new resists with high resolution, high sensitivity, and good

etching resistance. The major newly developed resists are ZEP-520 [1]- [3], hydrogen

silsequioxane (HSQ) [4]-[6], ketone resist systems (KRS) [7]-[9], Calixanene [10]-[12],

NEB [13]-[15], UV [16]-[21], and AZPN114/AZPF514 [22]-[26] resists. With the

ongoing insertion of 193 nm lithography to the 90 nm node now and 157 nm lithography

to the 60 nm node in the near future [27], most new photoresists designed for such sub-

100 nm lithography are also applicable to nanofabrication using electron beam

lithography (EBL) and nanoimprint lithography (NIL).

In this chapter, a general introduction to several popular resists is presented.

Some of them are already widely used in industry. Also, we discuss our study of PMMA,

ZEP-520, and a chemically amplified resist (CAR) called XP9947 (Shipley Co.). In order to study resist properties for nanolithography using cross-sectional scanning electron microscopy (X-SEM), a precise sample cross-sectioning technique and a profile protection process have been developed. An in-depth understanding of the resists’

95 capability and properties is useful for EBL, X-ray lithography, deep (DUV) lithography, extreme ultraviolet (EUV) lithography, and mask fabrication.

4.1 Introduction to EBL resists

As discussed in Chapter III, there are basically three major catagories of resist: conventional chain-scission resists, CARs, and self-assembled monolayers (SAMs).

Table 4.1 compares some major organic resists for EBL, X-ray, DUV, and EUV. These data are gathered widely from the literature. Some parts are still unknown.

TABLE 4.1

MAJOR COMMERCIAL ORGANIC RESISTS FOR EBL, X-RAY, DUV, AND EUV

Resist Tone Resolution Sensitivity to electron beam Contrast Film life Sensitivity to Etch white light Resistance PMMA Positive <5 nm Low, 170µC/cm2@40kv Low Long No Poor HSQ Negative <10 nm Low, 200 µC/cm2 Low Long No Good Calixylene Negative <10 nm Low, 1mC/cm2 Low Long No Good Zep-520 Positive <20 nm 17µC/cm2@40kv High Short Yes Good XP9947 Positive 60 nm 7~17µC/cm2 High Short Yes Good NEB-31, 22 Negative 30 nm 20µc/cm2@40kv High Short Yes Good Az-pf514 Positive <50 nm <10µC/cm2@30kv NA NA NA Good Az-pn114 Negative <50 nm <10µC/cm2@30kv NA NA NA Good EBR-9 Positive 500 nm High Low Long No Poor Apex-e Positive 150 nm Extremely high Na Na NA Good UV-5 Positive 100 nm 15~25µC/cm2 High Short Yes Good UVIIHS Negative 40 nm 10µc/cm2 NA NA NA PBS Positive 500 nm 3µC/cm2 @10kv NA NA NA Good wet 10µC/cm2@50kv etching Sal-601 Negative <50 nm Extremely high High Short NA Moderate SNR-200 20 nm KRS Positive 30 nm 12µC/cm2@50kv High>10 Long NA Good UVN2 Negative 80 nm 5~10µc/cm2 NA NA NA Good UVN30 MMA Positive 20 nm 70µc/cm2@40kv Low Long No Poor

4.1.1 Polymer resists for EBL

Several newly developed resists such as HSQ, ZEP-520, and calixarene provide resolution close to 10 nm for nanodevice fabrication. Although this resolution is still not

96 as good as that of PMMA, they offer good etch resistance, which is a key process for

device nanofabrication.

HSQ works as a negative polymer electron resist with high resolution (close to 10

nm), good etch resistance [6], minimum line edge roughness (LER) (< 2 nm for 20 nm

wide lines), similar sensitivity to PMMA, and good stability under SEM imaging. E-

beam radiation causes scission of Si-H bonds in HSQ and leads to the crosslinking of polymers. Its low LER is due to the smaller radius of gyration and less polymer

entanglement [4] than PMMA and ZEP-520. HSQ is a sufficient mask for Si etching and

is therefore very suitable for Si device fabrication. It is now commercially available [28].

The typical developer is tetra-methyl ammonium hydroxide (TMAH) at 2.38% [5].

Calixarene derivative works as a negative electron resist, capable of ultrahigh

resolution (< 10 nm) and high durability to halide plasma etching. The resist is

composed of mono-dispersed single molecules with molecular weight of 972. Figure 4.1

shows a calixarene derivative resist, hexaacetate p-methylcalix[6]arene [29], which is a

cyclic structure with a diameter of 1 nm. Etching durability of calixarene is about 4 times

that of PMMA [29] with small LER. However, it requires much higher doses (10 times

higher than PMMA). Its small molecular size and the molecular uniformity are believed

to be the origins of the ultrahigh resolution and low surface roughness. For high-density

patterning, 25-nm-pitch grating lines have been demonstrated [30]. Negative resists do

not have the issue of development difficulty into high-aspect-ratio trenches as discussed

in Chapter 3. However negative resists suffer from swelling during development, and can cause snakelike pattern distortion or collapse of adjacent walls. Ultrasonic

development reduces the development time and therefore reduces the swelling effects.

97 Fabrication of 6-nm continuous lines has been demonstrated [10] using Calixarene resist, and application to the fabrication of MOSFET devices has been demonstrated [31].

Fig. 4.1: Structure of calixarene derivative, hexaacetate p- methylcalix[6]arene. (Adopted from Ref. [29])

ZEP-520 works as a positive organic resist developed by Nippon Zeon with

10~20-nm resolution for EBL [2], [3]. ZEP resist has obtained wide acceptance and has been used in 180-nm node device fabrication and mask making due to its high resolution and good resistance to dry etching [7]. Nanocomposite ZEP resists offer less proximity effect and lower LER [32].

4.1.2 Chemically amplified resists for NGL

The working mechanism of CARs is schematically illustrated in Fig. 4.2: Acid is generated in the exposed regions by photoacid generators (PAGs) under radiation, and catalyzes the decomposition (or crosslinking for negative resists) of the polymer chains.

One photon or electron is amplified to cleave (or link) a large

98 exposure PAG acid

∆∆

insol insol insol insol sol insol

acid acid

• 1 absorption event generates an acid from Photo-Acid Generator (PAG)

• Acid then catalyzes decomposition of protecting group

• Same acid goes on to deprotect other groups and reaction continues until acid is quenched

2 500 1 4 3 5

Fig. 4.2: Schematic illustration of CAR under radiation. (Adopted from Ref. [33])

number of polymer chains. Therefore, CARs normally provide high sensitivity, which is an important property for NGL.

Several resist properties are crucial to meeting lithography resolution and throughput requirements of NGL. Some of them are interrelated so that improvement of one property sometimes degrades another.

Sensitivity: high sensitivity is required to reduce writing times and in turn enhance throughput for mask making and lithography. Normal e-beam or laser writing on quartz masks is about 3-8 hours. Improved sensitivity clearly has a direct and significant influence on the final mask cost [7]. However, for nanofabrication research, sensitivity is not important since even with the enhancement of resists, the fabrication

99 time with e-beam is still too long to be practical. Other high-throughput methods such as

imprint lithography technology may be used in the future.

Etch resistance: an important resist property required by NGL and future mask

making, for which high-resolution writing, precise critical dimension (CD) control, and

thin resist are used. The implementation of thin-film resists (< 200 nm for 60 nm

fabrication node [27]) will require significant enhancement of the dry-etch resistance of

resists.

LER: a critical requirement for lithography and etching in industry where precise

CD control is required to follow the aggressive scaling of the semiconductor devices.

LER or CD control has been one of the most difficult issues in current 193- and 157-nm

lithography and etching processes [27]. The strong resist absorption and presence of shot

noise introduce high CD variations [34]. Polymer characteristics of resist material along

with optimum control of lithography and etching are important to suppress LER.

Stability: an important requirement of CARs for CD control in industry. Stability

was a critical problem for several CARs used in 248-nm lithography [7], [34]. Pattern

dimensions are highly sensitive to the post exposure bake (PEB) conditions and storage

environment. Also, mechanical stability of resists needs to be strong enough to maintain high-aspect-ratio resist patterns as etching mask. Resist thickness is predicted to be about

200 nm for 60-nm lithography resolution [7]. Therefore, the capability of making patterns at an aspect ratio of at least 3 is critical being practically useful in industry.

Several popular CARs are listed in Table 4.1. Resists such as AZ-PF514, AZ-

PN114, NEB, KRS, and UV resists are capable of sub-50 nm lithography. In particular,

NEB and KRS are capable of sub-30 nm resolution as demonstrated by EBL. Their key

100 advantages are high sensitivity, good etching resistance, and more importantly, the full compatibility with e-beam exposure and optical lithography. Here we briefly review the research on several CARs.

KRS / KRS-XE is a positive-tone CAR developed by IBM [7] [8], which is highly sensitive to photo, X-ray, and e-beam exposure and provides excellent durability for reactive ion etching (RIE) [4], [9]. KRS is capable of making high-aspect-ratio features and has good environmental stability [9]. The best resolution so far is about 30 nm demonstrated by EBL and etching [8], [35], [36].

AZPN 114 is a negative-tone CAR with high resolution and high sensitivity [22].

It provides flexibility of cross-sectional profile control to produce useful features [22]-

[25]. The highest EBL resolution reported has been about 30 nm of etched lines [25].

AZPF 514: a positive-tone CAR that is exactly similar to AZPN 114. Sub-50 nm

EBL resolution has been demonstrated [26]. As a pair, AZPF 514 and AZPN 114 provide flexibility of process design.

DUV resists: a group of CARs widely used in industry, such as UV-5, UVN2,

UVIIHS, UVN30, and UVIII, designed by Shipley Co. for DUV and EUV. UV resists provide high sensitivity, high etching resistance, and high contrast [16]-[21].

Lithography resolution is about 100 nm. The highest resolution achieved has been about

40 nm on UVIIHS resist by EBL [17].

NEB-31 and NEB-22 (Sumitomo Chemical) are commercial negative-tone CARs with high sensitivity (10 times higher than PMMA) and high resolution. The highest resolution demonstrated is about 25-nm by EBL in 100-nm-thick resists [14], [15].

101 However, the resist has relatively weak mechanical stability, and pattern aspect ratio is no

higher than 3 for sub-60 nm resolution [13].

In summary, CARs are the mainstream resists for current lithography technology

due to their advantages of sub-100 nm resolution, good etch resistance, high sensitivity,

and full compatibility with EBL, EUV, and UV lithography. Future research on

development and improvement of CARs is important for sub-100 nm lithography nodes

and also for the introduction of NGL.

4.2 Precise wafer breaking technique

Cross-sectional studies of EBL can provide extra information on the nanometer

scale about profiles of resists after development, the attainment of development contrast,

and the liftoff process. In order to study EBL cross-sections in resists, we have

developed a novel method of cross-sectioning silicon wafers with high placement precision. It is implemented by using optical lithography and deep plasma high-aspect- ratio etching of a thin line, which is used as the breaking axis. In order to observe genuine cross-section profiles of EBL in resists using scanning electron microscopy

(SEM), we have developed a process to protect the resist during SEM examination.

The cross-sectional SEM (XSEM) method is widely used as fast and efficient feedback for diagnosing VLSI failure and process problems [37]. It is also a powerful tool to study materials, films [38] and nanofabrication processes [39]. In particular,

XSEM of EBL in organic resists is widely used to study and optimize the whole process.

For nanofabrication, XSEM study of the processes requires higher quality and less contamination to the cross-section and top surface of the specimens. How to obtain high quality, site-specific cross-sections for XSEM becomes an important issue.

102 Several cross-section preparation methods have been widely used: scribing and

cleaving, precision micro-cleaving, wedge-polishing [40], [41], and

(FIB) techniques [42]. Most of these methods involve direct cleaving or polishing, which will damage the very edge of cross-sections and introduce contamination. Also, some of them have precision limitations. FIB techniques are capable of site-specific precision cleaving [43], [44], but are sophisticated and highly equipment-dependent. Also, the incident ions can introduce damage and contamination to the sample. It is reported that the 20 nm top layer of sample surface or sidewalls were damaged by Ga+ ions [45] after

FIB imaging. This is a vital problem for the cross-section studies of nanolithography in

thin-film resists. However, the novel cross-section preparation method we have

developed has overcome these drawbacks. It enables us to break our samples along the

desired axis (site-specific) with micron precision and gives complete cross-sections

allowing perfect edge-on viewing with SEM, at any angle, without introducing chemical

contamination or physical damage to the edges. It is especially useful for XSEM studies

of EBL structures in resists because of the ability to prepare cross-sections through tiny

areas.

4.2.1 Process overview

The precise breaking of wafers or small samples was accomplished by deep

plasma etching of a 5~10 µm wide line in an Alcatel 601E inductively coupled plasma

(ICP) etcher using the Bosch process. The wafers used were 200 and 500 µm thick and

were broken along the etched scribe lines with proper allowances for EBL regions. The

following process is illustrated in Fig. 4.3: a) Design a for optical lithography.

The line pattern is throughout the whole sample with opened areas of interest in the

103 middle. The line is 10 µm wide and 1 mm to several inches long, depending on sample

size. Alignment marks are used there for EBL. b,c) After optical lithography of the line

with 100 µm unexposed sections, the pattern is etched to a depth of 90 µm or more using

the Bosch high-aspect-ratio etching technique with 2 µm thick AZ5214 resist as the etch

mask. d) After removing the AZ resist, 60-nm-thick PMMA with 950 K amu molecular

weight is applied to the surface, and EBL is performed on the unetched area in the line. e)

A simple fixture consisting of two glass plates is used to break precisely along the line.

A mini metal bender can make the breaking easier to operate. f) The broken etched line interface with PMMA pattern is imaged with the Hitachi SEM.

We found that a 500-µm-thick wafer can be broken with a 100-µm-deep etched line at 90% success rate, but the 200-µm-thick wafer is easier to break and gives more consistent results. If using a 200-µm-thick Si wafer, the lattice direction will affect the breaking axis significantly. When doing optical lithography, the breaking lines should be aligned roughly parallel to the Si wafer lattice direction [100].

The original pattern and broken line interface is shown in Fig. 4.4. This technique is especially useful for EBL over small scan fields where large patterns with long exposure times are not practical. For general wafer or sample breaking, one can replace the EBL step with an associated fabrication process, accordingly.

104

Fig. 4.3: Schematic illustration of precise wafer breaking technique (not to scale). (a) Mask design of a 10-µm-wide line with 100 µm broken area for EBL. (b) Optical lithography using 2 µm thick AZ5214 resist. (c) Exposed line etched down 100 µm. (d) Sample after removal of AZ5214 and 60-nm-thick PMMA layer applied, and EBL performed in area of interest. (e) Sample broken along desired axis by pressing one end with the other fixed. (f) Cross-sections imaged by FESEM at any angle.

105

Fig. 4.4: SEM image of cross-section interface.

106 4.2.2 Process details

4.2.2.1 Pattern design and optical lithography

We used L-edit software to design the mask pattern for optical lithography of the

breaking lines. The developed pattern after photolithography is shown in Fig. 4.5. There

are two areas for EBL in the dash line and four alignment marks at the corners of the

areas. Optical lithography was performed on a Cobilt contact mask aligner with 1 µm

resolution.

Fig. 4.5: Optical lithography result of the pattern for cross-sectioning wafer.

4.2.2.2 High-aspect ratio-etching

The deep plasma etching of the silicon substrate is a key step in this technique.

The etcher we used was an Alcatel 601E, which utilizes a Bosch process and a 2 KW,

13.45 MHz RF source. Clamping of the wafer on the chuck allows backside cooling as

well as biasing during etching by a 500 W LF 50-460 KHz RF source. Before starting

the Bosch process, the chamber is turbo-pumped to 3.5E-5 Pa and a delay of 5 min ensures constant substrate temperature of 20°C. The Bosch process consists of alternating SF6 for etching and C4F8 for passivation in pulse mode, which means in one

107 consecutive etching cycle the SF6 gas flows at a rate of 300 sccm for 7 s with the C4F8 gas valve closed and then the C4F8 gas flows at a rate of 130 sccm for 2 s with the SF6 gas valve closed. The RF source power in this process was set to 1800 W, and the backside biasing during etch was 80 W. We found that 20 min etching yielded 100-µm depth of the 10-µm-wide line in silicon. The aspect ratio of the process was at least 12.

We can easily achieve 60-µm-deep and 5-µm-wide lines, which results in high breaking precision.

4.2.2.3 Electron beam lithography

After deep silicon etching and removal of AZ5214 resist, 60~200 nm thick

PMMA was applied on the samples. The PMMA was baked in an oven at 170°C for 5-12

hours. EBL was performed in the areas of interest with standard exposure conditions.

Then, the sample was developed in IPA: MIBK (3:1) with 1.5% MEK [46].

4.2.2.4 Cross section protection and breaking

In order to observe genuine cross-sectional profiles using SEM, we have

developed an optimized protection process to cover the sample with a thin AuPd film,

which allows us to obtain sub-50 nm genuine cross-sections without excessive damage

from the electrons during SEM examination. After the deposition of this thin layer,

samples were broken along the etched line. Using the mini metal bender, breaking was

easy. The cross sections were then imaged in the Hitachi SEM. Figure 4.6 shows some

examples of the cross sections.

Fig. 4.6a would likely result in successful liftoff but Fig. 4.6b would not. As we

can see in Fig. 4.6b, there were metal sidewall connections from the top to the trench

108

a b

Fig. 4.6: Cross sections of EBL in PMMA. a) 50-nm-thick AuPd in the 120 nm deep PMMA trench which would likely result in successful liftoff, b) 50-nm AuPd in the 90 nm deep PMMA trench caused liftoff failure due to sidewall connections.

bottom when metal thickness was over half of trench depths. Such sidewall connections would result in liftoff failure. This is an example how the XSEM method can help to diagnose the process.

4.3 Cross-section protection process

It is difficult to obtain unadulterated PMMA profiles of EBL, especially on the nanometer scale, for several reasons: 1) Cross sections are mostly imaged with SEM, which can quickly and heavily damage the PMMA resist and cause significant change to the profiles. Damage of the resist appears to be by melting. Assuming a current density of 4 pA/nm2 at 30 kV, this represents a deposited power at the beam spot of about 1011

W/m2. It seems plausible that the resist temperature can reach the glass transition

temperature of about 135°C and deform. Figure 4.7 illustrates how quickly and

significantly the e-beam changed the PMMA trenches. 2) Most cross-section sample

preparation techniques will physically damage the edge or the top surface of PMMA trenches or introduce contamination. 3) Plasma sputter coating, which is used to cover

samples with thin metal films (such as Au60Pd40) for XSEM, will damage the corners of

109 sharp PMMA EBL trenches.

Fig. 4.7: E-beam damage to PMMA trenches. a) Single-scan SEM image of PMMA trenches. Beam current is 15 pA, and scan time was about 1 s. b) Multiple-scan SEM image of the same area. Scan time was about 5 s.

The optimized metal-film deposition process is illustrated in Fig. 4.8: First, after the

EBL on PMMA, samples were coated with 2~5 nm Au60Pd40 using a thermal evaporator.

Then, samples were coated with 2~5 nm Au60Pd40 again using a plasma sputter coater to

cover trench sidewalls. The first AuPd film protected the corners and top surface of

PMMA trenches from heavy damage by the plasma during sputtering. In this way, the

PMMA trenches were fully covered by thin Au60Pd40, and then broken along the desired

axis using our breaking technique. Figure 4.9 compares the PMMA cross-sections made

from direct sputter coating and our optimized process.

110

Fig. 4.8: Optimized process to protect the original PMMA EBL profiles. a) EBL and development of PMMA, b) 2-5 nm Au60Pd40 deposited using thermal evaporation, and c) 2-5 nm Au60Pd40 was deposited using sputter coater.

111

Fig. 4.9: SEM of PMMA trenches. a) 10-nm-thick Au60Pd40 film applied directly using plasma sputter coater, damaging the trench corners. b) 10-nm-thick Au60Pd40 film deposited using the optimized process.

4.4 Aging effect study of PMMA in EBL Process

Using the cross-section preparation and protection methods, we have performed

an XSEM study of EBL in PMMA resist, with attention to the aging effect of PMMA

during the EBL process. The PMMA cross-section profiles are closely related to e-beam

exposure conditions, development process [47], storage temperature and humidity. We

performed a preliminary investigation of the time evolution of PMMA after lithography and before development, which to our knowledge has not been reported. Our results show that storage time is capable of affecting resolution and the pattern transfer process.

This kind of PMMA aging before development reduces PMMA contrast, widens features, and changes cross-section profiles, and can result in poor liftoff reliability. Figure 4.10a indicates a loss of contrast due to one week aging, and the trench profiles changed

112

Fig. 4.10: SEM images of PMMA profiles of EBL. a) After one week aging after exposure of PMMA resulting in reduction of contrast compared to b) profile of PMMA trenches without delay after lithography. In a) and b), trenches were covered by 5~10 nm Au60Pd40 film using the optimized cross-section preparation process.

significantly compared with Fig. 4.10b, which had no delay after lithography. Figures

4.10a and 4.10b were of identical EBL process conditions with the same line dose of 2 ×

-9 10 C/cm. The reasons for the PMMA aging phenomenon may be that H2O in air slightly

develops PMMA during storage, or degraded PMMA molecules outgases into air.

4.5 Study of chemically amplified resist (XP 9947)

There are difficult challenges on the resists for the introduction of next generation

lithography (NGL). All NGL options (EUV, X-ray, EPL, ion projection lithography) set

a strict requirement for the sensitivity. EUV requires sensitivity equivalent of 3 µC/cm2,

X-ray requires 6 µC/cm2 equivalent, and EPL requires 1~5 µC/cm2 at 50~100 kV to meet

throughput requirement [48]. CARs will, no doubt, be required to meet the sensitivity

requirements of EUV, X-ray, and EPL. Besides the sensitivity, there are other challenges,

such as LER and resist stability. For example, the sub-150 nm lithography node has

suffered a serious problem of environmental stability of CARs [34].

XP9947 (XP9947A, XP9947S, XP9947W by Shipley Company) resists were

designed towards the satisfaction of these requirements. They utilize a phenolic polymer

113 with a high activation-energy blocking group and therefore provide high sensitivity.

Such approaches utilize thermal annealing of polymer films to produce environmental

stability (vs. ambient amine contamination) and are typically called environmentally

stable chemically amplified photoresists (ESCAP) [49]. The resolution demonstrated

was about 80 nm half pitch (defined in Fig. 4.11) for single pass lines. Table 4.2

summarizes the key properties of XP9947 resists for EPL [50] and Table 4.3 gives details

of our process.

Fig. 4.11: Definition of half pitch resolution for metal interconnects and poly-silicon gates in industry. (Adopted from Ref. [27])

114 TABLE 4.2

PROPERTIES OF XP9947 RESISTS

XP9947 Property A S W Aspect ratio 3.5 3.5 4 Sensitivity (µC/cm2) 7 10 16 Resolution (nm) for 1:1 L/S 90 80 80 for isolated lines 80 80 80 for contact holes 80 80 80 Exposure latitude @ CD (%, range) 20 15 17 PEB sensitivity or stability (nm/C) 2 1 1 Line edge roughness, LER (nm, 3 sigma) 10 7 6 Coated stability (months) > 3 > 3 > 3 Dry etch resistance - PolySi (vs. UV6) 0.9 0.9 1.0 Oxide (vs. UV6) 1.0 1.0 1.0 Delay stability in filtered fab (hours) < 1 < 2 < 2

Data is adopted from Ref. [49].

TABLE 4.2

PROCESSING DETAILS OF XP9947 RESISTS

Product XP9947 A, S & W Thickness 100~150 nm Spin Speed 4000~5000 rpm Spin Time 30 seconds Soft Bake 140 °C/90 seconds Post Exposure Bake 130 °C/90 seconds Developer Type MF-26A

Development 45 seconds single puddle

115 In this study, we explore its resolution limit for EBL. Using the CCFE EBL

system and thin-film resist (100 nm XP9947A), we obtained 50-nm-wide single pass

lines, as shown in Fig. 4.12. 70-nm half-pitch resolution was achieved, as demonstrated

in Fig. 4.13. Future work can be done to evaluate the etching properties, LER, and cross-

sectional profiles of the resists.

Fig. 4.12: Single pass trenches with 50-nm width in 100-nm-thick XP9947 resist.

With resolution we have demonstrated, excellent etching resistance, high sensitivity, good environmental stability, and the capability for high-aspect-ratio fabrication as reported in the literature [48]-[50], XP9947 is capable of the 90 nm lithography node or even the 65 nm node.

116

Fig. 4.13: Grating lines with pitch of 135~160 nm and 60~80 nm linewidth in 100-nm- thick XP9947 resist.

4.6 Other applications

This novel wafer or sample cross-sectioning technique is applicable to general wafer breaking and device fabrication process investigation. For example, micron size devices or several layer thin films can be fabricated in the interested area in the breaking line using alignment marks in the corners. Then the sample is broken along the line and cross sections of the devices or thin films will be very clearly observed in SEM. This information is helpful to understand what is going on during the fabrication process.

Also, it can tell the site-specific thickness and dimensions of the device parts or the films.

117

CHAPTER 5

EBL OF SELF-ASSEMBLED MONOLAYERS

5.1 Introduction

Self-assembled monolayers (SAMs) are ultrathin organic films made by molecular self-assembly methods. Zisman reported the first SAMs preparation in 1946

[1]. In the last 20 years, this field has attracted tremendous attention, and grown from a

pure chemical domain into an interdisciplinary area. SAMs have wide potential applications, such as corrosion prevention, wear protection, chemical and biochemical sensing, electro-optic devices, and nanotechnology [1], [2]. In contrast to the ultrathin films made by molecular beam epitaxy (MBE) and chemical vapor deposition (CVD),

SAMs are highly ordered and oriented. SAMs can incorporate a wide range of groups both in the alkyl chain and at the chain terminal. Therefore, a variety of surfaces with specific interactions can be produced with fine chemical control [3]. There are many types of SAMs prepared with different processes for different applications. Recently, how to pattern SAMs at the micrometer, nanometer, and even molecular scale has become one of the most interesting topics because of the potential nanotechnology applications, such as molecular electronics.

118 Our research on SAMs is a collaboration with Drs. Wang and Lieberman in the

Department of Chemistry and Biochemistry of University of Notre Dame. We are more

concerned about the electron beam lithography (EBL) itself to pattern SAMs, which are

treated as ultrathin resists without development. We intend to use SAMs patterns defined

by EBL as templates to fabricate molecular quantum-dot cellular automata (M-QCA) [4]

or other nanofabrication applications. The synthesis and chemical properties of SAMs are mostly the concern of our Chemistry coworkers.

Micrometer scale patterning of SAMs is accomplished with various techniques such as optical lithography [5], microcontact printing [6], micromaching [7], and micro writing [8], while nanometer scale patterning is mostly achieved by EBL [9] and scanning probe lithography [10]. Sagiv et al. [11] have described the spatially defined

electro-oxidation of terminal groups of alkylsilane SAMs on Si surfaces with a

conductive AFM tip. Lines with a width of only a few nanometers in SAMs have been achieved. Another group, Gölzhäuser et al. [12] reported selective conversion of a

terminal group of organothiol SAMs on a gold surface with a low-energy electron beam.

Xuejun Wang, our coworker in the Chemistry department, has developed a phenyl

(3-trimethoxysilylpropyl) disulfide monolayer (SAM I) on silicon oxide and silicon with

native oxide [13]. This kind of SAM can be employed as a self-developing resist for both

EBL and AFM anodization. The results of ellipsometry measurement and X-ray

photoelectron spectroscopy (XPS) have confirmed that the monolayer formation was

successful, the film had monomolecular thickness (about 1 nm), and the embedded disulfide bonds were verifiably intact. We have successfully used EBL to form sub-30 nm lines in SAM I. The lithography conditions for SAM I have been well characterized,

119 and results are reproducible. After EBL in SAMs, N-(1-pyrene)maleimide molecules were successfully attached to the SAMs patterns defined by EBL.

5.2 SAM I synthesis process

The synthesis process is important for SAMs patterning. The surface of the

SAMs must be extremely clean and smooth (roughness should be less than 0.3 nm). The chemical properties of the SAMs must be well characterized before EBL. In our process, phenyl(3-trimethoxysilylpropyl)disulfide was synthesized by refluxing (3- mercaptopropyl) trimethoxysilane (Aldrich) and N-(phenylthio)phthalimide (Aldrich)

(1:1.2 ratio) in dry chloroform overnight. The reaction mixture was purified by filtration and subsequent vacuum distillation [14], [15]. This pure compound was stored in a dry box for later use to form SAMs. Silicon samples with native oxide were cleaned with piranha solution (conc. H2SO4 and 30% H2O2 3:1 in volume) for 15 min. Then, the samples were rinsed with deionized water and blown dry with N2. Finally, the samples were immersed in octane solution and refluxing for 30 min. The phenyl(3- trimethoxysilylpropyl) disulfide SAMs were formed on the surface of silicon samples.

The synthesis process is illustrated in Fig. 5.1.

O O Si + O O SH Si S O S N O S O O (I)

Fig. 5.1: Synthesis of phenyl(3-trimethoxysilylpropyl)disulfide monolayers (SAM I).

120 5.3 Chemical characterization

The preparation of a smooth monolayer is a key factor in obtaining high

resolution EBL in SAMs since the film is only about 1 nm thick, which is comparable to

its roughness. As will be shown, the trench depth after EBL is only about 3 Å.

Therefore, the roughness of SAM I has to be very low in order to image the pattern. To

fulfill the requirement of roughness and quality, the SAM I was carefully prepared and

characterized. The root-mean-square (RMS) roughness of SAM I was 2~3 Å measured

by tapping-mode AFM. The average film thickness was 8.7 ± 0.5 Å measured by

ellipsometry. The advancing angle for this film was about 76° by contact angle

measurement. Figure 5.2 shows the chemical structure of SAM I. The ellipsometric

measurements were made with a Rudolph AutoEL III ellipsometer equipped with 6328 Å

( He-Ne laser) analyzing light operating at an incident angle of 70°. The contact angles were measured on a Kruss G10 goniometer.

a b c

Fig. 5.2: a) Side view of a SAM I molecule (space filling model), b) top view, and c) cartoon of the SAM I.

121 To characterize the chemical properties of the monolayers, we performed XPS

measurements. The XPS measurements were obtained using a Kratos XSAM 800

spectrometer with non-monochromatic MgKα radiation. In the measurements, we used

500-nm-thick SiO2 as the substrate in order to block the bulk plasmon band of silicon

[16], which is overlapped with the S 2p peak. Figure 5.3 shows a high-resolution XPS of

SAM I compared with that of a thick SiO2 substrate. The XPS in the S 2p region for

SAM I on thick SiO2 shows a broad peak (line a in Fig. 5.3), while the XPS of the thick

SiO2 substrate alone was flat. The broad peak of the SAM spectra can be fitted with two

peaks at binding energies of 163.2 eV and 164.4 eV, which correspond to S 2p3/2 and S

2p1/2 respectively.

Fig. 5.3: XPS on (a) SAM I on thick SiO2 and (b) pure thick SiO2 in the S 2p region.

Based on these measurements, we conclude that the SAM I had been successfully

formed on the silicon oxide surface with the intact embedded disulfide bonds. Also, the

surface was smooth enough to perform high-resolution EBL and AFM imaging.

122 5.4 EBL process

After the SAMs were carefully prepared and examined, EBL was performed with the Hitachi EBL system. The exposures were done at 30 keV with a 6~15 pA beam current, a 20 µm aperture, and a 7 mm working distance. The contamination dots as small as a few nm were intentionally deposited by e-beam on the surface and used to obtain a precise focus on the SAMs for high-resolution lithography. Electrons may break the disulfide bonds and the residual gas can be pumped into the vacuum during the exposure. The trenches in the SAM are automatically formed during the exposure and no development is necessary. We used optical lithography and plasma etching to make alignment markers (as shown in Fig. 5.4) on the samples for EBL and AFM imaging based on the idea in Ref. [17]. After EBL, the patterns were imaged with an AFM in both tapping mode and lateral force friction mode.

Fig. 5.4: SEM image of an alignment marker for lithography and AFM imaging, the dark area is silicon with smooth native oxide, and the light area is etched silicon with depth of 50 nm, which is deep enough to be seen clearly in SEM and optical microscope but shallow enough for the AFM tip to scan over. In addition, sharp etching edges on the marker pattern are convenient for precise focusing. 123 Figure 5.5a shows grating lines with 150 nm pitch fabricated by EBL on the

monolayer with line dose of 1.1×10-8 C/cm. Figure 5.5b is the average profile of the area

drawn in 5.5a. From the profile measurement, these lines had a linewidth less than 30 nm

and an average trench depth of about 3 Å.

Figure 5.6 shows a series of squares with different depths due to different

exposure doses. From the average profile analysis, we can see that the depth of the

squares increases with higher dose. However, when the dose increases to a critical point, the square depth decreases sharply even to negative values because the over exposure causes deposition of vacuum contamination into the squares.

Fig. 5.5: Trenches in SAMs by EBL at 30 keV. a) Tapping mode AFM image of lines with a 150 nm pitch, a sub-30 nm linewidth, and a line dose of 1.1 × 10-8 C/cm, b) The average profile of the marked area in part a), from which linewidth and depth were measured.

124

Fig. 5.6: EBL squares in SAMs by EBL at 30 keV. The lower part is the average profile of the marked area above. The dose for the square with 0.182 nm depth is about 500 µC/cm2, 800 µC/cm2 for the depth of 0.237 nm, and 1200 µC/cm2 for the overdosed square with depth 0.035 nm.

We have developed a special EBL process to characterize the chemical properties

of the SAM trenches. We performed EBL with the beam blanker off. Therefore, the beam stayed at the ends of the patterns, causing over exposure to the SAM and resulting in the deposition of carbon contamination dots. Figure 5.7a shows the circles with overdosed carbon-contamination dots, which are hydrophobic and can be used as a reference to diagnose the SAM’s chemical properties. Figure 5.7b shows a friction-mode

AFM image of the SAM patterns. We found that the contamination dots and the trenches appeared very different at the friction mode AFM with the hydrophobic silicon tip.

Therefore, we determined that the trench regions in SAMs by EBL are hydrophilic.

125

a b

Fig. 5.7: a) AFM image of circles with overdosed carbon-contamination dots (the white spots) deposited by EBL on purpose. b) Lateral-friction-mode AFM image of the same area. The dots (green) and the trenches (light blue) show opposite friction force to the silicon tip.

5.5 Molecular attachment

We used EBL to form hydrophilic trenches in SAMs with a depth of 3 Å. It is very likely that EBL cleaves the disulfide bonds (S-S) and forms the free thiols on the trench bottoms, which can be used for selective chemical binding to pattern molecules.

In order to test the SAM’s capability of chemical binding, samples with EBL were soaked in 10 mM N-(1-pyrene)maleimide for 1 hr with pH 9.0 buffer for the molecules to attach to the free thiols in the trenches. Figures 5.8a and 5.8b show the AFM images before and after the N-(1-pyrene)maleimide attachment. Before the attachment, the trench depth was about 4.8 Å, and the linewidth was about 35 nm. After the attachment, the trenches became raised lines, which were 2.3 Å tall and 44 nm wide. The average height change was about 7 Å, which is approximately the size of N-(1-pyrene)maleimide molecules (6 Å). These results suggest that the N-(1-pyrene)maleimide molecules have been selectively attached to the EBL patterns.

126

a

b Fig. 5.8: AFM images of EBL patterns in SAM I a) before and b) after treating with N- (1-pyrene)maleimide; the average cross profiles are shown on the right.

A separate control experiment was preformed, and the result indicated that the N-

(1-pyrene)maleimide molecules do not attach to SAM I. Another SAM sample was treated with dithiothreitol (DTT). XPS on SAM I before and after the DTT treatment are shown in Fig. 5.9. Before the treatment, the S 2p3/2 peak was at 163.7 eV and the ratio of

sulfur to silicon atoms was 0.034. After the treatment, the S 2p3/2 peak was unchanged, but the sulfur-to-silicon ratio dropped to 0.015. Such a 50% drop in sulfur intensity suggests that DTT has completely cleaved the embedded disulfide bonds. 127

Fig. 5.9: XPS on SAM I before and after DTT treatment. The substrate was 500 º nm thick SiO2, and the take-off angle is 30 .

After the DTT treatment, the SAM I sample was soaked in a solution of N-(1- pyrene)maleimide. XPS on the SAM I sample after the N-(1-pyrene)maleimide treatment is shown in Fig. 5.10, where the C 1s at 288 eV and N 1s at 400.5 eV peaks were observed, showing the presence of a carbonyl group. The intensity ratio of C to N was 1.84, which is close to the stoichiometric value of 2 in pyrene maleimide. These results indicate that the N-(1-pyrene)maleimide molecules attach to DTT treated SAM I.

In summary, the control experiments show that N-(1-pyrene)maleimide does not attach to SAM I, DTT completely cleaves the embedded disulfide bonds in SAM I, and

N-(1-pyrene)maleimide does attach to SAM I with cleaved disulfide bonds. These results along with the previous N-(1-pyrene)maleimide attachment to EBL patterns show strong evidence for the argument that EBL cleaves embedded disulfide bonds in SAM I and formed patterns capable of chemical binding of N-(1-pyrene)maleimide molecules.

128

Fig. 5.10: The C 1s and N 1s XPS on the SAM I before (blue curve) and after DTT treatment and N-(1-pyrene)maleimide binding (red curve).

5.6 Discussion and conclusions

The conformational energy of dimethyl disulfide reaches its minimum when the

C-S-S-C dihedral angle is close to 90o [18]. The cartoon in Fig. 5.2c is based on the minimum energy of the single molecular Corey, Pauling and Kultun (CPK) model. The theoretical thickness using this model is about 9.4 Å, while our ellipsometry measurement result, 8.7 ± 0.5 Å, is a good match. The disulfide bonds in SAM I are relatively weak (bond energy ≈ 55 kcal/mol) and can be cleaved by DTT [19], which was also proved by our previous control experiment. The theoretical thickness of 3- mercaptopropyltrimethoxysilane, the remaining monolayer after cleavage of disulfide bonds, is 6.2 Å based on a CPK model. Therefore, the thickness reduction after breaking disulfide bonds is about 3.2 Å. This also agreed well with the trench depth after EBL that was measured by AFM. In addition, from AFM lateral friction images in Fig. 5.7, the trenches formed by EBL are hydrophilic while the SAM I with phenyl group is slightly

129 hydrophobic. This fact indicates that EBL caused the loss of phenyl rings and the

formation of polar function groups (S-H, or SO3H2) and therefore caused the cleavage of

the disulfide bonds. Based on these experimental results and theoretical analysis, we

conclude that EBL cleaves the disulfide bonds of SAM I, resulting in polar functional

groups, which can be used as templates for selective chemical binding.

Seshadri’s group [20] and Gölzhäuser’s group [21] reported that e-beam exposure

could cleave C-H bonds in SAMs, resulting in the loss of H. Sonntag [22] found that

disulfide bonds are liable to trap radicals, which in turn cleave the bonds themselves.

Based on these facts, a mechanism for EBL on phenyl(3-trimethoxysilypropyl)disulfide

SAM is proposed as shown in Fig. 5.11. EBL cleaves a C-H bond from the phenyl ring

and generates a hydrogen (H+) radical, which is then quenched by the disulfide bond, resulting in the cleavage of the disulfide bond. The byproduct Ph-SH is pumped out from

the chamber during EBL in a high vacuum.

- e Ph- + H• Ph-H Ph-S-S-R + H• Ph-S• + HS-R

Ph-S• + H• Ph-SH

Fig. 5.11: A possible mechanism for EBL on SAM I.

130 In summary, the phenyl (3-trimethoxysilylpropyl) disulfide SAMs have been

successfully formed and patterned by EBL in sub-30 nm resolution. It is well understood that EBL cleaves the embedded disulfide bonds and forms the R-SH for chemical binding of molecules. The phenyl (3-trimethoxysilylpropyl) disulfide SAM can be used as a high-resolution ultrathin inorganic EBL resist for molecular nanopatterning.

131

CHAPTER 6

DNA PATTERNING FOR MOLECULAR QCA

6.1 Introduction

Electron beam lithography (EBL) as a fabrication method of molecular electronics has been discussed in Chapter 3. However, “top down” lithographic methods have serious difficulties in satisfying the requirements of both resolution and throughput. On the other hand, self-assembly has shown the capability of patterning nano components, such as nanoparticles and nanocrystals, on the molecular scale [1]-[3] and creating an extraordinary level of structural complexity [4]. However, the manufacturing of bottom- up assembled systems with such stereochemical complexity would require heroic efforts.

A possible solution to this manufacturing challenge is an appropriate combination of “top down” lithography and self-assembly from the bottom up. This research on DNA

(deoxyribo-nucleic acid) patterning is a preliminary study of this fabrication idea. We intend to use self-assembly to build functional molecular sub-systems on DNA rafts and use EBL and molecular liftoff to position these DNA rafts into macroscopic architectures.

DNA has been used to direct the assembly of nanoparticles or nanocrystals [1]-[3] and attracted tremendous interest in recent years. Winfree and Seeman have demonstrated the self-assembly of hundreds of thousands of DNA tiles into 2D periodic

132 lattices [5]. For molecular QCA, we aim to create a self-assembled DNA lattice with

maximally controlled heterogeneity for QCA cells, as shown in Fig. 6.1 [6]. This

research is a collaboration with Drs. Sarveswaran and Lieberman in the Department of

Chemistry and Biochemistry at the University of Notre Dame.

Fig. 6.1: (a) A QCA representation of a systolic pattern matching circuits. (b) Circuit mappied to DNA rafts. Green squares are QCA cells and blue frames are DNA raft patterns. (Adopted from Ref. [6])

6.2 Synthesis of DNA rafts

DNA tiles (double crossover molecule) were assembled from single-stranded

precursors. The DNA tiles measure about 4 × 12 × 2 nm3 and can be self-assembled into

4-tile rafts, as shown in Fig. 6.2, which measures about 8 × 37 × 2 nm3 [7]. In order to

detect DNA rafts, Au nanoparticles and nanofibers were bound to the DNA rafts and

were imaged in AFM [7].

The synthesized DNA tiles were characterized by the gel electrophoresis method

[7]. Tiles A, B, C, and D in Fig. 6.2 were successfully formed with a high yield (75%-

95%). These four different tiles were self-assembled to form 4-tile DNA rafts, as verified by biochemical assays and AFM imaging. The synthesized 4-tile DNA raft has an

133

Fig. 6.2: Schematic structure of 4-tile DNA raft. (Adopted from Ref. [6])

average size of 27±2 × 56±2 × 2.1±0.1 nm3 measured by AFM with a 20-nm-diameter tip.

After the correction of the tip size effect, it agreed with the design.

6.3 Molecular liftoff of DNA

EBL was performed on an 80~100 nm 950K amu PMMA resist on a silicon

substrate with native oxide using the Hitachi EBL system with a 30 keV beam energy, a

1~2 nm spot size, 20 µm aperture, 10~20 pA beam current, and 7 mm working distance.

Then, the molecular liftoff process was used to deposit 4-tile DNA rafts onto a silicon

surface, as shown in Fig. 6.3. After EBL and PMMA development, the samples with

PMMA trenches were soaked in a 0.01 (vol) solution of polylysine for 10 min to make

the trench bottom positively charged. Several drops of DNA raft solution (0.2 µM) were put on the surface and left for 2~10 hours. Then, the samples were washed well with deionized water and blown dry with nitrogen. The remaining PMMA was lifted off with dichloromethane (CH2Cl2) [8] for 1~3 min and the sample was again washed with water

and blown dry with nitrogen before AFM imaging.

134

Fig. 6.3: Molecular liftoff of DNA rafts. a) PMMA trenches are defined by EBL and developed; b) Sample is soaked in a 0.01 (vol) solution of polylysine for 10 min to make trench bottom positively charged; c) 4-tile DNA rafts attach to polylysine in the trenches for 2~10 hours; d) PMMA is removed by dichloromethane.

The roughness of the substrate, the roughness of the PMMA trench bottom after development, and the substrate roughness after stripping of PMMA are important for the liftoff process. First, silicon samples were cleaned by 5 min acetone and then 5 min isopropyl alcohol (IPA) in an ultrasonic bath. The substrate surface turned out to be very rough (about several nanometers variation) and the attachment of DNA was not uniform and smooth. An improved cleaning procedure, introduced in Chapter III, produced extremely smooth substrate surfaces. Figure 6.4 compares the silicon surface roughness prepared by the two methods.

The silicon roughness in the PMMA trenches after development was about 0.3 ~ 2 nm depending on the exposure dose based on our AFM measurement results. Low exposure dose result in higher roughness. Cold development allows us to use 2.5 times higher doses for the same linewidth, which results in smoother trench bottoms than dose room temperature development.

135

a

b Fig. 6.4: Comparison of silicon surface roughness. a) AFM image (height bar is 10 nm) of a silicon substrate with native oxide prepared with the improved cleaning process shows an extremely smooth surface. The root-mean-square (RMS) roughness is about 0.05 nm. b) AFM image of a rough silicon surface prepared by 5-min acetone and 5 min IPA in a ultrasonic bath. The RMS roughness is more than 2 nm.

136 The roughness of the silicon surface after PMMA removal is critical for the AFM

imaging of attached DNA rafts. Using dichloromethane or 1,2-dichloroethane instead of

acetone to remove PMMA will significantly reduce substrate roughness [8]. The surface

roughness using acetone and dichloromethane are compared in Fig. 6.5.

a b

Fig. 6.5: Comparison of substrate surface roughness after PMMA removal by a) acetone and b) dichloromethane. Both AFM images have the same height scale as shown.

Fig. 6.6 shows the AFM images of the processing steps of the molecular liftoff.

The height of DNA lines was about 3.6 nm, which is a good match for the 2 nm DNA height plus the 1~2 nm height of polylysine. However, there were many extra DNA rafts left on the margins and the DNA lines show poor uniformity. The extra DNA left over may be because some polylysine left on PMMA previously stays on the silicon surface during PMMA removal. The poor DNA uniformity may be because of the poor coverage of polylysine in the PMMA trenches or the poor binding of DNA rafts to polylysine.

137

Fig. 6.6: AFM images of the DNA liftoff process.

After several experiments, we confirmed that the polylysine was not evenly deposited into the PMMA trenches. Therefore, we tried APTES (3-Amino-propyl- triethoxy-silane) instead for the DNA attachment. Another issue is that dichloromethane may change the chemical properties of attached DNA rafts during PMMA removal. To avoid that, we changed the process to lift off APTES first and then attach DNA to

APTES. The new process is illustrated in Fig. 6.7. After EBL, the samples were soaked in a desicator overnight to get rid of the loosely bound APTES molecules from the

PMMA surface. Then the PMMA was lifted off with CH2Cl2 for 3 minutes. 20 µl of

DNA raft solution (1 µM) was deposited on the surface. Because of the poor spreading

138 of the DNA solution on the silanized surfaces, a clean glass cover-slip was placed on top of the DNA drop. After 4 hour attachment, the surface was rinsed with deionized water, dried with nitrogen, and then imaged in AFM.

Fig. 6.7: Revised molecular liftoff process. a) PMMA trenches are defined by EBL and development, b) the samples are soaked in a 0.05% v/v APTES solution for 5 minutes, c) PMMA is removed by dichloromethane, d) 4-tile DNA rafts attach to APTES for 4 hours. 0.05% v/v APTES solution for 5 minutes, dried with nitrogen, and then put in a vacuum.

Figure 6.8 shows the chemical structure of the APTES monolayer. From Fig.

6.8c, the substrate roughness significantly affects the quality of the APTES monolayers

and therefore affects the consequent DNA attachment. Experimental results show that

APTES offers excellent adhesion and coverage uniformity on smooth silicon surfaces.

Also keeping APTES-soaked samples in a vacuum desicator for overnight removes the loosely bound APTES on the PMMA and prevents the possible extra attachment of

APTES on silicon during the PMMA removal step. The lifted-off APTES and DNA rafts

(as shown in Fig. 6.9) were significantly improved compared with Fig. 6.6.

139

a b c Fig. 6.8: Chemical structure of a) 3-Aminopropyltriethoxysilane (APTES), b) an ideal APTES monolayer, c) the APTES multiplayer.

a

b

Fig. 6.9: AFM images of liftoff lines of 4-tile DNA rafts using APTES. a) Lifted-off APTES lines and the cross profile, b) DNA rafts attached to APTES lines and the cross section profile.

140 Figure 6.9a shows the lifted-off APTES lines with a height of 0.8 nm measured by

the AFM cross sectional analysis. There were nearly no extra APTES molecules in the

margin. Figure 6.9b shows the DNA rafts attached to the lifted-off APTES lines. The

height of the DNA lines was about 3.5 nm, which is a good match for the height of DNA

rafts plus the height of APTES monolayer.

For the fabrication of molecular electronic systems, defect control and reliability

are important. The molecular liftoff process we developed for DNA patterning offers a

low defect level. Figure 6.10 shows a large-scale patterning of APTES lines and DNA

rafts. In the 5 µm × 5 µm patterning area, there was little extra binding of APTES and

DNA to the silicon surfaces.

a b Fig. 6.10: A large-scale patterning shows improved defect control by using APTES instead of polylysine as a binding layer for DNA rafts. a) APTES lines by molecular liftoff and b) lines of 4-tile DNA rafts attached to the APTES monolayer.

6.4 Conclusions

Electron beam lithography with molecular liftoff is a promising approach to patterning DNA rafts, but there are clearly some issues that need to be addressed. For instance, a potential problem is the apparent irreversibility of the binding interaction, 141 which may interfere with attempts to create short range ordering through raft-raft

interactions. Another problem is how to orient DNA rafts in the EBL defined patterns.

We have demonstrated the fabrication of PMMA trenches with sub-10 nm width on silicon using cold development, as discussed in Chapter III. These narrow trenches enable us to orient or localize individual DNA rafts with QCA cells assembled on them in the future.

The QCA circuit shown in Fig. 6.1 would require a DNA raft built from 54 separate tiles as a structural template. Our initial work shows that a 4-tile raft can be assembled, structurally characterized, and deposited on silicon surfaces [6], [7]. Future work in the M-QCA project will focus on several key points, such as the possibility of

DNA rafts as structural templates for non-DNA materials attachment, the scalability of the raft size from four to 54 tiles, and orientation of DNA rafts in the EBL patterns.

142

CHAPER 7

SUMMARY AND FUTURE WORK

7.1 Summary

We have studied ultrahigh resolution electron beam lithographic (EBL)

nanofabrications for molecular electronics in general and molecular

quantum-dot cellular automata (QCA) in particular. An EBL system based

on a cold cathode field emission (CCFE) scanning electron microscope

(Hitachi S-4500 SEM) was constructed. An ultrahigh-resolution lithography

process with cold development of PMMA was developed. Sub-10 nm metal

and nanoparticle structures were fabricated by EBL and liftoff. Several

resists were studied using a novel precision wafer cross-sectioning technique

and cross-sectional SEM method. Lithographic nanofabrication of

molecular QCA, such as the patterning of self-assembled monolayers

(SAMs) for chemical binding of QCA molecules, the patterning of DNA

rafts by molecular liftoff, and the patterning of PMMA tracks for molecular

liftoff of QCA molecules were explored.

143 Electron beam lithography in this research was performed on the Hitachi SEM

converted system at 30 kV. The CCFE electron source of the Hitachi S-4500 SEM

generates an extremely bright and small electron beam (about 1 nm at 30 kV), enabling

ultrahigh lithography resolution. Another advantage of using this system is the ease of

achieving optimum beam parameters prior to exposure, assuring that the best possible

lithography results can be reliably achieved. A custom pattern generator called “V5” was

used to scan the beam to do lithography. A custom beam blanker was installed and the

combined noise on the system was minimized by improving ground loops and isolating

vibration and acoustic sources in the lab. In addition, user management software was

developed for lab administration.

The ultimate resolution of EBL in PMMA resist is set by the system, exposure

parameters, electron-resist interaction phenomena, resist development, and pattern

transfer. Using our CCFE system and thin PMMA resist, the exposure width by

secondary electrons is approximately 5-7 nm, based on classic EBL theories, Monte

Carlo simulation, and experimental results in the literature. The fabrication resolution is mainly set by resist development and pattern transfer. The major concern for sub-10 nm

EBL is the complete removal of resist residue from the high-aspect-ratio trenches.

We have used this system to investigate the effects of varying the developer temperature on ultimate lithographic resolution, and found that cooling the developer yields noticeable advantages. Cold development reduces resist sensitivity, allows the use of three times higher dose than room temperature, and therefore reduces the resist residue in the sub-10 nm lithographic patterns. The contrast curves at different developer temperatures were measured by AFM metrology, from which we found that the minimum

144 required dose to clear pattern bottoms for cold development is closer to the critical dose than that of room temperature development. Cold development also improves EBL pattern quality, such as less line edge roughness and better cross-sectional profiles.

The ultrahigh resolution offered by the CCFE system and the cold development of

PMMA was successfully transferred to metal and nanoparticle structures by liftoff. The fabrication of 5-nm-wide metal and Au-nanoparticle lines was demonstrated. These sub-

10 nm structures could be used in Coulomb blockade devices. Also, the sub-10 nm liftoff, in turn, proves that the narrow trenches formed by EBL and cold development were completely developed. Dense lines with sub-30 nm pitches in PMMA were obtained.

The ultimate resolution of metal liftoff is mostly limited by resist development and metal grain size.

In addition, we have developed a novel precision wafer cross-sectioning technique to study EBL in resists. This site-specific and precision cross-sectioning method was implemented by deep silicon etching of a high-aspect-ratio trench as the breaking axis. Also, we used a combination of thermal and sputter deposition of a thin metal layer to prevent e-beam damage to the EBL patterns in resist during SEM examination. The study of EBL in PMMA using these techniques revealed that one-week aging of PMMA before development would result in poor contrast of EBL patterns and could result in liftoff failure. Besides PMMA, we studied a chemically amplified resist called “XP9947” (Shipley Co.) for its capability for the industrial 90 nm lithography node.

50-nm single-pass lines and 70-nm half-pitch resolution were achieved using the CCFE system on the XP9947 resist, which is the highest resolution reported for this resist.

145 Application of EBL to molecular QCA involves the patterning of SAMs and DNA

rafts. EBL was performed to cleave the embedded disulfide bonds in the SAMs to define

nanometer scale patterns for chemical binding of molecules. Sub-30 nm lines were

fabricated and attachment of fluorescent molecules, N-(1-pyrene)maleimide, was

demonstrated. For the DNA patterning, a well-controlled molecular liftoff process was

developed to deposit 4-tile DNA rafts onto silicon surfaces with low level defects and

low surface roughness. In order to effect controlled deposition of DNA rafts without

damage, we performed a two-step attachment process. 100-nm-wide APTES and DNA

lines were fabricated.

The ultimate goal is to construct molecular QCA by allowing molecules or DNA tiles as QCA cells to self assemble on templates formed by EBL involving one step of removal of PMMA by liftoff. This technique has proven viable for both Creutz-Taube molecules (details in Q. Hang’s Ph.D. dissertation) and larger DNA nanostructures. Also, this work on DNA patterning is a preliminary study of the combination of “top-down” lithography and “bottom-up” self-assembly.

It is not likely that a completely self-assembled circuit of sufficient complexity

can be achieved, but some self-assembly would be used to connect molecules together

within a pattern. Such patterns would likely be on the order of one to a few molecules in

width. Furthermore, it is likely that a large fraction of a conventional CMOS chip would

be patterned with molecules to interface with conventional CMOS. Using EBL with a

sufficiently small beam, even with the brightest source, it would take several hours to

146 pattern a single chip, but techniques such as nanoimprint lithography may serve as an

intermediate step from the EBL techniques demonstrated here and future manufacturing

technologies.

7.2 Future work

We have demonstrated that using a CCFE system at 30 kV and the cold

development of PMMA resist, 5 nm lithographic resolution and liftoff is possible. There is still some room left to push resolution even higher, such as the combination of cold and ultrasonic development of PMMA resist, improvement on metal liftoff, and alternative ultrathin resist coating technology.

As discussed in Chapter 3, both cold development and ultrasonic development can reduce resist residue significantly in narrow PMMA trenches. The combination of cold

and ultrasonic development may achieve even less residue and higher resolution.

The major limitation to the resolution of metal-liftoff based nanofabrication is the metal grain size. Metal deposited by sputtering offers smaller grains than thermal evaporation. For example, the grain size of alloy Au60Pd40 is 3~4 nm by sputtering, but

5~6 nm by thermal evaporation. However, metal deposited by pure sputtering cannot liftoff since sputtered metal atoms deposit onto sample at all angles and therefore the sidewall of PMMA trenches will be coated. A technique called “collimated sputter deposition” or “collimated sputtering” can avoid this sidewall coating during sputtering.

As shown in Fig. 7.1, directional deposition of sputtered metal atoms is obtained by interposing an array of collimating tubes or a thick honeycomb grid between the magnetron cathode and the sample [1]. The collimator functions much like the sidewalls

147 of the chamber. It collects atoms that are traveling laterally to the cathode/sample plane.

These atoms are deposited on the sidewalls of the collimator cells and hence not

deposited onto the sample [2]. As a result, the arrival of metal is at a small angel normal

to the wafer surface.

Fig. 7.1: Overall configuration of collimated sputter deposition. (Adopted from Ref. [1])

We have designed a collimator. However, the metal deposition and liftoff failed using this design due to several possible reasons. First, the collimator may be too close to the rotational metal targets due to the limited distance from the source to the sample in the Emitech sputtering system, resulting in poor deposition uniformity and very low deposition rate. Second, the margin in the honeycomb grid in our design is too thick. A better honeycomb grid is needed in the future research. After solving all the problems, a working collimated sputtering method can allow us to fabricate sub-5 nm metal structures and also the metal deposition will be much faster than conventional evaporation.

148 Ultrathin resist is a key requirement for sub-10 nm resolution of EBL at

conventional beam energy (20~50 kV). Thinner resist results in narrower forward- scattering width, less proximity effect, and ease of development. We used 30~40 nm thick PMMA for sub-10 nm metal and Au nanoparticle liftoff. The resist was spin-coated

onto the samples. However, for resist thinner than 30 nm by spin-coating, nanoparticles

attached to substrate through the thin film and liftoff failed. The substrate surface was

not uniformly and completely covered with the ultrathin PMMA resist. Alternative thin

resist coating technique can be used to achieve high-quality thin film for ultrahigh

resolution and ultrahigh density EBL. One of the promising methods is Langmuir-

Blodgett film deposition. Kuan et al. [3] have reported EBL in 6~14 nm thick PMMA

resist prepared by this method. They found that the resist prepared by Langmuir-Blodgett

method offers less pinhole density than the spin-coated PMMA films. The high quality

of ultrathin (< 20 nm) PMMA film by Langmuir-Blodgett method may enable us to

achieve sub-5 nm resolution and sub-20 nm line pitch, which will be promising for the

fabrication of molecular electronics.

For the molecular QCA applications, we plan to lift off 5-nm QCA molecular

patterns by EBL and cold development of PMMA. For DNA patterning, we plan to scale

from 4-tile DNA up to 54-tile raft, and at the same time, scale down the linewidth of

lifted off DNA rafts down to 10 nm.

149

REFERENCES

Chapter 1

[1] The International Technology Roadmap for Semiconductors, 2003 edition, http://public.itrs.net/Files/2003ITRS/Home2003.htm.

[2] Y. Taur, “CMOS design near the limit of scaling,” IBM Journal of Research and Development 46 (2-3) pp. 213-222, 2002.

[3] M. A. Reed, C. Zhou, C. J. Muller, T. P. Burgin, and J. M. Tour “Conductance of a molecular junction,” Science 278 (5336), pp. 252-254, 1997.

[4] X. D. Cui, A. Primak, X. Zarate, J. Tomfohr, O. F. Sankey, A. L. Moore, T. A. Moore, D. Gust, G. Harris, and S. M. Lindsay, “Reproducible measurement of single-molecule conductivity,” Science 294 (5542) pp. 571-574, 2001.

[5] J. Chen, M. A. Reed, A. M. Rawlett, and J. M. Tour, “Large on-off ratios and negative differential resistance in a molecular electronic device,” Science 286 (5444), pp. 1550-1552, 1999.

[6] C. P. Collier, E. W. Wong, M. Belohradsky, F. M. Raymo, J. F. Stoddart, P. J. Kuekes, R. S. Williams, and J. R. Heath, “Electronically configurable molecular-based logic gates,” Science 285 (5426), pp. 391-394, 1999.

[7] C. P. Collier, G. Mattersteig, E. W. Wong, Y. Luo, K. Beverly, J. Sampaio, F. M. Raymo, J. F. Stoddart, and J. R. Heath, “A [2]catenane-based solid state electronically reconfigurable switch,” Science 289 (5482), pp. 1172-1175, 2000.

[8] Z. H. Li and T. P. Fehlner, “Molecular QCA cells. 2. Characterization of an unsymmetrical dinuclear mixed-valence complex bound to a Au surface by an organic linker,” Inorganic Chemistry 42 (18), pp. 5715-5721, 2003.

[9] S. J. Tans, A. R. M. Verschueren, and C. Dekker, “Room-temperature transistor based on a single carbon nanotube,” Nature 393 (6680), pp. 49-52, 1998.

150 [10] S. J. Wind, J. Appenzeller, and P. Avouris, “Lateral scaling in carbon-nanotube field-effect transistors,” Physical Review Letters 91 (5), No. 058301, 2003.

[11] Y. Cui and C. M. Lieber, “Functional nanoscale electronic devices assembled using silicon nanowire building blocks,” Science 291 (5505), pp.851-853, 2001.

[12] Z. H. Zhong, D. L. Wang, Y. Cui, M. W. Bockrath, and C. M. Lieber, “Nanowire crossbar arrays as address decoders for integrated nanosystems,” Science 302 (5649), pp. 1377-1379, 2003.

[13] A. DeHon, P. Lincoln, and J. E. Savage, “Stochastic assembly of sublithographic nanoscale interfaces,” IEEE Transactions on Nanotechnology 2 (3), pp. 165-174, 2003.

[14] H. Mizuta, H. O. Müller, K. Tsukagoshi, D. Williams, Z. Durrani, A. Irvine, G. Evans, S. Amakawa, K. Nakazato, and H. Ahmed, “Nanoscale Coulomb blockade memory and logic devices,” Nanotechnology 12, pp. 155-159, 2001.

[15] L. Zhuang, L. J. Guo, and S. Y. Chou, “Silicon single-electron quantum-dot transistor switch operating at room temperature,” Applied Physics Letters 72 (10), pp. 1205-1207, 1998.

[16] W. Porod, C. S. Lent, G. H. Bernstein, A. O. Orlov, I. Amlani, G. L. Snider, and J. L. Merz, “Quantum-dot cellular automata: computing with coupled quantum dots,” International Journal of Electronics 86 (5), pp. 549-590, 1999.

[17] I. Amlani, A. Orlov, G. Toth, G. H. Bernstein, C. S. Lent, and G. L. Snider, "Digital logic gate using quantum-dot cellular automata," Science 284, pp. 289-291, 1999.

[18] R. P. Cowburn and M. E. Welland, “Room temperature magnetic quantum cellular automata,” Science 287, pp. 1466, 2000.

[19] M. Lieberman, S. Chellamma, B. Varughese, Y. Wang, C. Lent, G. H. Bernstein, G. Snider, and F. C. Peiris, "Quantum-dot cellular automata at a molecular Scale," Annals New York Academy of Sciences 960, pp. 225-239, 2002.

[20] G. Csaba, A. Imre, G. H. Bernstein, W. Porod, and V. Metlushko, “Nanocomputing by field-coupled nanomagnets,” IEEE Transactions on Nanotechnology 1 (4), pp. 209- 213, 2002.

[21] Q. Hang, Y. Wang, M. Lieberman, and G. H. Bernstein, "Molecular patterning through high-resolution polymethylmethacrylate masks,"Appl. Phys. Lett. 80 (22), pp. 4220–4222, 2002.

[22] R. K. Kummamuru, A. O. Orlov, J. Timler, G. Toth, C. S. Lent, R. Rajagopal, G. H. Bernstein, and G. L. Snider," Power gain in a quantum-dot cellular automata latch," Appl. Phys. Lett. 81, pp. 1332-1334, 2002.

151 [23] C. S. Lent and B. Isaksen, “Clocked molecular quantum-dot cellular automata,” IEEE Transactions on Electron Devices 50 (9), pp. 1890-1896, 2003.

[24] S. Y. Chou, P. R. Krauss, and P. J. Renstrom, “Imprint lithography with 25- nanometer resolution,” Science 272, pp. 85-87, 1996.

[25] W. Langheinrich and H. Beneking, “The resolution of the inorganic electron-beam resist LiF(AlF(3)),” Microelectronic Engineering 23 (1-4), pp. 287-290, 1994.

[26] W. Chen and H Ahmed, “Fabrication of 5–7 nm wide etched lines in silicon using 100 keV electron-beam lithography and polymethylmethacrylate resist,” Appl. Phys. Lett. 62 (13), pp. 1499, 1993.

[27] A. N. Broers, A. C. F. Hoole, and J. M. Ryan, “Electron beam lithography – resolution limits,” Microelectronic Engineering 32, pp. 131-142, 1996.

[28] C. Vieu, F. Carcenac, A. Pepin, Y. Chen, M. Mejias, A. Lebib, L. Manin-Ferlazzo, L. Couraud, and H. Launois “Electron beam lithography: resolution limits and applications,” Appl. Surf. Sci. 164, pp. 111-117, 2000.

[29] O. Dial, C. C. Cheng, and A. Scherer, “Fabrication of high-density nanostructures by electron beam lithography,” J. Vac. Sci. Technol. B 16, pp. 3887-3890, 1998.

[30] W. Hu, K. Sarveswaran, M. Lieberman, and G. H. Bernstein, “Low temperature development of PMMA for sub-10-nm electron beam lithography,” 2003 IEEE-NANO, third IEEE Conference on Nanotechnology, vol. 2, pp. 602–605, 2003.

[31] L. Guo, E. Leobandung, L. Zhuang, and S. Y. Chou, “Fabrication and characterization of room temperature silicon single electron memory,” J. Vac. Sci. Technol. B 15, pp. 2840-2843, 1997.

[32] W. Chen and H. Ahmed, “Metal-based single electron transistors,” J. Vac. Sci. Technol. B 17, pp. 1402-1405, 1997.

[33] P. J. Kuekes, R. S. Williams, and J. R. Health, US patent specification 6 128,214, " crossbar memory," 2000.

[34] Y. Chen, G. Jung, D. A. Ohlberg, X. Li, D. R. Stewart, J. O. Jeppesen, K. A. Nielsen, J. F. Stoddart, and R. S. Williams, “Nanoscale molecular-switch crossbar circuits”, Nanotechnology 14 (4), pp. 462-468, 2003.

[35] S. Y. Chou, P. R. Krauss, W. Zhang, L. J. Guo, and L. Zhuang, “Sub-10 nm imprint lithography and applications,” J. Vac. Sci. Technol. B 15, pp. 2897, 1997.

[36] C. S. Lent, P. D. Tougaw, W. Porod, and G. H. Bernstein, “Quantum cellular automata,” Nanotechnology 4, pp. 49, 1993.

152 [37] A. O. Orlov, I. Amlani, G. H. Bernstein, C. S. Lent, and G. L. Snider, "Realization of a functional cell for quantum-dot cellular automata," Science 277, pp. 928-930, 1997.

[38] J. Timler and C. S. Lent, “Dissipation and gain in quantum-dot cellular automata,” J. Appl. Phys. 91, pp. 823–831, 2002.

[39] C. S. Lent, B. Isaksen, and M. Lieberman, “Molecular quantum-dot cellular automata,” Journal of the American Chemical Society 125 (4), pp. 1056-1063, 2003.

[40] A. O. Orlov, R. Kummamuru, R. Ramasubramaniam, C. S. Lent, G. H. Bernstein, and G. L. Snider, “Clocked quantum-dot cellular automata shift register,” Surface Science 532, pp. 1193-1198, 2003.

[41] N. A. Melosh, A. Boukai, F. Diana, B. Gerardot, A. Badolato, P. M. Petroff, and J. R. Heath, “Ultrahigh-density nanowire lattices and circuits,” Science 300 (5616), pp. 112- 115, 2003.

[42] J. R. Heath and M. A. Ratner, “Molecular electronics,” Physics Today 56 (5), pp. 43-49, 2003.

[43] R. F. Service, “Molecular electronics: next-generation technology hits an early midlife crisis”, Science 302 (5645), pp. 556-559, 2003.

[44] P. D. Tougaw and C. S. Lent, “Logical devices implemented using quantum cellular automata,” Journal of Applied Physics 75, pp.1818, 1994.

Chapter 2

[1] L. R. Harriott et al., “The SCALPEL proof of concept system,” Microelectron. Eng. 35 (1-4), pp. 477-480, 1997.

[2] L. Harriott, W. Waskiewicz, A. Novembre, and J. A. Liddle, “Favored SCALPEL's continued progress,” Solid State Technology 42 (7), pp. 73, 1999.

[3] H. C. Pfeiffer, “PREVAIL: proof-of-concept system and results,” Microelectron. Eng. 53 (1-4), pp. 61-66, 2000.

[4] S. D. Golladay et al., “PREVAIL-EPL alpha tool: early results,” J. Vac. Sci. Technol. B 19 (6), pp. 2459-2467, 2001.

[5] R. S. Dhaliwal et al., “Prevail - Electron projection technology approach for next- generation lithography,” IBM J. Res. Develop. 45 (5), pp. 615-638, 2001. [6] Y. Sohda, H. Ohta, F. Murai, J. Yamamoto, H. Kawano, H. Satoh, and H. Itoh, “Recent progress in cell-projection electron-beam lithography,” Microelectron. Eng. 67- 68, pp. 78-86, 2003.

153 [7] A. A. Tseng, K. Chen, C. D. Chen, and K. J. Ma, “Electron beam lithography in nanoscale fabrication: recent development,” IEEE Transactions on Electronics Packaging Manufacturing 26 (2), pp. 141-149, 2003.

[8] C. S. Lent, P. D. Tougaw, W. Porod, and G. H. Bernstein, “Quantum cellular automata,” Nanotechnology 4 (49), pp. 49-57, 1993.

[9] G. Bazan, Ph.D. dissertation, University of Notre Dame, Notre Dame, IN, 1998.

[10] Scanning Electron Microscopy and X-Ray Microanalysis, by J. I. Goldstein et al., 2nd edition, 1992.

[11] T. H. P. Chang, M. G. R. Thomson, M. L. Yu, E. Kratschmer, H. S. Kim, K. Y. Lee, S. A. Rishton, and S. Zolgharnain, “Electron beam technology - SEM to microcolumn,” Microelectron. Eng. 32 (1-4), pp. 113-130, 1996.

[12] O. Dial, C. C. Cheng, and A. Scherer, “Fabrication of high-density nanostructures by electron beam lithography,” J. Vac. Sci. Technol. B 16, pp. 3887-3890, 1998.

[13] Scanning Electron Microscopy and X-Ray Microanalysis, by J. I. Goldstein et al., 2nd edition, 1992.

[14] P. R. Deshmukh, K. J. Rangra, and O. P. Wadhawan, “Resist debris formation in electron beam lithography,” Vacuum 52 (4), pp. 469-476 1999.

[15] T. H. P. Chang, J. Vac. Sci. Technol. 12, pp. 1271-1275, 1975.

[16] A. N. Broers, “Resolution limits for electron-beam lithography,” IBM J. Res. Develop. 32 (4), pp. 502-513, 1988.

[17] S-4500 Hitachi field emission SEM manual.

[18] A. N. Broers, A. C. F. Hoole, and J. M. Ryan, “Electron beam lithography – resolution limits,” Microelectron. Eng. 32, pp. 131-142, 1996.

Chapter 3

[1] A. N. Broers, W. W. Molzen, J. J. Cuomo, N. D. Wittels, and C. H. Oxley, “Electron beam fabrication of 80 A metal structures,” App. Phys. Lett. 29, pp. 596, 1979.

[2] S. P. Beaumont, P. G. Bower, T. Tamamura, and C. D. W. Wilkinson, “Sub-20-nm- wide metal lines by electron-beam exposure of thin poly(methyl methacrylate) films and liftoff,” Applied Physics Letters 38 (6), pp. 436-439, 1981.

154 [3] M. Isaacson and A. Muray, “In situ vaporization of very low molecular weight resists using 1/2 nm diameter electron beams,” J. Vac. Sci. Technol. 19, pp. 1117, 1981.

[4] H. G. Craighead, R. E. Howard, L. D. Jackel, P. M. Mankiewich, “10-nm linewidth electron-beam lithography on GaAs,” Applied Physics Letters 42 (1), pp. 38-40, 1983.

[5] R. E. Howard, H. G. Craighead, L. D. Jackel, P. M. Mankiewich, M. Feldman, “Electron-beam lithography from 20 to 120 Kev with a high-quality beam,” Journal of Vacuum Science & Technology B 1 (4), pp. 1101-1104, 1983.

[6] D. F. Kyser, “Spatial resolution limits in electron beam nanolithography,” J. Vac. Sci. Technol. B 1, pp. 1391, 1983.

[7] A. Muray, M. Isaacson, and I. Adesida, “AlF3—A new very high resolution electron beam resist,” Applied Physics Letters 45 (5), pp. 589-591,1984

[8] A. N. Broers, A. C. F. Hoole, and J. M. Ryan, “Electron beam lithography – resolution limits,” Microelectron. Eng. 32, pp. 131-142, 1996.

[9] W. Langheinrich, B. Spangenberg, and H. Beneking, “Nanostructure fabrication using lithium-fluoride films as an electron-beam resist,”J. Vac. Sci. Technol. B 10 (6), pp. 2868-2872, 1992.

[10] W. Langheinrich and H. Beneking, “The resolution of the inorganic electron-beam resist LIF(ALF(3)),” Microelectronic Engineering 23 (1-4), pp. 287-290, 1994.

[11] W. Chen and H. Ahmed, “Fabrication of 5–7 nm wide etched lines in silicon using 100 keV electron-beam lithography and polymethylmethacrylate resist,” Appl. Phys. Lett. 62 (13), pp. 1499, 1993.

[12] W. Chen and H. Ahmed, “Fabrication and physics of similar to 2 nm islands for single electron devices,” J. Vac. Sci. Technol. B 13 (6), pp. 2883-2885, 1995.

[13] C. Vieu, F. Carcenac, A. Pepin, Y. Chen, M. Mejias, A. Lebib, L. Manin-Ferlazzo, L. Couraud, and H. Launois, “Electron beam lithography: resolution limits and applications,” Applied Surface Science 164, pp. 111-117, 2000.

[14] O. Dial, C. C. Cheng, and A. Scherer, “Fabrication of high-density nanostructures by electron beam lithography,” J. Vac. Sci. Technol. B 16, pp. 3887-3890, 1998.

[15] M. Kamp, M. Emmerling, S. Kuhn, and A. Forchel, “Nanolithography using a 100 kV electron beam lithography system with a Schottky emitter,” J. Vac. Sci. Technol. B 17, pp. 86-89, 1999.

155 [16] H. Namatsu, Y. Takahashi, K. Yamazaki, T. Yamaguchi, M. Nagase, and K. Kurihara, “Three-dimensional siloxane resist for the formation of nanopatterns with minimum linewidth fluctuations,” J. Vac. Sci. Technol. B 16, pp. 69-76, 1998. [17] K. Kurihara, K. Iwadate, H. Namatsu, M. Nagase, H. Takenaka, and K. Murase, “An electron beam nanolithography system and its application to Si nanofabrication,” Jpn J Appl Phys 1 34 (12B), pp. 6940-6946, 1995.

[18] I. Ziler, J. E. F. Frost, Chabasseur Molyneux V, C. J. B. Ford, and M. Pepper, “Crosslinked PMMA as a high-resolution negative resist for electron beam lithography and applications for physics of low-dimensional structures,” Semicond. Sci. Tech. 11 (8), pp. 1235-1238, 1996.

[19] A. C. F. Hoole, M. E. Welland, A. N. Broers, “Negative PMMA as a high-resolution resist - the limits and possibilities,” Semicond. Sci. Tech. 12 (9), pp. 1166-1170, 1997.

[20] T. Nishida, M. Notomi, R. Iga, T. Tamamura, “Quantum wire fabrication by e-beam elithography using high-resolution and high-sensitivity e-beam resist ZEP-520,” Jpn J Appl Phys 1 31 (12B), pp. 4508-4514, 1992.

[21] D. M. Tanenbaum, C. W. Lo, M. Isaacson, H. G. Craighead, M. J. Rooks, K. Y. Lee, W. S. Huang, and T. H. P. Chang, “High resolution electron beam lithography using ZEP-520 and KRS resists at low voltage,” J Vac Sci Technol B 14 (6), pp. 3829-3833, 1996.

[22] W. Henschel, Y. M. Georgiev, and H. Kurz, “Study of a high contrast process for hydrogen silsesquioxane as a negative tone electron beam resist,” J Vac Sci Technol B 21 (5), pp. 2018-2025, 2003.

[23] K. Y. Lee and W. S. Huang, “Evaluation and application of a very high-performance chemically amplified for electron-beam lithography,” J Vac Sci Technol B 11 (6), pp. 2807-2811, 1993.

[24] W. S. Huang, K. Y. Lee, R. Bantu, R. Kwong, A. Katnani, M. Khojasteh, B. Brunsvold, S. Holmes, R. Nunes, T. Shibata, G. Orsula, J. Cameron, D. Yang, and R. Sinta, “Chemistry of ketal resist system (KRS) and its lithographic performance,” Abstr. Pap. Am. Chem. S. 214, pp. 239-PMSE Part 2, 1997.

[25] A. N. Broers, W. W. Molzen, J. J. Cuomo, and N. D. Wittels, “Electron-beam fabrication of 80-a metal structures,” Appl. Phys. Lett. 29 (9), pp. 596-598, 1976.

[26] A. N. Broers, “Resolution limits for electron-beam lithography,” IBM J. Res. Develop. 32 (4), pp. 502-513, 1988.

[27] T. H. P. Chang, “Proximity effect in electron-beam lithography,” J. Vac. Sci. Technol. 12, pp. 1271-1275, 1975.

156 [28] D. C. Joy, “The spatial resolution limit of electron lithography,” Microelectronic Engineering 1, pp. 103-119, 1983.

[29] L. D. Jackel, R. E. Howard, P. M. Mankiewich, H. G. Craighead, and R. W. Epworth, “Beam energy effects in electron-beam lithography - the range and intensity of backscattered exposure,” Applied Physics Letters 45 (6), pp. 698-700, 1984.

[30] P. M. Mankiewich, L. D. Jackel, and R. E. Howard, “Measurements of electron range and scattering in high voltage e-beam lithography” J Vac Sci Technol B 3 (1), pp. 174-176, 1985.

[31] S. J. Wind, M. G. Rosenfield, G. Pepper, W. W. Molzen, and P. D. Gerber, “Proximity correction for electron beam lithography using a three-Gaussian model of the electron energy distribution,” J Vac Sci Technol B 7 (6), pp. 1507-1512, 1989.

[32] K. Murata, D. Kyser, and C. Ting, “Monte-Carlo simulation of fast secondary- electron production in electron-beam resists,” J. Appl. Phys. 52 (7), pp. 4396-4405, 1981.

[33] T. H. P. Chang, D. P. Kern, E. Kratschmer, K. Y. Lee, H. E. Luhn, M. A. Mccord, S. A. Rishton, Y. Vladimirsky, “Nanostructure technology,” IBM Journal of Research and Development 32 (4), pp. 462-493, 1988.

[34] S. A. Rishton, S. P. Beaumont, C. D. W. Wilkinson, “Exposure range of low-energy electrons in PMMA,” Journal of the Electrochemical Society 129 (6), pp. C234-C234, 1982.

[35] C. R. K. Marrian, F. K. Perkins, D. Park, E. A. Dobisz, and M. C. Peckerar, “Modeling of electron elastic and inelastic scattering,” J. Vac. Sci. Technol. B 14 (6), pp. 3864-3869, 1996.

[36] G. H. Bernstein, D. A. Hill, and W. P. Liu, “New high-contrast developers for PMMA resist,” J. Appl. Phys. 71 (8), pp. 4066-4075, 1992.

[37] S. Yasin , D. G. Hasko, and H. Ahmed, “Comparison of MIBK/ IPA and water / IPA as PMMA developers for electron beam nanolithography,” Microelectronic Engineering 61–62, pp. 745–753, 2002.

[38] K. Liu, Ph. Avouris, J. Bucchignano, R. Martel, and S. Sun, “Simple fabrication scheme for sub-10 nm electrode gaps using electron-beam lithography,” Appl. Phys. Lett. 80 (5), pp. 865-867, 2002.

[39] M. J. Rooks, E. Kratschmer, R. Viswanathan, J. Katine, R. E. Fontana, Jr., and S. A. MacDonald, “Low stress development of poly(methylmethacrylate) for high aspect ratio structures,” J. Vac. Sci. Technol. B 20 (6), pp. 2937- 2941, 2002.

157 [40] F. J. Pantenburg, S. Achenbach, and J. Mohr, “Influence of developer temperature and resist material on the structure quality in deep x-ray lithography,” J. Vac. Sci. Technol. B 16 (6), pp. 3547-3551, 1998.

[41] J. Griffith, H. M. Marchman, and L. C. Hopkins, “Edge position measurement with a scanning probe microscope,” J. Vac. Sci. Technol. B 12 (6), pp. 3567-3570, 1994.

[42] E. A. Dobisz, S. L. Brandow, R. Bass, and L. M. Shirey, “Nanolithography in polymethylmethacrylate: An atomic force microscope study,” J. Vac. Sci. Technol. B 16 (6), pp. 3695-3700, 1998.

[43] J. M. Ryan, A. C. F. Hoole, and A. N. Broers, “A study of the effect of ultrasonic agitation during development of poly(methylmethacrylate) for ultrahigh resolution electron-beam lithography,” J. Vac. Sci. Technol. B 13 (6), pp. 3035-3039, 1995.

[44] SEMICAPS 2000 imaging system, Santa Clara, CA.

[45] Moxtek standard MXS 301CE.

[46] X. Huang, G. Bazan, G. H. Bernstein, and D. A. Hill, J. Electrochem. Soc. 139 (10), pp. 2952-2956, 1992.

[47] Physical Chemistry, 2nd edition, by Robert G. Mortimer, p. 956, 2000.

[48] W. Chen and H. Ahmed, “Fabrication of sub-10 nm structures by lift-off and by etching after electron-beam exposure of poly(methylmethacrylate) resist on solid substrates,” J. Vac. Sci. Technol. B 11 (6), pp. 2519-2523, 1993.

[49] E. A. Dobisz, S. L. Brandow, R. Bass, and J. Mitterender, “effects of molecular properties on nanolithography in polymethyl methacrylate, ” J. Vac. Sci. Technol. B 18 (1), pp. 107-111, 2000.

[50] Alexandra Imre’s data

[51] T. Sato, D. G. Hasko, and H. Ahmed, “Nanoscale colloidal particles: Monolayer organization and patterning,” J. Vac. Sci. Technol. B 15 (1), pp. 45-48, 1997.

[52] S. Griffith, M. Mondol, D. S. Kong, and J. M. Jacobson, “Nanostructure fabrication by direct electron-beam writing of nanoparticles,” J. Vac. Sci. Technol. B 20 (6), pp. 2768-2772, 2002.

[53] C. J. Loweth, W. B. Caldwell, X. Peng, A. P. Alivistos, P. G. Schultz, Angew. Chem. Int. Ed. 38, 1808, 1999.

[54] Q. Hang, D. A. Hill, and G. H. Bernstein, “Efficient removers for poly(methylmethacrylate),” J. Vac. Sci.Technol. B 21 (1), pp. 91-97, 2003.

158

[55] Q. Hang, Y. Wang, M. Lieberman, and G. H. Bernstein, "Molecular patterning through High-Resolution Polymethylmethacrylate Masks,"Appl. Phys. Lett., 80 (22), pp. 4220–4222, 2002.

[56] J. I. Goldstein, in Introduction to Analytical Electron Microscopy (Edited by J. J. Hren, J. I. Goldstein, and D. C. Joy), p. 83. Plenum, New York, 1979.

[57] G. Owen, Reps. Prog. Phys. 48, p. 795, 1985.

[58] M. S. M. Saifullah, K. R. V. Subramanian, E. Tapley, D. J. Kang, M. E. Welland, and M. Butler, “Sub-10 nm electron beam nanolithography using spin-coatable TiO2 resists,” Nano Letters 3 (11), pp. 1587-1591, 2003.

[59] W. Chen and H. Ahmed, “Fabrication of sub-10 nm structures by lift-off and by etching after electron-beam exposure of poly(methylmethacrylate) resist on solid substrates,” J. Vac. Sci. Technol. B 11 (6), pp. 2519-2523, 1993.

[60] C. Vieu, M. Mejias, F. Carcenac, G. Faini and H. Launois, “Sub-10 nm monogranular metallic lines formed by 200 kV electron-beam lithography and lift-off in polymethylmethacrylate resist,” Microelectron. Eng. 35 (1-4), pp. 253-256, 1997.

[61] H. Namatsu, Y. Takahashi, M. Nagase, and K. Murase, “Fabrication of thickness- controlled silicon nanowires and their characteristics,” J. Vac. Sci. Technol. B 13 (6), pp. 2166-2169, 1995.

[62] Y. Nakajima, Y. Takahashi, S. Horiguchi, K. Iwadate, H. Namatsu, K. Kurihara, and M. Tabe, “Quantized conductance of a silicon wire fabricated by separation-by- implanted-oxygen technology,” Jpn. J. Appl. Phys. 34 (2B), pp. 1309-1314, 1995.

[63] H. Namatsu, K. Kurihara, M. Nagase, and T. Makino, “Fabrication of 2-nm-wide silicon quantum wires through a combination of a partially-shifted resist pattern and orientation-dependent etching,” Appl. Phys. Lett. 70 (5), pp. 619-621, 1997.

[64] D. R. S. Cumming, S. Thoms, S. P. Beaumont, and J. M. Weaver, “Fabrication of 3 nm wires using 100 keV electron beam lithography and poly(methyl methacrylate) resist,” Appl. Phys. Lett. 68 (3), pp. 322-324, 1996.

[65] D. R. S. Cumming, S. Thoms, J. M. R. Weaver, S. P. Beaumont, “3 nm NiCr wires made using electron beam lithography and PMMA resist,” Microelectro. Eng. 30 (1-4), pp. 423-425, 1996.

[66] O. Gülseren, F. Ercolessi, and E. Tosatti, “Noncrystalline Structures of Ultrathin Unsupported Nanowires,” Phys. Rev. Lett. 80, pp. 3775–3778, 1998.

159 [67] W. Hu, K. Sarveswaran, M. Lieberman, and G. H. Bernstein, “Low temperature development of PMMA for sub-10-nm electron beam lithography,” 2003 IEEE-NANO, third IEEE Conference on Nanotechnology, vol. 2, pp. 602–605, 2003.

[68] Scanning Electron Microscopy and X-Ray Microanalysis, by J. I. Goldstein et al., 2nd edition, p. 229, 1992.

[69] Physical Chemistry, by Gordon M. Barrow, p. 545, 1961.

[70] L. E. Ocola, D. Tennant, G. Timp, and A. Novembre, “Lithography for sub-60 nm resist nanostructures,” J. Vac. Sci. Technol. B 17 (6), pp. 3164-3167, 1999.

[71] P. R. Deshmukh, K. J. Rangra, and O. P. Wadhawan, “Resist debris formation in electron beam lithography,” Vacuum, 52 (4), pp. 469-476, 1999.

[72] M. Khoury and D. K. Ferry, “Effect of molecular weight on poly(methyl methacrylate) resolution, ” J. Vac. Sci. Technol. B 14 (1), pp. 75-80, 1996.

[73] F. Rodriguez, B. C. Dems, A. A. Krasnopoler, “Molecular-weight dependence of electron-beam resist sensitivity,” Acs. Sym. Ser. 417, pp. 516-533, 1990.

[74] E. A. Dobisz, S. L. Brandow, R. Bass, and J. Mitterender, “Effects of molecular properties on nanolithography in polymethyl methacrylate, ” J. Vac. Sci. Technol. B 18 (1), pp. 107-111, 2000.

[75] http://chem.pdx.edu/~wamserc/C336S99/23notes.htm.

[76] G. H. Bernstein, Q. Hang, and W. Hu, “Nanolithography for molecular electronics,” IEEE Conference on Nanoscale Devices and System Integration, Miami, Florida, Feb. 15-19, 2004.

[77] P. D. Tougaw and C. S. Lent, “Logical devices implemented using quantum cellular- automata,” J. Appl. Phys. 75 (3), pp. 1818-1825, 1994.

Chapter 4

[1] T. Nishida, M. Notomi, R. Iga, T. and Tamamura, “Quantum wire fabrication by e- beam elithography using high-resolution and high-sensitivity e-beam resist ZEP-520,” Jpn. J. Appl. Phys. 1 31 (12B), pp. 4508-4514, 1992.

[2] D. M. Tanenbaum, C. W. Lo, M. Isaacson, H. G. Craighead, M. J. Rooks, K. Y. Lee, W. S. Huang, and T. H. P. Chang, “High resolution electron beam lithography using ZEP-520 and KRS resists at low voltage,” J. Vac. Sci. Technol. B 14 (6), pp. 3829-3833, 1996.

160 [3] K. Kurihara, K. Iwadate, H. Namatsu, M. Nagase, H. Takenaka, and K. Murase, “An electron beam nanolithography system and its application to Si nanofabrication,” Jpn. J. Appl. Phys. 1 34 (12B), pp. 6940-6946, 1995.

[4] H. Namatsu, Y. Takahashi, K. Yamazaki, T. Yamaguchi, M. Nagase, and K. Kurihara, “Three-dimensional siloxane resist for the formation of nanopatterns with minimum linewidth fluctuations,” J. Vac. Sci. Technol. B 16, pp. 69-76, 1998.

[5] W. Henschel, Y. M. Georgiev, and H. Kurz, “Study of a high contrast process for hydrogen silsesquioxane as a negative tone electron beam resist,” J. Vac. Sci. Technol. B 21 (5), pp. 2018-2025, 2003.

[6] FCMJM. van Delft, J. P. Weterings, A. K. van Langen-Suurling, and H. Romijn, “Hydrogen silsesquioxane/novolak bilayer resist for high aspect ratio nanoscale electron- beam lithography,” J. Vac. Sci. Technol. B 18 (6), pp. 3419-3423, 2000.

[7] D. R. Medeiros et al., “Recent progress in electron-beam a resists for advanced mask- making,” IBM J. Res. & Dev. 45 (5), pp. 639-650, 2001.

[8] U. Kumar, A. Pandya, R. Sinta, W.-S. Huang, R. Bantu, and A. Katnani, Proc. SPIE 3049, pp. 135, 1997.

[9] K. Y. Lee and W.-S. Huang, “Evaluation and application of a very high-performance chemically amplified resist for electron-beam lithography,” J. Vac. Sci. Technol. B 11 (6), pp. 2807-2811, 1993.

[10] S. Yasin, D. G. Hasko, and F. Carecenac, “Nanolithography using ultrasonically assisted development of calixarene negative electron beam resist,” J. Vac. Sci. Technol. B 19 (1), pp. 311-313, 2001.

[11] H. Sailer, A. Ruderisch, D. P. Kern et al., “Evaluation of calixarene-derivatives as high-resolution negative tone electron-beam resists,” J. Vac. Sci. Technol. B 20 (6), pp. 2958-2961, 2002.

[12] A. Ruderisch, H. Sailer, V. Schurig et al., “Studies on sensitivity and etching resistance of calix[4]arene derivatives as negative tone electron beam resists,” Microelectron. Eng. 67-68, pp. 292-299, 2003.

[13] L. E. Ocola, D. Tennant, G. Timp, and A. Novembre, “Lithography for sub-60 nm resist nanostructures,” J. Vac. Sci. Technol. B 17 (6), pp. 3164-3167, 1999.

[14] L. Pain, “Resolution limit of negative tone chemically amplified resist used for hybrid lithography: Influence of the molecular weight,” J. Vac. Sci. Technol. B 18 (6), pp. 3388-3395, 2000.

161 [15] J. Saint-Pol, S. Landis, C. Gourgon et al., “Negative tone chemically amplified resist formulation optimizations for ultra high-resolution lithography,” Microelectron. Eng. 67- 68, pp. 274-282, 2003.

[16] H. Elsner and H. G. Meyer, “Nanometer and high aspect ratio patterning by electron beam lithography using a simple DUV negative tone resist,” Microelectron. Eng. 57-58, pp. 291-296, 2001.

[17] S. Tedesco, and T. Mourier et al., “Resist processes for hybrid (electron-beam deep ultraviolet) lithography,” J. Vac. Sci. Technol. B 16 (6), pp. 3676-3683, 1998.

[18] M. Bai, R. F. W. Pease, C. Tanasa, M. A. McCord, D. S. Pickard, and D. Meisburger, “Charging and discharging of electron beam resist films,” J. Vac. Sci. Technol. B 17 (6) , pp. 2893-2896, 1999.

[19] J. Thackeray, T. H. Fedynyshyn, D. Kang, M. M. Rajaratnam, G. Wallraff, J. Opitz, and D. Hofer, “Correlation of UVIIHS resist chemistry to dissolution rate measurements,” J. Vac. Sci. Technol. B 14 (6), pp. 4267-4271, 1996.

[20] M. Cheng, E. Croffie, L. Yuan, and A. Neureuther, “Demagnifying immersion magnetic lenses used for projection electron beam lithography without crossovers,” J. Vac. Sci. Technol. B 18 (6), pp. 3132-3137, 2000.

[21] J. M. van Delft and F. G. Holthuysen, “DUV resists in negative tone high resolution electron beam lithography,” Microelectron. Eng. 46 (1-4), pp. 383-387, 1999.

[22] V.A. Kudryashov et al., “Electron beam lithography using chemically-amplified resist: Resolution and profile control,” Microelectron. Eng. 30 (1-4), pp. 305-308, 1996.

[23] E. Tegou, E. Gogolides, P. Argitis, I. Raptis, G. Meneghini and Z. Cui, Microprocess and Nanotechnology Conference, Korea, July 1998.

[24] H. Ito, IBM Corp. SPIE proceedings Vol. 1466, pp.394-407, 1991.

[25] Z. Cui, A. Gerardino, M. Gentili, E. DiFabrizio, and Phil D. Prewett, “Comparative study of AZPN114 and SAL601 chemically amplified resists for electron beam nanolithography,” J. Vac. Sci. Technol. B 16 (6), pp. 3284-3288, 1998.

[26] A. A. Krasnoperova, S. W. Turner, L. Ocola, and F. Cerrina, “Effect of low- solubility surface-layer on development of AZ-PF514,” J. Vac. Sci. Technol. B 11 (6), pp. 2829-2833, 1993.

[27] International Technology Roadmap for Semiconductors; http://public.itrs.net.

[28] Flowable Oxide (FOx), Dow Corning Corp.

162 [29] J. Fujita, Y. Ohnishi, Y. Ochiai et al., “Ultrahigh resolution of calixarene negative resist in electron beam lithography,” Appl. Phys. Lett. 68 (9), pp. 1297-1299, 1996.

[30] Y. Miyamoto, A. Kokubo, T. Hattori, et al. “25 nm pitch GaInAs/InP buried structure: Improvement by calixarene as an electron beam resist and tertiarybutylphosphine as a P source in organometallic vapor phase epitaxy regrowth,” J. Vac. Sci. Technol. B 16 (6), pp. 3894-3898, 1998.

[31] J. Kretz and L. Dreeskornfeld, “Process integration of 20 nm electron beam lithography and nanopatterning for ultimate MOSFET device fabrication,” Microelectron. Eng. 61-62, pp. 607-612, 2002.

[32] L. Merhari, K. E. Gonsalves, and Y. Hu et al., “Nanocomposite resist systems for next generation lithography,” Microelectron. Eng. 63 (4), pp. 391-403, 2002.

[32] K. E. Gonsalves, H. Wu et al., “High resolution resists for next generation lithography: the nanocomposite approach,” Mat. Res. Soc. Symp. Proc. 636, 2001.

[33] D. F. Spencer, “Resist and resist processing”, NNUN workshop on electron beam lithography for nanostructures fabrication, Mar 2002.

[34] K. Ronse, “Resist and process implementation issues in future lithography processes for ULSI applications,” Microelectron. Eng. 67-68, pp. 300-305, 2003.

[35] F. M. Houlihan, I. L. Rushkin, R. S. Hutton, A. G. Timko, O. Nalamasu, E. Reichmanis, A. H. Gabor, A. N. Medina, S. Malik, M. O. Neisser, 9. R. R. Kunz, and D. K. Downs, Proc. Amer. Chem. Soc., Polym. Mater. Sci. Eng. 81, pp. 60, 1999.

[36] R. R. Kunz and D. K. Downs, “Outgassing of organic vapors from 193 nm photoresists: Impact on atmospheric purity near the lens optics,” J. Vac. Sci. Technol. B 17 (6), pp. 3330-3334, 1999.

[37] K. Banerjee, D. Y. Kim, A. Amerasekera, C. Hu, S. S. Wong and K. E. Goodson, 38th IEEE Annual International Reliability Physics Symposium Proceedings, 283 (2000).

[38] J. H. Son, M.Y. Park, and S.W. Rhee, “Growth rate and microstructure of copper thin films deposited with metal-organic chemical vapor deposition from hexafluoroacetylacetonate copper(I) allyltrimethylsilane,” Thin Solid Films 335 (1-2), pp. 229-236, 1998.

[39] Y. Chen, D. Macintyre, and S. Thoms, “Electron beam lithography process for T- and Gamma-shaped gate fabrication using chemically amplified DUV resists and PMMA,” J. Vac. Sci. Technol. B 17 (6), pp. 2507-2511, 1999.

[40] J. Chen and D. G. Ivey, “Preparation of metallized GaN/sapphire cross sections for TEM analysis using wedge polishing,” Micron 33 (5), pp. 489-492, 2002.

163 [41] H. Li and L. Salamanca-Riba, “The concept of high angle wedge polishing and thickness monitoring in TEM sample preparation,” Ultramicroscopy 88 (3), pp. 171-178, 2001.

[42] E. C. G. Kirk, D.A. Williama and H. Ahmed, Inst. Phys. Conf. Ser. 100 501 (1989).

[43] T. Ishitani and T. Yaguchi, “Cross-sectional sample preparation by focused ion beam: A review of ion-sample interaction,” Microscopy Research and Technique 35 (4), pp. 320-333, 1996.

[44] L. A. Giannuzzi and F. A. Stevie, “A review of focused ion beam milling techniques for TEM specimen preparation,” Micron 30 (3), pp. 197-204, 1999.

[45] Ni. Kato, K. Tsujimoto, N. Miura; Electron Microscopy of Semiconducting Materials and VLSI Devices, Symposium 15, 1998; S. Tsuji, K. Tsujimoto, and H. Iwama, “Application of cross-sectional transmission electron microscopy to thin-film- transistor failure analysis,” IBM J. Res. Dev. 42 (3-4), pp. 509-516, 1998.

[46] G. H. Bernstein, D. A. Hill and W. P. Liu, “New high-contrast developers for PMMA resist,” J. Appl. Phys. 71 (8), pp. 4066-4075, 1992.

[47] K. Murata, E. Nomura, K. Nagami, T. Kato, and H. Nakata, “Experimental and theoretical-study of cross-sectional profiles of resist patterns in electron-beam lithography,” J. Vac. Sci. Technol. 16 (6), pp. 1734-1738, 1979.

[48] R. L. Brainard, G. G. Barclay, and E. H. Anderson et al., “Resists for next generation lithography,” Microelectron. Eng. 61-62, pp. 707-715, 2002.

[49] H. Ito, G. Breyta, W. Conley, P. Hagerty, J. Thackeray, S. Holmes, R. Munes, D. F. Alexander, R. Dipietro, and D. Hoffer, “Lithographic feasibility of ESCAP beyond quater micron,” J. Photopolym. Sci. Tec. 9 (4), pp. 557-572, 1996.

[50] Unpublished data from R. L. Brainard, Shipley Company, 2003.

Chapter 5

[1] A. Ulman, “Formation and structure of self-assembled monolayers,” Chem. Rev. 96 (4), pp. 1533-1554, 1996. [2] A. Kumar, H. Biebuyck and G. M. Whitesides, “Patterning self-assembled monolayers - applications in materials science,” Langmuir 10 (5), pp. 1498-1511, 1994.

[3] Designing the Molecular World, by P. Ball, Princeton University Press, Princeton, 1994.

164 [4] C. S. Lent, P. D. Tougaw, W. Porod, and G. H. Bernstein, “Quantum cellular automata,” Nanotechnology 4 (5), pp. 49-57, 1993.

[5] M. J. Tarlov, D.R. F. Burgess, and G. Gillen, “UV photopatterning of alkanethiolate monolayers self-assembled on gold and silver,” J. Am. Chem. Soc. 115 (12), pp. 5305- 5306, 1993.

[6] A. Kumar, N. L. Abbott, E. Kim., H. A. Biebuyck, and G. M. Whitesides, “Patterned self-assembled monolayers and mesoscale phenomena,” Accounts Chem. Res. 28 (5), pp. 219-226, 1995.

[7] Y. Xia and G. M. Whitesides, “Use of controlled reactive spreading of liquid alkanethiol on the surface of gold to modify the size of features produced by microcontact printing,” J. Am. Chem. Soc. 117 (11), pp. 3274-3275, 1995.

[8] N. L. Abbott, A. Kumar, and G. M. Whitesides, “using micromachining, molecular self-assembly, and wet etching to fabricate 0.1-1-mu-m-scale structures of gold and silicon,” Chem. Mater. 6 (5), pp. 596-602, 1994.

[9] M. J. Lercel, H. G. Craighead, A. N. Parikh, K. Seshadri, and D. L. Allara, “Sub-10 nm lithography with self-assembled monolayers,” Appl. Phys. Lett. 68 (11), pp. 1504- 1506, 1996.

[10] G.-Y. Liu, S. Xu, and Y. Qian, “Nanofabrication of self-assembled monolayers using scanning probe lithography,” Accounts Chem. Res. 33 (7), pp. 457-466, 2000.

[11] R. Maoz, S. R. Cohen, and J. Sagiv, “Nanoelectrochemical patterning of monolayer surfaces: Toward spatially defined self-assembly of nanostructures,” Adv. Mater. 11 (1), pp. 55-61, 1999.

[12] W. Eck, V. Stadler, W. Geyer, M. Zharenikov, A. Gölzhäuser, and M. Grunze, “Generation of surface amino groups on aromatic self-assembled monolayers by low energy electron beams - A first step towards chemical lithography,” Adv. Mater. 12 (11), pp. 805-808, 2000.

[13] X. Wang, W. Hu, R. Ramasubramaniam, G. H. Bernstein, G. Snider, and M. Lieberman, “Formation, characterization, and sub-50-nm patterning of organosilane monolayers with embedded disulfide bonds: An engineered self-assembled monolayer resist for electron-beam lithography”, Langmuir 19 (23), pp. 9748-9758, 2003.

[14] X. Wang, M. Lieberman, R. Rajagopal, W. Hu, G. H. Bernstein, and G. Snider, The 46th International conference on Electron, Ion and Photon Beam Technology and Nanofabrication, May 2002.

[15] X. Wang, W. Hu, R. Rajagopal, M. Jiang, G. Snider, G. H. Bernstein, and M. Lieberman, 224th ACS National Meeting, Boston, MA, August 18-22, 2002.

165 [16] Y. F. Zhang, L. S. Liao, W. H. Chan, and S. T. Lee, “Electronic structure of silicon nanowires: A photoemission and x-ray absorption study,” Phys. Rev. B 61 (12), pp. 8298-8305, 2000.

[17] Q. Hang, Y. Wang, M. Lieberman, and G. H. Bernstein, "Molecular patterning through High-Resolution Polymethylmethacrylate Masks,"Appl. Phys. Lett., 80 (22), pp. 4220–4222, 2002.

[18] D. Jiao, M. Barfield, J. E. Combariza, and V. J. Hruby, “Abinitio molecular-orbital studies of the rotational barriers and the s-33 and c-13 chemical shieldings for dimethyl disulfide,” J. Am. Chem. Soc. 114 (10), pp. 3639-3643, 1992.

[19] N. Ichinose, J. Sugimura, T. Uchida, and N. Shimo, “photochemical micropatterning of silylated glass-surface bearing 3-phenyldithiopropyl group by krf laser irradiation,” Chem. Lett. (11), pp. 1961-1964 1993.

[20] K. Seshadri, K. Froyd, A. N. Parikh, D. L. Allara, M. J. Lercel, and H. G. Craighead, “Electron-beam-induced damage in self-assembled monolayers,” J. Phys. Chem.-US 100 (39), pp. 15900-15909, 1996.

[21] A. Gölzhäuser, W. Geyer, and V. Stadler et al., “Nanoscale patterning of self- assembled monolayers with electrons,” J. Vac. Sci. Technol. B 18 (6), pp. 3414-3418, 2000.

[22] The chemical basis of radiation biology, by C. V. Sonntag, Taylor & Francis: London; New York, 1987.

Chapter 6

[1] M. Li, H. Schnablegger, and S. Mann, “Coupled synthesis and self-assembly of nanoparticles to give structures with controlled organization,” Nature 402, pp. 393-395, 1999.

[2] C. J. Loweth, W. B. Caldwell, X. G. Peng, A. P. Alivisatos, and P. G. Schultz, “DNA- based assembly of gold nanocrystals,” Angew. Chem. Int. Ed. Engl. 38, pp. 1808-1812, 1999.

[3] D. J. Norris and Y. A. Vlasov, “Chemical approaches to three-dimensional semiconductor photonic crystals,” Adv. Mater. 13, pp. 371-376, 2001.

[4] J. C. Ellenbogen and J. Christopher Love, “Architectures for molecular electronic computers. 1. Logic structures and an adder built from molecular electronic diodes," MITRE Research Paper, 1999, Nanosystems Group, The MITRE Corporation (http://www.mitre.org/tech/nanotech/downloads/ Arch_for_MolecElec_Comp_1.pdf, accessed 2/15/04).

166 [5] E. Winfree, F. R. Liu, L. A. Wenzler, and C. Seeman, “Design and self-assembly of two-dimensional DNA crystals”, Nature 394 (6693), pp. 539-544, 1998.

[6] K. Sarveswaran, W. Hu, P. Huber, G. H. Bernstein, M. Niemier, and M. Lieberman, “Self-assembly and lithographic patterning of DNA rafts,” DARPA Conference on Foundations of Nanoscience: self-assembled architectures and devices, Snowbird, Utah, April 2004.

[7] K. Sarveswaran, P. Huber, M. Lieberman, C. Russo, and C. Lent, “Nanometer scale rafts built from DNA tiles,” Nanotechnology, 2003 IEEE-NANO 2003. 2003 Third IEEE Conference on, vol.2, pp. 417-420, 2003.

[8] Q. Hang, D. A. Hill, G. H. Bernstein, “Efficient polymethylmethacrylate removers,” J. Vac. Sci. Technol. B 21, pp. 91-97, 2003.

Chapter 7

[1] S. M. Rossnagel, D. Mikalsen, H. Kinoshita, and J. J. Cuomo, "Collimated Magnetron Sputter Deposition," J. Vac. Sci. Technol. A 9, pp. 261, 1991.

[2] S. M. Rossnagel, “Sputter deposition for semiconductor manufacturing,” IBM J Res Dev 43 (1-2), pp. 163-179, 1999.

[3] S. W. J. Kuan, C. W. Frank, Y. H. Y. Lee et al., “Ultrathin poly(methylmethacrylate) resist films for microlithography,” J. Vac. Sci. Technol. B 7 (6), pp. 1745-1750, 1989.

167