<<

LITHOGRAPHY Extreme Lithography: status and challenges ahead

Vivek Bakshi, Jerry Cullins, N.V. Edwards, Kevin Kemp, Pat Marmillion, Jan-Peter Urbach, Thomas White & Obert Wood, International SEMATECH, Austin, TX, USA

focusing architectures that are similar 1997 the EUV Limited Liability ABSTRACT to those found in current optical Corporation (EUV LLC) consortium The purpose of this article is to exposure tools. was formed and eventually expanded to provide an update of the current According to the International include Intel, AMD, Motorola, Micron, status and most recent advances in Technology Roadmap for Semi- Infineon and IBM. From 1997 to 2002, each of the specific areas of sources, conductors (ITRS), EUVL will be the EUV LLC program at Lawrence masks, optics, photoresists and targeted for application at the 45-nm Berkeley, Lawrence Livermore, and microexposure tool for extreme lithography node, although recent plans Sandia National Laboratories achieved ultraviolet lithography. The article is by some IC manufacturers indicate its many significant milestones, including therefore organized according to implementation at the 32-nm node. demonstrations of 100 nm lithography these topics, with a brief discussion Based on International SEMATECH’s over a 24 mm × 32.5 mm scanning of the issues surrounding each, the (ISMT’s) current estimates of industry field using a fully integrated 0.1 current development status, and timing, volume production at this node (NA) exposure future outlook. A sustained study is likely to begin in approximately system known as the engineering test on the development of industry 2009.While exposure-tool suppliers are stand (ETS). infrastructure for EUVL is underway developing tools to be ready to meet Meanwhile, significant advances in at International SEMATECH. Although this demand, it is just as important that EUV technology were being made significant challenges remain, the supporting infrastructure also be under the Association of Super- progress is being made on various developed in time. Advanced Electronics Technologies technical fronts. In the case of EUVL, this means (ASET) consortium in Japan, and the that mask and mask blanks must be Microelectronic Developments for commercially available at production European Applications (MEDEA+) and specifications, EUV photoresists must PREUVE consortia in Europe. Today, Introduction be available with adequate resolution, exposure tool development is in Background sensitivity, line-edge roughness and progress at the “big three” of ASML, outgassing performance, and in-fab Extreme ultraviolet lithography , and Canon, while Exitech in (EUVL) is the exposure technology of support items such as reticle handling, Cambridge, England is building choice to succeed optical lithography inspection and storage must be readily microfield tools for multiple customers. for volume manu- available. In addition to focused projects Six or more companies are in a race to facturing at feature sizes below 50 nm. designed to yield solutions for each of develop high-power EUV sources that EUVL uses radiation at 13.5 nm from a the above items, International will find their way into production plasma source to project an image using SEMATECH’s EUVL program is also exposure tools. EUV-mask and mask- reflective optics and a reflective mask. directed at supporting the development blank technology is being developed Compared with competing next- of key enablers to identify and resolve in the US, Europe, and Japan, generation lithography (NGL) options, remaining critical issues for the and International SEMATECH is such as X-ray proximity, electron technology.These include achieving establishing an advanced EUV Mask projection, ion projection and direct- reliable high-power EUV sources, and Blank Development Center and Resist write, EUVL offers the solution that is mitigating the degradation of optical Test Center in collaboration with the most likely to meet the most important components. Standards also play an University at Albany – SUNY in industry requirements, which include important role in the infrastructure Albany,NY. the following: for an emerging technology, and International SEMATECH is at the Overview • Minimum feature size resolution, forefront of efforts to establish key The above discussion has provided including critical dimension and standards in the areas of EUV masks, insight into some of the important overlay control. source metrology and calibration. topics relevant to EUVL. Since there • Throughput capable of supporting exists a wealth of technical literature as volume manufacturing. A brief history of EUVL well as numerous previously published • Compatibility with existing mask- Early concepts for EUVL emerged overview articles on EUVL, only a making and resist-manufacturing from research in Japan and the US brief explanation of the underlying infrastructure. during the 1980s, using so-called “soft technology is given here and the reader X-rays” in the 10 to 30 nm range. is invited to consult other sources for • Extensibility to at least three During the 1990s, research in the US more detailed information. generations to allow recovery of was focused at Lawrence Livermore and Instead, the purpose of this article development costs. Sandia National Laboratories in will be to provide an update of the In addition, EUVL tools have mask Livermore, CA, with industry support current status and most recent advances and scanning, alignment and from AT&T, Intel, AMD, and others. In in each of the following specific areas:

SEMICONDUCTOR FABTECH – 19TH EDITION 67 LITHOGRAPHY

• Sources TABLE 1. EUV LITHOGRAPHY: CRITICAL ISSUES • Masks • Optics Source output • Photoresists and microexposure tool. Defect-free ML coated mask blank manufacturing, including inspection Source and condenser optics reliability The remainder of this article is therefore organized according to these Cost of ownership (CoO) of EUV lithography topics, with a brief discussion of the Defect-free patterned mask manufacturing/commercial availability issues surrounding each, the current Reticle defect protection (from inspection through exposure) development status, and future outlook. Effective contamination control of optical path (lifetime) EUV sources and source High NA optics manufacturing metrology Thermal management of reticle and projection optics at high throughput EUV sources Resist – high sensitivity at low power with low LER At this time, there are two prominent (Source: 1st International EUV Symposium, Dallas, TX, USA, October 2002). technologies for the generation of EUV , both of which depend on the creation of highly ionized Xe plasma. In the first approach, that of gas-discharge- sources can generate power in the produced plasma (GDPP), a plasma excess of 60 W while the collector discharge is created and then transmits only 5–10 W of that power. In magnetically self-compressed to generate 2000, similar sources were capable of highly ionized Xe ions. Component generating approximately 0.1 W after lifetimes of electrodes and collectors are the collector. the issues for this technology. Can we achieve the required power The competing technology uses from EUV sources? The source power laser-produced plasma (LPP) to requirements, for a source operating at generate the EUV emission. Although 6 kHz, are reaching Planck limits for the overall conversion efficiency for this a black body radiating at 60 eV [1]. technology is much less than GDPP, At present, conversion efficiency more of the light generated can be (conversion of plasma energy into EUV collected. LPP systems are much more emission at 13.5 nm) for GDPP is complex and lifetimes of laser-diodes about 0.5% and for LPP is 0.80% for and collectors are the main challenges Xe, and there is a push to increase the for LPP. A key issue for EUV conversion efficiency. However, it is lithography is the achievement of generally believed that maximum sufficient source power to meet conversion efficiency (CE) for GDPP Figure 1. GDPP EUV source from Xtreme production throughput requirements. is about 0.7%, and for LPP technologies. Figure 1 shows an EUV source from it is close to 1.5%. This makes GDPP (Photo courtesy of Xtreme technologies). Xtreme technologies, which is being sources much more efficient because delivered to ISMT for its EUV micro- the electrical power does not have to be EUV source metrology exposure tool. Extreme is also converted into laser power (which is An EUV source assessment program delivering an EUV source to ASML for expected to be at 10% conversion called “Flying Circus” was first its alpha version of an EUV scanner. maximum). conducted by ASML in 2000 to review Description of these and other EUV There are also efforts by most EUV the status of the EUV sources. Now the sources is available online at source suppliers to study other, more Flying Circus II program, under a ISMT’s public web site (http:// efficient emitters, such as Sn, which contract with FOM institute, is being www.sematech.org/public/index.htm), has more than twice the conversion used by ISMT to drive the under the proceedings of EUV Source efficiency of xenon. However, the debris standardization of source metrology and workshop, a biannual workshop issue will be a bigger challenge with Sn. assess the progress and risk associated organized by ISMT to bring together Despite enormous challenges still ahead, with the EUV sources.Additional issues the EUV source community. EUV source suppliers are committed related to metrology requirements Three stepper manufacturers, ASML, to delivering the EUV sources that of EUV source development and Canon and Nikon, have agreed upon meet the EUV stepper manufacturer’s monitoring of EUV sources in the requirements for EUV sources requirements. production need to be addressed, and a (Table 2). We should note that since In order to help advance EUV in the working group has been created to 1996, requirements for source power pre-competitive mode, ISMT has generate an EUV source metrology have been increased by a factor of 50, established programs to address the roadmap. ISMT also has started a which now stand at 115 W.This has as a fundamental data and modeling to program with the National Institute of result of evolving system requirements, understand the Xe and Sn plasma in Standards and Technology (NIST) to and that this has overshadowed the GDPP and LPP and the investigation of address the calibration of EUV source progress that has been made by the new materials for critical components metrology components and to develop source suppliers. Today, GDPP EUV of EUV sources. more precise EUV metrology.

68 SEMICONDUCTOR FABTECH – 19TH EDITION WWW. FABTECH. ORG LITHOGRAPHY

TABLE 2. EUV SOURCE REQUIREMENTS, PER CONSENSUS OF EUVL STEPPER MANUFACTURERS

Parameter Value Unit Comments 13.5 nm EUV power 115 W At the intermediate focus Repetition frequency 7–10 kHz Not agreed upon among suppliers Integrated energy stability 0.3 % 3 sigma over 50 pulses Source cleanliness 30 000 h After the intermediate focus, equal to 7.6 ¥ 1011 pulses; definition of cleanliness TBD Etendue of source output 1–3.3 mm2-sr Not agreed upon by the participants Maximum solid angle to illuminator 0.03–0.2 sr Depending upon the particular optical scheme; not agreed upon by the participants Spectral purity 130–400 nm <= TBD –7% % Depending upon the particular optical scheme; not agreed upon by the participants > 400 nm TBD (Source: EUV Source Workshop, Santa Clara, CA, February 2003).

EUV masks Specification for Absorbing Film Stacks support EUV blank developments and Multilayers on Extreme Ultraviolet including ion beam sputtering EUV mask blanks and mask blank Mask Blanks. deposition (IBSD) tool/process metrology To verify EUV blanks performance developments with commercial supplier EUV mask blanks are multilayer (ML) requires the use of many metrology Veeco, investigating alternative coated reflective optics using 40 pairs or systems to inspect defects, reflectivity deposition processes through research, more of alternating molybdenum (Mo) performance, and other film-stack and partnering with multiple and silicon (Si) layers each of 4.1 nm properties. Defects are currently being commercial EUV blank suppliers in and 2.8 nm thickness respectively on detected through optical techniques procuring increasing quality blanks over top of a low thermal expansion material (see Section EUV Mask Inspection). time. ISMT and its UAlbany facility (LTEM) substrate. EUV blanks are However, additional correlation (ISMT-North) are providing a state-of- similar to ML-coated projection optics, experiments using actinic (i.e., at EUV the-art EUV blank development center however, the blanks take the form of ) inspections will help where specific process module conventional optical lithography blanks justify and build confidence that all developments along with commercial (i.e. are flat versus the curved optics) relative defects can be found optically. supplier involvements will foster learning and will have patterned absorber Reflectivity performance is measured and provide solutions. Additionally, features on top of the ML stack (see using EUV reflectometers that fall into ISMT is funding work at Lawrence Figure 2). Since the mask determines two categories; synchrotron and stand- Livermore National Labs (LLNL) on the the actual image within the exposure alone laser-plasma based. EUV further development of EUV blank systems, there are several critical reflectometers measure the full- defect repair using focused ion beam performance requirements. Having reflected output spectra of the blanks (FIB) and e-beam repair strategies to defects within the ML stack will cause at multiple sites to verify peak, full help reduce the burden on the defect printable defects at the wafer, and thus width half maximum (FWHM), requirements for multilayer depositions. the reflective ML deposition process and uniformity of the reflectivity. Developing commercial EUV mask must essentially produce defect-free or To optimize the ML reflective blanks at acceptable costs will be near defect-free coatings. However, performance and ML process a major challenge. ISMT is aiding in performance is currently between 10× optimization there are several analytical the technology transfer from the and 100× away from production needs. metrology systems that can help provide expert knowledge base from both Peak reflectivity of the ML blanks for the ML film thickness control, ML film LLNL and Lawrence Berkeley NL to production will require 67% or higher interactions and impacts of defects on the commercial industry, however, centered at the wavelength of the ML films. X-ray diffraction (XRD) additional innovations will be required exposure systems where current blanks systems can provide both ML film on both ultimate performance and cost of 63% have been demonstrated. thickness control and film delineations. effectiveness. The improvements in Reflectivity matching and uniformity Transmission electron microscopy ML deposition processes and the across the blank is also essential to (TEM) and high-resolution scanning implementation of defect repair maintain dose control where electron (HRSEM) can technologies may ultimately be uniformity errors of over 1% can cause provide ML thickness control required to meet these needs. CD error impacts. ML defect and information but is also very helpful in reflectivity performance are just two of defect analysis where ML film EUV substrates and substrate many EUV mask blank performance interactions over or on top of defects finishing requirements that are currently can be reviewed. As described previously EUV mask specified in SIA SEMI P38-1102 ISMT is funding several projects to blanks will use LTEM as the substrate

SEMICONDUCTOR FABTECH – 19TH EDITION 69 LITHOGRAPHY

Figure 2. (a) EUV mask blank square format and (b) detailed TEM cross section showing the repetitive +40 pairs of Mo/Si thin layers with buffer and absorber top films. (Photos courtesy of AMD). material due to the high EUV power at requirement for lithography blank and fabrication technologies need to be the reticle plane for production systems mask industry is the “local slope” developed to eliminate/reduce substrate that are expected to be at least 6 W to requirement of £1.0 milliradian. Since defects. Both EUV substrate support +80 wph exposure tools. The EUV masks are reflective, any figuring/finishing as well as cleaning LTEM must have bulk properties of significant local surface perturbation/ processes will become required enablers mean coefficient of thermal expansion oscillation can cause absorber features to meeting such stringent performance. (mean CTE) on the order of ±5 ppb/K near or on top of such perturbations to limit material expansions that would to cause an image placement (IP) EUVL mask blank multilayer cause image placement distortions plus error during exposure. Metrology deposition possess finishing characteristics suitable methodologies to incorporate flatness One of the critical challenges for for EUV blank use. Even before the and roughness errors over a 100 mm EUVL is the deposition of the multi- ML reflective films are deposited the down to 400 nm spatial periods will layers for use as mask blanks. The LTEM substrates must have very require the integration of potentially biggest issue with multi-layer stringent performance requirements on three types of metrology tools (i.e. deposition is defects in the multi-layers. flatness, local slope, roughness, and flatness interferometer, phase measuring Currently, EUVL multi-layers are defectivity. The LTEM materials of contrast microscopy (PMM), and deposited using the IBSD tool, which choice are either a glass based or atomic force microscopy (AFM)). To uses an accelerated beam of ions to ceramic system that can be figured and date there has been only preliminary sputter material from a target onto the polished using similar optical mask “local slope” performance measurement mask blank substrate. International blank and EUV substrate polishing conducted by LLNL that showed a SEMATECH has decided to pursue this techniques. Such candidates include but ~3.0 milliradian error from commercial technology and try to determine if it can not restricted are ULE™ manufactured substrates. Proper substrate preparation be used to make EUVL mask blanks at by Corning and Zerodur™ manufactured is essential for increased ML reflectivity the current target specification of 0.003 by Schott Glas. All the required and defect minimization. Having a very defects/cm2 at a 35-nm equivalent PSL production grade performance needs of smooth starting surface before the 40 or size. Other technologies that have been EUV substrates are currently specified more ML pairs are deposited is looked at and still hold potential for low in SIA SEMI P37-1102 Specification essential. As surfaces become rougher defects are magnetron sputtering, which for Extreme Ultraviolet Lithography the ML interface contrast degrades as is currently used by LLNL for optics Mask Substrates. the surface roughness can propagate coatings, and e-beam evaporation. Currently no one has successfully through the ML stack. Specifications of Defects have remained as one of the demonstrated EUV mask substrates that needing surface roughness of < 0.15 top issues for EUVL multi-layer meet all of the top-class performance nm RMS are required, however, blank deposition on mask blanks (ranked #1 in specifications described by P37-1102. suppliers have been able to demonstrate 2001 and #2 in 2002). Only concerns Improvements have been made on each roughness on the order of 0.20 nm about the source power have shown single specification, however, achieving RMS and below. Also if there are greater precedence in the rankings. all simultaneously will be challenging. defects on the substrate before ML is Currently, the EUV-LLC and the Virtual Both frontside and backside flatness deposited there have been studies that National Laboratory (VNL) have shown requirements of less than 30 nm peak- shows that these “native” defects can they can reach defect levels of to-valley (P–V) are needed in order to cause printable defects in the EUV approximately 0.1 defects/cm2 for 90-nm maintain proper position within the mask. The native defect density equivalent PSL size defects. This is a focal plane within the exposure system. requirements for essentially defect free critical issue, and currently ISMT is Current performance from several performance at defect sizes of 50 nm undertaking root cause and defect analysis commercial blank suppliers has shown translate to defect density of 0.003 at its EUV facility at the University at frontside flatness averages of 200 nm defects/cm2 for reasonable yields. Albany (UAlbany) to try to improve its P–V. A relatively new performance Therefore improved substrate IBD to meet the current specification. A

70 SEMICONDUCTOR FABTECH – 19TH EDITION WWW. FABTECH. ORG LITHOGRAPHY

Figure 4. TEM cross section of a multilayer defect grown over an intentionally Figure 3. Schematic of phase defects caused by substrate imperfections. Left: amplitude deposited 60-nm diameter gold particle. defect due to absorbance on the surface of the multilayers. Right: phase defect caused by (Photo courtesy of Lawrence Livermore substrate surface defect. (Figure courtesy of Lawrence Livermore National Laboratory). National Laboratory). tool has been installed and we are in the supplemental protection schemes, arise as EUV evolves toward production process of transferring the multilayer including active methods like capability. deposition process from VNL. thermophoresis, are under consideration. The mask-substrate and mask-blank The actual handling areas on the standards have been published by SEMI EUV mask blank protection and mask also need definition. Standardized, as P37 and P38, respectively. Each is handling reserved areas allow for common film expected to undergo periodic revisions The greatest challenge posed by EUV deposition layouts, while allowing for as EUV-mask knowledge and capabilities masks is that, unlike their optical innovative contacting solutions. improve, but currently provide solid predecessors, they do not have a Compatible, low-particulating materials frameworks on which design decisions pellicle. The familiar film-based are needed for the mask (cleared can rely. SEMI P37 defines the substrate protective mechanism is not compatible substrate surface areas are a possibility form factor and material properties. with EUV lithography, as there are no but not a certainty) and the gripping SEMI P38 addresses the films deposited known transmissive materials at EUV end effectors. on the substrate, as well as required wavelengths. characteristics of the films. Without the pellicle, the mask is at EUV mask standards The chucking standard, recently constant risk of a particle impacting on With the introduction of EUV balloted for ratification, defines the the patterned surface and inhibiting lithography, new mask standards are clamping performance requirements accurate transference of the image from needed. Industry experts have identified needed for consistency between the the mask to the wafer. New four areas for urgent development: the mask writing tool, the exposure tool, and methodologies of protecting the mask mask substrate, the mask blank, registration metrology tools. Electrostatic must be developed. In addition, every electrostatic mask clamping, and the chucking is considered necessary to stage and process of the finished mask mask carrier. Other opportunities may clamp and flatten a given mask flow must be evaluated for particle exposure risks, using existing optical- mask pathways as a baseline, with anticipated new operations incorporated. Even the carrier needs to be updated: ISMT is creating a specification for low- particulating, low-outgassing candidate materials that should be useful for EUV- compatible mask carriers.The mask also will be subject to pressure transitions when moving from a non-vacuum carrier to the vacuum exposure chamber. Some turbulence is inevitable, so a passive protection scheme is necessary. This so-called “removable pellicle” will be withdrawn just before the mask is chucked for exposure.ASML has disclosed their approach, using a minimal-contact frame and cover, which uses moderate clamping to inhibit mask movement during transit. Other Figure 5. Mask-carrier components. (Figure courtesy of Brian Blum, ASML).

SEMICONDUCTOR FABTECH – 19TH EDITION 71 LITHOGRAPHY

repeatably, in order to minimize in-plane distortions of the mask pattern and therefore optimize image overlay on the wafer. Model studies conducted by the University of Wisconsin Computational Mechanics Center have indicated that common use of electrostatic chucks at these three critical clamping operations gives smaller, more consistent results than common three-point chucks or a mix- and-match approach.The ITRS projects overlay at the 22 nm node as 9 nm; the models support that electrostatic chucking is the most promising approach for meeting this requirement. The mask-carrier standard is in development. Industry surveys indicate Figure 6. Target areas for mask standards. (Figure courtesy of Scott Hector, Motorola). that the 200-mm standard mechanical • Minimal etching and roughening (cleaning, etching, etc. and associated interface (SMIF) format has the is permitted because of sensitivity residual chemical contamination broadest support, and will be the first of film thickness to reflectivity. monolayers), cumulative energy effects, form factor addressed; others likely will Simulations and experiments have environment (fab, storage, and transport), follow, including 150-mm SMIF and shown that removal of just a few pattern density,age, etc. front-opening unified pod (FOUP). angstroms of the EUV silicon-capping Consequently, ISMT is initiating The carrier is intended for use from the layer can affect the multilayer several tactical and strategic projects back of the mask shop through the end reflectivity by up to 2–3%. focused on advanced of the mask operational flow; this • The actual films to be used are still cleaning/surface preparation techniques removes carrier-transfer handling and unknown so the cleaning solution that specify not only the removal of reduces particle-impact risk. Other must be either flexible or widely particles, but assure that the selection of considerations include the material applicable. both the chemistries and materials used selections, which ISMT is addressing, in the cleaning system do not cause and defining mask gripping, carrier- • The films will be exposed to cleaning processes numerous times during the reticle contamination or degradation. tool interfacing, and supplemental Emphasis will be placed on assuring protection accommodations. life of the mask because there is no pellicle to protect them from particles. that appropriate consideration is given Another opportunity for standard- to all possible sources of contamination, • It is imperative that the mask surface ization is the mask-identification mark. and identifying post-process validation be free from any adsorbed Whereas optical masks use different techniques to ensure resultant surface barcode formats and mask locations contamination, because organic cleanliness. depending on the exposure tool contaminants absorb strongly at manufacturer, EUV presents the 13.4 nm wavelengths. EUV mask inspection potential for standardizing on a single • There are stringent defect It is currently believed that reflected automated identification format in both specifications (ITRS 2002 Update) for light inspection at deep ultraviolet symbology and location. ISMT has EUVL: (DUV) wavelengths would be sufficient proposed that the digital data matrix • Zero particles above 55 nm in 2006 to check the pattern integrity of the code be adopted, as it has been in many for 70-nm node final mask; zero finished mask.This inspection, however, other sectors of the semiconductor particles above 39 nm on quartz lacks the ability to find in the absorber- industry, such as 300-mm wafers. ISMT substrate. stack defects that would only show up plans to conduct a validation experiment at EUV wavelength. in the near future to support adoption. • Zero particles above 35 nm in 2010 It is therefore essential to achieve The advantages are two-fold: a standard for 45-nm node final mask; zero defect-free EUV mask blanks. location simplifies design for mask- particles above 32 nm on quartz Obviously, the capability to detect and support equipment, such as inspection substrate. tools, for using the mark, and the data In order for advanced photomask locate relevant defects is vital for capacity of data matrix is significantly technologies to be successful, proper process development in order to greater than larger barcodes, which cleaning methods must be implemented. characterize defects as well as for future would enable greater traceability in the Since standard cleaning technologies blank production, which most likely encoded information. (sulfuric acid/hydrogen peroxide mixture will include some defect mitigation [SPM], Standard Clean 1 [SC1] and schemes (e.g., repair). Mask cleans Standard Clean 2 [SC2]) are inadequate, Given the present experience with Contamination control on EUV masks new cleaning methods must be inspection techniques using optical is expected to be a challenging problem. developed to meet these rigorous defect wavelengths, the current strategy is to No EUV pellicle is anticipated and criteria. Ideal future mask quality requires use such techniques also for EUV blank consequently numerous cleans will be innovative advancements with respect to inspection. The underlying assumption necessary to remove the contaminants. reticle material components (absorbers for this approach to be successful is that The issues related to EUV mask and other thin film layers, substrate, and any relevant disturbance in the cleaning can be summarized as follows: associated structures/materials), processes multilayer stack manifests itself at the

72 SEMICONDUCTOR FABTECH – 19TH EDITION WWW. FABTECH. ORG LITHOGRAPHY

Figure 7. Points show expected size of defects nucleated by a particle at the substrate beneath the multilayer coating, as calculated using a multilayer growth model. Note: The size of a critical defect was determined from the calculated aerial image (NA = 0.25, coherence = 0.7, and perfect optics) of a defect near a 35-nm line (140 nm on the mask). A defect is considered printable if it causes a 20% CD change Figure 8. Change in EUV reflectance of ruthenium- and silicon-capped anywhere within a ±100-nm depth of focus [2]. multilayer coatings with time, during EUV exposure in an oxidative (Figure courtesy of Lawrence Berkeley National Laboratory). environment [4]. surface of the multilayer stack as a small the first question above. • Erosion (physical removal) of the surface anomaly (e.g., a bump). • In a separate project with the VNL, coating by the plasma. Simulations of the multilayer growth ISMT tries to address whether there are For Si-terminated Mo/Si-coated can provide some estimate about the critical defects that are not detectable projection optics, the primary risk is respective sizes. As shown in Figure 7, a by optical inspection techniques. oxidation. When such a coating is 30-nm defect on the substrate would exposed to EUV radiation, the large yield a critical disturbance of the aerial EUV optics contamination number of low-energy secondary image, as well as surface bump of about The lifetime of the reflective optics in electrons generated near the surface can 2 nm height and 60 nm Gaussian full- an EUVL exposure tool remains dissociate adsorbed water molecules, width half-maximum (FWHM). critical for the commercialization of creating reactive oxygen that can oxidize There are basically two questions EUV lithography. Radiation-induced Si to SiO . To prevent oxidation of the pertaining to EUV blank inspection 2 contamination and erosion of the Mo/Si coating, a protective (capping) layer that strategy: multi-layer coated projection and is oxidation resistant (e.g., ruthenium) • What are the relevant (“printing”) condenser optics, respectively, are to must be applied (see Figure 8). defects (sizes and types) in the blame for the current poor lifetime Accordingly, the EUV Optics multilayer stack? values. For condenser optics for LPP in Lifetime/Contamination Project at • Can these defects be detected with the engineering test stand at VNL, it is International SEMATECH is following techniques at optical wavelength, or observed that ~1 Mo/Si bilayer is a dual approach. First, the goal is to will actinic inspection be required? removed per 15 M shots of EUV leverage the newly established EUV radiation and the bilayer removal on the optics-testing pipeline (illustrated in ISMT has set up a number of projects first condenser optic results in the loss of Figure 9) to establish the legitimacy of to address these questions: reflectivity [3]. Some source suppliers accelerated life testing. • A confocal inspection technique at have reported higher lifetime data The testing pipeline consists of a suite 488 nm wavelength developed by (see Section EUV sources and source of initial screening tests at Lawrence Lasertec Corporation, Japan, has metrology). The EUVL stepper Livermore and long-term environmental demonstrated a sufficient sensitivity manufacturer requirements for collector tests at Sandia NIST, where the goal is are 100 B shots. on approximately 12-nm high to study the parametric dependences of The condenser-erosion was placed at multilayer surface bumps. In a joint variables contributing to projection- the top of a list of critical issues at development project with Lasertec, optics contamination. The second the ISMT EUV Optics Lifetime/ ISMT tries to extend this technique aspect of our approach is to address the Contamination Workshop in February to approximately half the wavelength, critical condenser erosion problem 2003. For condenser optics (particularly in order to increase the sensitivity. through a thorough characterization of those that are plasma facing) the risks the source plasma and the study of • Another project targets the are from the following: development of an aerial image plasma-surface erosion mechanisms. measurement tool.This tool would be • Deposition of debris from source Once the physical mechanisms that lead required to qualify defects (and defect hardware; to erosion are better understood, it may repairs) with respect to their impact • Radiation-induced oxidation and be possible to develop condenser on the aerial image, thus addressing carbonization; coatings that resist erosion.

SEMICONDUCTOR FABTECH – 19TH EDITION 73 LITHOGRAPHY

LER (3 sigma), and 3 mJ/cm2. After high NA systems become available (NA = 0.3), the resolution should improve. The ultimate resolution of chemically amplified resists in not known, although there are results from EUV interferometric systems that show indication of 37-nm half-pitch resolution [7]. After resist suppliers have access to high numerical aperture tools, we will learn if there are fundamental limits with chemically amplified resists. The ultimate objective with the high NA tools is to develop resists to meet the EUVL goals for the 32-nm node. Figure 9. Block diagram of an EUV Optics Life-Testing Project at LLNL, SNL and NIST, funded Global co-operation by International SEMATECH. Development of EUVL lithography Micro exposure tool (MET) sponsored work 100 shifts per year will require enormous resources. With through the end of 2004.The facility at these objectives in mind, ISMT has had International SEMATECH plans to UAlbany will be available for researcher fruitful discussions with other global provide EUV lithography processing use in the fourth quarter of 2004, and EUV consortia, and several cooperative infrastructure to worldwide researchers. is planned to run three shifts per day, projects have been identified. It is Two ISMT facilities are being prepared. five days per week through the end of desirable for us to minimize the Exposure capability is under 2007. ISMT member companies, resist unnecessary duplication of technology construction at the Advanced Light suppliers, and resist researchers will have and infrastructure effort to reduce the Source (ALS) facility at Lawrence access to the facilities. total cost of EUVL introduction. Berkeley National Laboratory.This tool Collaboration arrangements can be will be connected to the synchrotron EUV resist made in the form of complementary light source. ISMT is working with The development of EUV resists has projects, data and sample sharing and Exitech Ltd, of Oxford, England, to started with chemically amplified, co-funding of key projects. With these build a micro-exposure tool, which will 248-nm resist platforms (copolymers of objectives in mind, ISMT has had be installed in a cleanroom at UAlbany. acrylates and hydroxystyrene). Since fruitful discussions with MEDEA+ and This tool uses a stand-alone gas- the primary interactions between EUV plans cooperative projects with other discharge plasma source made by wavelengths and the resist are at the international consortia. Xtreme technologies. The projection atomic level, the optical density optics for the two tools are the same becomes less sensitive to molecular Summary and conclusion design, a two-mirror Schwarzschild lens structure [5]. Therefore, the choice of A sustained study on the development design resulting from a previous ISMT polymers is not as important for optical of industry infrastructure for EUVL is × project. The lens has 5 reduction density as with longer wavelengths.The underway at International SEMATECH. magnification and a relatively high primary challenges for EUV resist Although significant challenges remain, 0.3 NA.The tools are designed to print development are line edge roughness progress is being made on various 35-nm resist lines at 70 nm pitch and (LER), photospeed, and resolution. The technical fronts. 23-nm isolated resist lines. The goals for LER are < 3 nm (3 sigma), SEMATECH, the SEMATECH infrastructural investment by ISMT in photospeed < 4 mJ/cm2, and resolution logo, International SEMATECH, and establishing these two facilities targets 32 nm for dense features [6]. The the International SEMATECH logo are resist development and EUV learning. current performance of resists is limited registered servicemarks of SEMATECH, The facility at LBNL will be available by the low NA of the exposure tools, Inc. All other servicemarks and for researcher use in the third quarter of typically about 0.1. Good results from trademarks are the property of their 2003, and is available for ISMT- these tools are 80-nm resolution, 5-nm respective owners.

Figure 10. Imaging station for ALS facility. (Figure courtesy of Exitech). Figure 11. Exitech Ms-13 EUV MET. (Figure courtesy of Exitech).

74 SEMICONDUCTOR FABTECH – 19TH EDITION WWW. FABTECH. ORG LITHOGRAPHY

REFERENCES (1), Jan–Feb 2002. [5] Brainard, Barclay,Anderson, Ocola, MNE, 2001. [1] Glenn Kubiak, SPIE 2003. [3] L. Klebanoff, “Condenser Erosion Observations in the ETS,” VNL [6] Cobb, et al, SPIE 2002. [2] E.M. Gullikson et al., “Practical EUVL Program Review, Berkeley, [7] Solak, He, Li and Cerrina, J.Vac, Sci, Approach for Modeling Extreme CA, May (2003). Ultraviolet Lithography Mask Technol.,B 17 (6), Nov/Dec 1999. Defects,” J.Vac. Sci. Technol. B 20 [4] S. Bajt, L. Klebanoff, M. Malinowski, private communication.

ABOUT THE AUTHORS ENQUIRIES Dr. Vivek Bakshi joined SEMATECH in 1996 after semiconductor, mask, and assurance engineering International SEMATECH Technology Transfer having a visiting faculty position and various management; and intellectual property 2706 Montopolis Drive research positions with The University of Texas. He development and licensing for IBM Corporation Austin is currently a project leader for EUV Source and during the last 22 years. She is currently a project TX 78741 EUV Source Metrology Projects. He has written manager at International SEMATECH in the USA for over 50 publications and is US Chair for Lithography – Mask Strategy program. E-mail: [email protected] Modeling and Simulation ITWG of ITRS. David Stark has worked in semiconductor Web site: www.sematech.org Jerry Cullins is an Intel employee and a recent manufacturing, research and development for assignee to International SEMATEHC, where he 22 years at International SEMATECH, served as project manager for Mask Attenuated STMicroelectronics, Analog Devices, and Unitrode. Materials in the consortium’s Lithography Division. His experience spans lithography, etch, thin He holds a bachelor of science degree in chemical films/CVD, diffusion and epitaxy. He manages engineering from Florida Institute of Technology, International SEMATECH’s 157nm and EUV Resist Melbourne, FL, and a master’s degree in chemical Test Centers. David holds a B.S. in chemical engineering from Cornell University, Ithaca, NY. engineering from University of Massachusetts and

Dr. Kim R. Dean is the project manager of the EUV an MBA from Bentley College. He has published and 157 nm resist development group at many papers in the areas of lithography and International SEMATECH. Prior to that, she plasma processing, and holds one patent. worked on development projects for 19 3nm and Jan-Peter Urbach in an Infineon assignee for 248 nm photoresists at International SEMATECH. International SEMATECH, where he works on Dr. Dean received her Ph.D. in physical chemistry inspection of EUV substrates and blanks. from the University of Texas in 1990 for her Previously, he worked at Infineon’s Maskshop in research in the photophysical properties of Munich for two years as development engineer in polymers. She has published over 20 papers in inspection for patterned high-end mask. He the areas of resist and other topics. received his diploma and PhD from the University

Dr. N.V. (Ginger) Edwards is a project leader in EUV of Augsburg, Germany in 2000. lithography at International SEMATECH and an Thomas White has been with Advanced Micro assignee from Motorola, where she most recently Devices since 1990. He is enjoying his second served as senior scientist for the Wireless assignment at International SEMATECH, previously Technology Analysis Group. She holds a doctorate having been with the Mask Strategy program in materials science and engineering and a master’s from 1996-99. He has been involved with SEMI degree in physics, both from North Carolina State Standards for the past eight years, frequently University; and a bachelor’s degree in physics and championing Data Matrix digital symbology for philosophy from Vanderbilt University. use in mask automated identification. White holds

Kevin Kemp is the EUV Lithography Program a BSEE from Rensselaer Polytechnic Institute. Manager at International SEMATECH. He is a Obert R. Wood II is currently a Project Manager in Motorola assignee, and has been at SEMATECH the Lithography Division of International since April 2002. He joined Motorola in 1989 and SEMATECH. He is an assignee from Agere has held positions in lithography research and Systems, where he is a Distinguished Member of development and engineering management. He Technical Staff. Obert received his B.S., M.S. and also spent four years as engineering manager with Ph.D. Degrees in Electrical Engineering from the FSI International’s Microlithography division. He has University of California at Berkeley in 1964, a Ph.D. in Electrical Engineering from Clemson 1965 and 1969, respectively. He joined Bell University, and bachelors and masters degrees from Laboratories in 1969 and has more than 33 years the University of Natal in Durban, South Africa. of experience in deep-ultraviolet and extreme-

Patricia Marmillion has held a variety of ultraviolet lithography, soft x-ray optics, ultra- semiconductor fabrication assignments including high-intensity lasers, ultra-short pulses of x-rays manufacturing engineering and development; and laser surgery.

SEMICONDUCTOR FABTECH – 19TH EDITION 75