SCIENTIFIC AND TECHNICAL REPORT 2016

CSEM SA Jaquet-Droz 1 CH-2002 Neuchâtel

CSEM Alpnach Untere Gründlistrasse 1 CH-6055 Alpnach Dorf

CSEM Landquart Bahnhofstrasse 1 CH-7302 Landquart

CSEM Muttenz Tramstrasse 99 CH-4132 Muttenz

CSEM Zurich Technoparkstrasse 1 CH-8005 Zurich

www.csem.ch [email protected] [email protected] IMPRINT

Full report title CSEM Scientific and Technical Report 2016

Editor and publisher CSEM SA [email protected] T +41 32 720 5111

Design CSEM Communications [email protected] T +41 32 720 5111

Publication Frequency yearly Media printed and electronic Website www.csem.ch/str2016

Printing Imprimerie Baillod SA, Bevaix (Switzerland)

Cover page Projection of artwork by coherent illumination of designed optical nanostructures.

The project creates a connection between nanotechnology and art by using designed nanostructures to project artwork in single and full color. Once the optical nanostructures have been designed and a physical master created, an infinite number of low-cost copies can be reproduced.

The original artwork, from the artist Véronique Lecomte, known as Velec, is made of neon light tubes. Courtesy: VELEC www.velec.ch CSEM SA

CSEM is a private, non-profit research and technology organization (RTO) and a Swiss innovation accelerator—a catalyst for the transfer of technologies and know-how from fundamental research to industry. CSEM’s extraordinary success in the field of innovation and its diffusion stems from its promotion of technology-based activities that address the next generation of trends—the future of energy, the Internet of Things revolution, the advent of wearable technologies for wellness and medical applications, or the rendering widespread of machine-to- machine (M2M) communications required by Industry 4.0. CSEM’s research strategy is built around five strategic programs: Microsystems technology—Design, integration, and packaging of devices that sense and monitor our environment and aspects of our daily lives, exploring new frontiers at the interface of nanotechnologies, materials science, and biotechnologies and delivering enhanced performance, miniaturization, and new properties. Systems engineering—An application-oriented interdisciplinary program with a special emphasis on system integration, systems engineering aggregates different components and subsystems designed to collaborate and deliver targeted functionality, taking into consideration resource limitations (in terms of processing and power consumption), reliability, production cost, maintenance, and environmental conditions. Ultra-low-power integrated systems—A strong focus on analog and mixed IC design as well as wireless communication and vision technologies, the key technologies required to build very-low-power, wirelessly interconnected, embedded smart systems or remote sensing nodes. Surface engineering—Focuses both on the novel properties of engineered surfaces and interfaces, to deliver breakthrough surface effects, and on their “up compatible” manufacturing processes, to bridge the gap to industrial applications. Photovoltaics & energy management—Development, covering the full chain from prospective PV cell and module technologies through fully integrated energy systems, where energy efficiency and management is delivered by intelligent hardware and algorithms.

1

CONTENTS Fluorescence Lifetime Imaging (FLIM) made easy 42 PREFACE 7 Cell-based Microfluidic Chip to Study Bone Healing Mechanisms 43 Microfluidic in-vitro Model of Bladder Cancer for Drug MULTIDISCIPLINARY INTEGRATED Profiling 44 PROJECTS—MIPS 9 Wearable Sensors for Monitoring 45 MiniNOB−Cooperative Sensor SoC for ECG and EIT 11 Rapid and Cost-effective Detection of Tuberculosis (TB) 46 Wear-a-Watt−Energy Autonomy for the Wearables 12 Glucose Sensors on Demand 47 Controlled, Pressure-driven, Sequential Actuation of ALS−MEMS Scanner for Assisted Laser Surgery 13 Fluids for a Food Quality Monitoring System 48 GWAPO−Generic Wireless Autonomous Conformable RASECAN−Parallel AFM for Rapid Cancer Diagnosis 49 Patch with Display 14 System for Airborne Nanofibers Exposure Monitoring 50 MS-MET−Integrated Inductive Charge Detection Sensor and Analyzer for Mass Spectrometry 15 Asymmetric Color Appearance with Aluminum Plasmonic Substrates 51 ECAM−Image Sensor with Stacked a-Si:H Photodiodes 16 Four Color Filters in One using Large Area Plasmonic SMAC3P−Assembly and Functional Tests of a Flat Substrates 52 Form Factor Miniature Atomic Clock 17 Micro- and Nanostructuring of Steel Inserts for muTish−Tools to Monitor and Handle Medium Sized Aesthetics and Security in High Volume Industrial Biological Entities 18 Injection Molding 53 HybSi—High-precision Mechanisms at the Centimeter Table-top Classification and Sorting System for Small Scale based on Silicon Hybridization 19 Model Organisms 54 TeraXplore−Single Detector for Multi-color Terahertz Development of Electrochemical Flow-cell for Advanced (THz) Imaging 20 in situ X-ray Investigations 55 Slot Die Deposition of Functional Films 56 MICROSYSTEMS 21 Large Area Nanoreplication on Flexible Substrate 57 Integration of New Sol-gel Films into Miniaturized A MEMS-based Gas Chromatograph Front-end for a Optical CO2 Sensors for Air Quality Monitoring 58 Miniature Spectrometer 24 Advanced Microlenses Fabrication Techniques 59 A MEMS UV Laser Pointing Mirror for Atmosphere Monitoring 25 Ultra-thin Direct-lit LED Modules with Beam-shaping Thin-film optics 60 3D Printing for Advanced Manufacturing 26 Printed Sun Sensors for Satellites 61 Novel Microfabricated Infrared Optical Filters 27 Inkjet Printing Structural Colors based on Plasmonics 62 How Robust are MEMS for Space Applications? 28 Aerosol-jet Printing, an enabling Technology for printing − MAMOS Metal-based Additive Manufacturing on Electrodes, Interconnects, Sensors or Antennas on 2-or Silicon 29 3-D Parts 63 Low-temperature Reactive Nanofoil Die-attach Bonding for MEMS 30 Low-temperature Laser-assisted Sealing of Glass Lid PV-CENTER & ENERGY on Silicon 31 MANAGEMENT 65 − ACTION Technologies for Cochlear Implants 32 Platform for High-efficiency Silicon Heterojunction Solar ACTION−Hermetic Packages and Flexible Substrates Cells 68 for Implants 33 Back-contacted Silicon Heterojunction Solar Cells with a Improved Lifetime of Miniaturized Vapor Cells in Atomic Simplified Photolithography-free Processing 69 Clocks 34 Direct Interconnection of plated Silicon Heterojunction Nanofoil Bonding of Laser Micromachined Components 35 Solar Cells 70 Multiple Metallization Schemes enabled by Multi-wire SURFACE ENGINEERING 37 Interconnection. 71 Above 30% Efficiency Tandem Solar Cells using Silicon A Photolinker Polymer with High Density Functional Heterojunction Bottom Cell 72 Groups for more Sensitive Bioassays 39 Development of Indium-free TCOs for Silicon Online Monitoring of Water Contaminants with an Heterojunction Solar Cells 73 Optical Biosensor 40 Upscaling of Perovskite-based PV Devices within Detecting and Measuring Nanoparticles in Complex Project CHEOPS 74 Matrices: the SMART-NANO Platform 41 3 Silicon Photovoltaics for Hydrogen Production 75 ULTRA-LOW-POWER INTEGRATED Plastics Compounding Platform for Reliable and SYSTEMS 103 Dedicated Packaging Materials for PV Modules 76 Solarstratos—a Solar Airplane to the Edge of Space 77 Illumination for a Laser Profilometry System Targeting Fast Moving Objects 105 Embedded Light Management Films for All-season Energy-harvesting in Printed Photovoltaics 78 Real-time Face Detection and Recognition on the Vision-In-Package System 106 Results from Non-intrusive Load Monitoring 79 A Contactless Vision-based Technology for Heart and TRIBUTE—Automatic Building Simulation Model Respiration Rates Estimation 107 Calibration and Diagnostics 80 Compact and Flexible Tracking System for Total Knee Electrical Energy Storage Optimization based on Replacement Surgery 108 Predictive Control 81 An Integrated Circuit for Future X-ray Imaging Detectors Battery Performance Evaluation and Modelling for based on a Ge Pillars Absorption Layer 109 Stationary Applications 82 Vision-based Monitoring of Manual Assembly Set-up and Operation of a Low-voltage DC Micro-grid Processes 110 Demonstrator 83 Hyperspectral Imaging using a Commercial Light-field Towards High Efficiency Flexible Printed Tandem Solar Camera 111 Modules 84 RF Sensing of Human Physical Condition in the mm-Wave / THz Frequency Range 112 SYSTEMS 85 3D Printed Antennas for mmW / Sub-THz A Wearable Dietary Monitor using a PPG-based (100GHz−500GHz) Applications 113 Chewing Sensor 87 WiseSkin for the Restoration of Natural Sense of Touch 114 Wrist-located Optical Monitoring Device for Atrial REP—Making Self-healing Wireless Networks Efficient 115 Fibrillation Screening 88 Publish-Subscribe Communication for Swarms of Novel Ambient Light Rejection System for PPG-based Unmanned Vehicles 116 Measurement Devices 89 Autonomous Sensor Network for Smart Street Lighting 117 Cuffless Blood Pressure Monitoring: CSEM's catalog of Secure Wireless Link for Ultra-low Power Wireless applications 90 Sensor Networks 118 WELCOME—Wearable Sensors for Patients Suffering Long Range Low-power Localization 119 from Cardiopulmonary Diseases 91 IoT Objects Localization based on Time Difference of Autonomous Medical Monitoring and Diagnostics 92 Arrival Measurements 120 Light Management for Treatment of Chronic Wounds 93 Reactive Low-power Software Stack for BTLE X-ray Phase Contrast Imaging: from High Resolution to Implementation 121 High Speed 94 Indoor Localization using IR-UWB 122 VISARD—Vision Automation Robotics Designer 95 Implementing Bluetooth Low-Energy 5 in icyTRX 123 Visualization Tool to Understand the Learning of a Deep Asynchronous Digital Design for Sub-threshold Regime Network 96 Operation 124 Novel System for Pressure Measurements on Yacht Sub-threshold Latch-based icyflex2 32-bit Processor Sails 97 with Wide Supply Range Operation 125 Ultra-low Phase Noise Microwave Generated with Physical Unclonable Function (PUF) based on 0.18 um Photonics 98 Sub-threshold SRAM 126 A Vision-based LiDAR Sensor Technology for Space Library Design in a DDC Technology Optimized for Debris Removal 99 Sub-threshold Regime 127 Efficient Femtosecond Laser Stabilization via Sensor Interface for a Resonator-type Mass Stimulated Emission 100 Spectrometer 128 Additive Manufactured Metallic Compliant Mechanisms A Fully Integrated Adaptive Energy Harvesting System and Flexible Structures 101 for Ultra-low Power Applications 129 Qualification Test Program for CCM and Prototype CMOS Embedded NEMS Resonator with Acoustic Flight Model 102 Confinement 130

4 ANNEXES 131 European Space Agency (ESA), Swiss Space Office, Austrian Space Applications Programme (ASAP), and Publications 131 CNES Projects 146 Proceedings 133 Industrial Property 147 Conferences and Workshops 137 Collaboration with Research Institutes and Universities 147 Publicly Funded Projects 138 Teaching 151 Swiss Commission for Technology and Innovation (CTI) 140 Theses 152 European Commission Projects 144 Commissions and Committees 153 Prizes and Awards 156

Market segmentation synopsis

AERONAUTICS & TRANSPORTATION HEALTHCARE, WELLNESS & SPORT 13; 14; 17; 24—25; 29—31; 35; 55—56; 61; 11—15; 17; 20; 26; 29; 31—33; 35; 39—40; 63; 82; 92; 94; 95—96; 97; 105—107; 111; 45—48; 50; 58; 63; 87—97; 106—109; 111— 116; 118; 122 114; 119—120; 122—123; 129

PHARMA, BIOTECHNOLOGY & LIFE SCIENCES HOME & INDUSTRIAL AUTOMATION 15—16; 18; 24; 26; 29—31; 35; 39– 44; 46— 16; 20; 24; 27; 29—31; 35; 58; 60—61; 63; 50; 54; 56—58; 63; 87—88; 92; 94—97; 94—97; 105—106; 108; 110—111; 117; 106—109; 111; 128 119—123; 125—126; 129—130

INFORMATION & COMMUNICATION SECURITY & SURVEILLANCE TECHNOLOGIES 15—16; 24; 27; 29; 42; 51—53; 56; 59; 62— 14; 17; 29—31; 34; 57; 59; 61; 63; 113—114; 63; 96; 105—107; 109—111; 116—122; 116—123 125—126; 129

ENERGY & BUILDING SOLUTIONS SEMICONDUCTOR INDUSTRY 30—31; 55—56; 63; 68—84; 97; 117; 121; 16; 24; 26; 29—31; 33; 35; 50; 55; 63; 69; 73; 125 94; 96; 113—114; 123—125; 127; 129—130

ENVIRONMENT SPACE & ASTROPHYSICS 15; 24; 27; 29; 39—42; 50; 55—58; 63; 69— 15; 17; 24; 28—31; 34—35; 59; 61; 63; 92; 72; 75; 111; 116—119 94; 98—102

FOOD & AGRICULTURE WATCHMAKING 14; 20; 24; 29—30; 39—42; 55—58; 63; 94— 12; 17; 19; 29—31; 34—35; 50; 57; 63; 88; 96; 111 94; 96; 106—107; 124—127; 129—130

5

PREFACE

Dear Reader,

New technologies and innovations are constantly changing and evolving, improving the way we do things, the way we think, the way we work and live. This year, as always, I’m pleased to share with you the latest news about the work we’ve done and the successes we’ve enjoyed over the last twelve months. You’ll discover numerous examples of how we’re continuing to expand as a preeminent research and technology organization, providing new solutions to a number of challenges. These include technologies for a greener and more sustainable planet; the deployment of precise, dedicated sensors contributing to human well-being or environmental sensing; the rethinking of advanced manufacturing for the industry of the future; and the leveraging of synergies across our different technologies platforms, to name just a few. In everything we do, we recognize that success is founded on partnership. Together, day after day, we help industry and society prepare for the future. That’s why I would like to take this opportunity to thank personally our partners, our clients, and my colleagues for their efforts and dedication to this joint endeavor.

Mario El-Khoury CEO, CSEM SA

7 8 MULTIDISCIPLINARY INTEGRATED PROJECTS—MIPS Harry Heinzelmann CSEM’s Multidisciplinary Integrated Projects (MIPs) demonstrator will allow the energy generated to be monitored, complement the center’s programmatic research activities, making possible a wearing test that will deliver user data that helps which are carried out within the framework of its five research us to assess the potential of energy harvesting in real-life programs: Microsystems, Surface Engineering, Integrated conditions, and to understand certain key performance parameters Systems, Ultra-Low Power Integrated Systems, and PV- of the wristband. center & Energy Management. These programs are ALS—MEMS scanner for assisted laser surgery constantly advanced, reviewed, and adapted to emerging trends and anticipated future demand from industry. They are The goal of the ALP project is the development of a laser presented in detail in the following chapters. microsurgery tool that can be integrated into an exploratory vision system like an endoscope. The targeted application is integrated The MIP program has been established to drive innovation endoscopic surgery, but the technology can be applied to other through a multidisciplinary effort that combines the strengths of kinds of surgery that necessitate very fine and controlled cuts, our five thematic research programs. For many years CSEM including—for example—eye surgery. The laser surgery tool will has dedicated an important part of its resources to these highly be based on an integrated MEMS scanner and allow the steering interdisciplinary projects, targeting demonstrators with a high of a high-power laser beam that will be provided through an optical level of maturity (high technology readiness level (TRL)) for fiber. Interactive control by the surgeon, or automated operation novel applications with high market potential in relatively short following special, pre-defined patterns, will be possible. In the development times. Starting in 2016, two new categories were future, an optical coherence tomography (OCT) system might be introduced into the MIP program, allowing us to rapidly explore integrated for complementary depth measurements. new concepts (Feasibility MIPs) and pursue long-term joint technology development (Technology MIPs). All the projects of GWAPO—Generic, wireless, autonomous, conformable the MIP program remain strictly multidisciplinary. patch with display The project GWAPO aims at creating a platform for thin, miniature, The MIP program is re-evaluated annually, and consists of flexible, and rechargeable wireless interactive sensors and ongoing projects and new proposals in a healthy balance, actuators. The GWAPO patch combines sources of energy— making possible quick response times to newly emerging including, for example, from photovoltaics—with sensors, market needs. In this way, the MIPs complement CSEM’s five electronics, displays, interactive components, and antennas. The topical research programs in an ideal way. The MIP program result will look like a sticking bandage that can be attached to the enables CSEM to offer its industrial clients an even richer skin or other non-flat surfaces, with potential applications that portfolio of technologies beyond the possibilities of its thematic cannot be addressed using classical sensor nodes. For this, a research programs. number of flexible technologies have to be combined. The envisaged prototype will be able to be worn on the body and CLASSICAL MIPS operate autonomously for weeks, remotely transmitting selected MiniNOB—Miniaturized, wearable system for blood physiological parameters. pressure measurement MS-MET—Mass‐spectrometer for metabolomics based on MiniNOB targets the development of a TRL‐5 medical, CSEM’s MicroMS Technology miniaturized, wearable system for the continuous recording of The goal of the project MS‐MET is to develop a mass spectrometer ‐ non occlusive mean blood pressure (NOBP) and ECG. The demonstrator specific to metabolomics, thus addressing a key ‐ state of the art for non invasive blood pressure (NIBP) emerging global market. CSEM’s resonator and pickups, both monitoring is based on an inflatable cuff, which can provide only developed in the MIP MicroMS (2014‒15), will be at the core of the intermittent measurements (typically every half an hour). Such MS‐MET system. The focus of this project is the introduction of a technology is bulky and uncomfortable. MININOB will develop adequate sample preparation, as well as the development of a solution based on CSEM’s technologies—namely, NOBP separation and ionization units for the specific market of based on cooperative sensors (button sensors). A further goal metabolomics. The envisaged demonstrator is a versatile, high‐ is the reduction of the size of the individual sensors. The final resolution, tabletop mass spectrometer able to analyze complex demonstrator and its validation are foreseen for 2017. molecule mixtures and to detect low-concentration metabolites Wear-a-Watt 2—Energy harvesting in wristbands rapidly and reproducibly. The objective of Wear‐a‐Watt 2 is to create an integrated energy harvesting solution for wristbands and watchcases. The TECHNOLOGY MIPS final result will be the acquisition of wearing test data, which will ECAM—Enhanced CAMera be used to demonstrate the potential of this solution to new The project ECAM aims at demonstrating an image sensor module customers. The project targets applications mainly in the watch for low light imaging with high photomultiplication gain. Traditional market. More generally, it benefits from the broad market trend image intensifier systems based on multiple conversion stages in wearable technologies. Wear-a-Watt 2 will focus on introduce noise and are limited in terms of speed and spatial successful component integration, while improving—with resolution. The proposed system consists of a photocathode and regard to the preceding project (Wear-a-Watt)—in a number of a silicon sensor in a vacuum envelope with a transparent front aspects, including increased PV performance. The 9 window. This approach is simple, and offers a good signal-to- FEASIBILITY MIPS noise ratio, high spatial resolution, and a fast response time. TeraXplore—Exploring terahertz imaging technologies SMAC3P—Swiss miniature atomic clock The main objective of TeraXplore is to investigate the possibilities SMAC3P continues the building of the first miniature atomic of active, multicolor terahertz imaging in the 100 GHz to 500 GHz clock (MAC) with a functional, flat-form-factor physics package band for the inspection of composite materials and solar cells, and (PP). The targeted application is the integration of an atomic to study possible implementations of the THz detectors required. clock into a wristwatch for the Swiss watchmaking industry. As penetration depth and resolution depend on the frequency of Other potential applications include any that require an ultra‐ the THz radiation used, the use of multiple frequencies will give stable time base or frequency reference in a compact and richer information (“multicolor”). This can be achieved either by power efficient package. The flat-form-factor physics package sweeping a single monochromatic source, or by using several PP will be realized by combining low‐temperature co‐fired sources in parallel. The THz detector to be developed will be based ceramics (LTCC), smart integration, and MEMS atomic vapor on the “direct detection method”; that is, it consists of an antenna cell and planar waveguide technologies. The envisaged and an RF receiver. Different composite materials and photovoltaic SMAC3P demonstrator consists of an evaluation kit cells will be measured in order to evaluate the possibilities of demonstrating the performance of the flat-form-factor miniature multicolor and 3-D imaging. atomic clock, with a frequency stability better than 10-9 sec and an overall power consumption of less than 50 mW. muTish—Manipulation and characterization of micro- tissue Fast manipulation of cell clusters and micro-tissues, including classification, sorting, analysis, and monitoring, are of increasing importance in the pharmaceutical industry. The project muTish continues developments that began in 2015 and has the goals of pushing CSEM’s CellFactor technology toward smaller object sizes (100‒500µm) and of equipping it with a low-cost, fluorescence-based monitoring system. The envisaged demonstrator features high-speed image analysis for object classification and sorting; low‐cost fluorescence analysis for high‐speed quantification; and smart, multiwell plates with integrated biosensors—plates that enable the long- term monitoring of pH and lactate or glucose concentration at the location of the sorted objects. muTish’s technology addresses the important 3R policy (replacement, reduction, and refinement of animal testing), as this and similar ex vivo technologies will lead to the replacement of animal tests for toxicology screens in the pharmaceutical and chemical industries. HybSi—Integration and hybridization of silicon for “macroMEMS” HybSi 2016 aims at developing technologies for the hybrid integration of silicon-based components in high-precision micromechanisms at the macroscopic scale (macroMEMS). Given the importance of the watch industry in Switzerland and the current trend of integrating silicon components into high- end mechanical watches, the project focuses on the hybridization challenges typical of this industry. Further applications for macroMEMS technology are expected to emerge in the medical industry. In 2016, HybSi will mainly address wafer-level assembly techniques, additive manufacturing on silicon, and the molding of macroMEMS parts.

10 MiniNOB−Cooperative Sensor SoC for ECG and EIT A. Bischof, M. K. Augustyniak, Y. Zha, B. Schaffer, P. Persechini, P. Heim, C. Monneron, O. Chételat, E. Haenni A system-on-chip (SoC) has been developed in a 0.18 µm CMOS process. This SoC is at the heart of a miniaturized wearable system for the continuous measurement of several multi-dimensional physiological signals such as multi-lead ECG (electrocardiogram) and EIT (electrical-impedance tomography). The integrated functions are: communication and synchronization of cooperative sensors, voltage measurement and current injection for EIT, as well as multi-lead ECG measurement. Cooperative sensing is a patented CSEM’s approach for signal used for impedance measurement, a 3rd order high-pass wearables measuring physiological signals, such as filter was needed before any communication pulses can be bio-potential or bio-impedance. The advantage to the detected. In the digital TX part, data whitening is applied prior state-of-the-art technology (where a set of passive electrodes to Manchester encoding. For every 1000th bit, the start of a new is connected to a single measurement unit) is that a high quality frame is indicated by a violation in the Manchester code (“code signal can be measured with dry electrodes by using only one break”). Finally the data is pulse-modulated, i.e. data '1' is non-shielded (and possibly even non-insulated) conductive transformed into a positive pulse followed by a negative pulse. electrical connection. In addition, each sensor is running Data '0' is transformed into a negative pulse followed by a autonomously and on its own battery, which makes easy the positive pulse. sensing of additional signals, such as optical or acoustic signals. Moreover, adding more cooperative sensors to the system is linea pulse easy and makes therefore the approach scalable and pulse dual loop to lineb detect CDR configurable. hi-pass trigger 3rd order

pulse Manchester rx_data descrambler demod decode

commp pulse Manchester tx_data scrambler commn mod encode

Figure 3: Block diagram communication part. In the digital RX part, the detected pulses are demodulated, Manchester-decoded and finally descrambled. Code breaks Figure 1: Classical approach and cooperative sensors (MiniNOB). are used to synchronize to the data frame. In the MiniNOB system, which is designed to measure ECG The sensing part of the SoC is shown in Figure 4. The BSP and NOBP (non-occlusive blood pressure), four cooperative measurement chain consists of a pre-amplifier, a passive sensors are used (see Figure 1, far right). Sensor 0 acts as low-pass filter, an instrumentation amplifier, and an ADC. The master and collects the data from all other sensors prior to closed-loop gain (26 dB to 40 dB) and hi/low pass cutoff transmission through BTLE (Bluetooth low energy). frequency (0.67 Hz resp. 40 Hz) of the pre-amplifier are set by Furthermore a current is injected in order to control the external components. reference node (sensor 1) to ground potential. Sensors 1 and 2 IMP ADC ↓512 measure the body surface potential (BSP) and impedance (IMP) imp_vin Measurement ADC ↓512 SPI SPI signal, and send the data to the master through the interface bspimp_vip BSP communication channel. Sensor 3 injects a current and also ADC ↓64 bsp_vin Measurement sends digitized BSP measurement data to the master. The entire system is running on a single wire. The body is used as imp_vout Current impq_dac Injection impi_dac the return path. This is possible as the BSP, IMP and communication signals are located in different frequency bands Figure 4: Block diagram sensing part. (see Figure 2). The IMP measurement chain consists of a pre-amplifier with filter, a de-modulator, a passive filter, and an ADC. First the P in-band signal (49 kHz to 51 kHz) is amplified and out-of-band ~40Hz ~50kHz ~8MHz signals are suppressed. The purpose of the current injection f block is to deliver a modulated current in the 50 kHz band. It BSP/ECG/EEG/EMG IMP/EIT/GSR synchro/communication consists of a modulator, a filter and a voltage-to-current converter by means of an external resistor. Figure 2: Frequency band for BSP, IMP and communication. The SoC has been implemented in a 0.18 µm CMOS A system-on-chip (SoC) was integrated such that it can be technology. Samples are expected to be evaluated in the fall of configured as any of the four sensors. It contains two main 2016. blocks: communication and sensing. The communication block (see Figure 3) can be configured as either master or slave. In the latter case, a dual-loop clock and data recovery (CDR) block extracts the sampling clock from the incoming data pulses. Otherwise an internal reference clock is used. Note that since the communication pulses share the channel with the 50 kHz 11 Wear-a-Watt−Energy Autonomy for the Wearables J. Bailat, D. Dominé, M. Crettaz, P. Häfliger, P. Kohler, L. Löfgren, N. Badel, A. Bionaz, L. Zhou, R. Gentsch, P. Liechti, R. Rusconi, N. Blondiaux, G. Cattaneo, L. Sansonnens, L.-E. Perret-Aebi, P.-F. Rüedi, J. Deng, P. Heinstein, S. Nicolay, R. Pugin, M. Dadras, A. Luu-Dinh, R. Ferrini, M. Fretz, J. Kaufmann, M. Correvon, A.-S. Porret, J. Krauss, C. Bosshard, C. Ballif CSEM is developing ultra-low power solutions and flexible thin-film silicon photovoltaic cells to prepare for tomorrow's autonomous watches and other wearables. The Wear-a-Watt project demonstrator consists of a wristwatch which can measure the instant power produced by the flexible solar cells in the wristband. The evaluation platform and harvested data will be used to develop autonomous applications for the wearables. No chargers and no wires anymore: The multdisciplinary project Wear-a-Watt builds on the strengths of CSEM in its five research programs to create ultra-low power wearable systems which can live off the energy harvested from their environment without requiring recharging or wiring. The programs involved in this project cover (i) the nano-textured flexible substrate used for the PV cells, (ii) the production and characterization of the PV cells and their optimization for low-illumination conditions, (iii) the development of an ultra-low-power chip for power management and (iv) the engineering of the complete system. Figure 2: Lab prototpye (2015). In the first part of the project, lab prototypes were realized to assess the amount of energy available under different illumination conditions (Figure 1). A first watch prototype was then realized (Figure 2). The project's prototype is equipped with a wristband with custom-made flexible solar cells covering a total area of 9 cm2 on the watchband. The cells are connected to the measurement circuit through the watchcase. The red hand shows on the dial how much power is harvested by the PV cells in units of milliwatt on a logarithmic scale. Since this harvested energy depends indeed on the habits and the environment of the watch bearers, real life tests 'au porté' are best suited to help estimate the energy that can be collected. The PV cells are produced onto nano-imprinted flexible polymer foils. These transparent substrates were developed in-house and up-scaled to an area of 300 mm × 300 mm. The thin-film solar cells used in this project are deposited by plasma- enhanced chemical vapour deposition for the active silicon layers and by sputtering for the front and back contacts in Figure 2: Wearable prototype with thin-film PV cells integrated in the CSEM's new cleanroom facilities using processes developed wristband (2016). The cells are put on both parts of the plastic in-house. Shadow masks are used to pattern these flexible wristband and cover an area of 2.0 × 4.5 cm2. From indoor to outdoor solar cells to the required dimensions. the light intensity typically varies typically by 3 orders of magnitude which is why the milliwatt units are represented on a logarithmic scale An integration concept for the PV into the wristband has been on the watch dial (white and red tick marks) instead of the usual hour developed which gives mechanical stability and robustness to ticks. the wristband and cell assembly and ensures a good electrical In parallel, CSEM’s IP blocks such as the IcyTRX ultra-low- contact of the cells to the contacting lines. The contacting lines power RF transceiver, subthreshold microcontroller and a transport the current through the watchcase by a watertight power management unit are developed and integrated. feedthrough. Ultra-low power system for energy management and wireless communication has also been designed using in This wearable test device for the Wear-a-Watt project can the current stage leading edge commercial off-the-shelf accommodate PV cells issued from other PV technologies components. The watch can send via the low power provided they can fit the required dimensions and tolerances. It communication protocol 'bluetooth low energy' the amount of can therefore be used to evaluate PV cells from different energy harvested to a smartphone or a tablet for further data technologies in real life conditions. Based on the first steps analysis. presented here, autonomous systems will further be developed. The applications will target different fields: med-tech, advanced The resulting PV cells show excellent performance even at low watches, sports watches, and the broader field of the endpoints illumination levels. With the first demonstrator, indoor of the 'internet of things'. measurements showed that a power of a few tens to hundreds of microwatts is produced. This value can reach to 10 to 15 milliwatts in the sun (indirect exposure). This is considerably more than what can be obtained with mechanical energy harvesting opening therefore new functions.

12 ALS−MEMS Scanner for Assisted Laser Surgery S. Lani, D. Bayat, Y. Petremand, P.-A. Clerc, M. Amine, S. Ischer, J. Pierer, S. Grossmann, J. Kaufmann, Y.-J. Regamey, E. Onillon, R. Gentsch, P. Heck, S. Pernecker, P.-A. Beuchat CSEM has developed a new surgical tool prototype based on a high power laser for cutting tissues and/or coagulating, a MEMS deflecting unit and its associated electronics to position the laser spot; and a user interface for controlled trajectory generation. One way to reduce surgery costs is to reduce surgery time. It on microchannel and pneumatic actuation. Theoretically, a can be achieved by different ways: improved preparation, focus distance down to 2 mm could be achieved. experience or new tools. In this development, a new surgical The position of the laser beam is controlled using a state-space tool was developed. It relies on a high power laser capable of control algorithm running on a dSpace platform. The position of cutting tissues or bones, as well as performing coagulation of the main laser is evaluated by measuring the position of the bleeds or local optical treatment. Using laser in surgery visible laser with a camera (FISBA FISCam™, 2 mm diameter). presents many advantages like scars size reduction and fast The algorithm calculates the requested trajectory from the recovery. The main limitation to the application of laser surgery actual position of the laser, and converts it into an output signal inside the human body is the accuracy of the positioning of the feeding the micro coils and hence driving the micromirror. laser beam. So far it is only used in contact mode, hence touching the tissues to be removed. This enables very precise A prototype of the endoscope head was fabricated (Figure 4) and fine cuts, as seen in the eye surgery. using 3D printing. The optical design was performed with Zemax® software. To achieve laser surgery in non-contact mode, it is necessary to control the position of the laser beam, shined by a fiber tip of a typical size smaller than 1 mm. It is then mandatory to integrate an actuation mechanism and an accurate controller. The solution proposed in this project aims at realizing an endoscope integrating a high-power laser, a millimeter-scale camera for target observation and positioning control, and a MEMS based steering unit (Figure 1). Figure 2: Fabricated MEMS scanner on a ceramic package for performances evaluation.

Figure 1: Endoscope head design. The high power laser has a 3 µm wavelength to ensure a very high absorption by water, the most present molecule in the Figure 3: MEMS scanner with integrated focus. human body. A second laser at low power and visible wavelength (green), is mounted in parallel for the position control of the invisible high power laser. The associated optics are integrated to obtain a laser spot size on the target of 0.3 mm or 0.8 mm (two optical designs), depending of the field of work of the system, respectively of 2.5 mm or 7 mm. So far, only two low power lasers have been used, as the optics for 3 µm wavelength do not exist and shall be custom made, which is too expensive for this prototyping phase. Figure 4: Endoscope head prototype. The MEMS scanner is used for the fine positioning of the laser At the current stage, all components have been developed and beam. It consists of a 2 mm diameter mirror attached to a fabricated. Preliminary results confirm the simulated behavior. compliant membrane and based on silicon micro machining The endoscope head is currently under assembly (Figure 4) (Figure 2). The electromagnetic actuation is achieved by fixing and tests will follow shortly. a SmCo micromagnet on the compliant membrane (moving This strategic activity is performed in the frame of a magnet design), while mounting the device on a ceramic PCB multidivisional research program and CSEM would like to thank containing micro coils. The device is capable of +/-15° 2D the Swiss Confederation for financial support. mechanical tilting and a z motion of at least 50 µm. The final chip size is 2.5 × 3 × 1.8 mm. In addition, a second MEMS scanner (Figure 3) was fabricated to evaluate the possibility of adding a focusing system, integrated in the MEMS and based

13 GWAPO−Generic Wireless Autonomous Conformable Patch with Display C. Hennemann, J.-D. Decotignie, B. Perrin, P.-A. Beuchat, Y. Liechti, S. Gray, S. Generelli, D. Migliorelli, J. Disser, N. Glaser, F. Zanella, T. Offermans, N. Marjanović With the GWAPO platform, CSEM is offering a thin, miniature, flexible, and rechargeable wireless interactive sensor system, i.e. a smart patch. It combines energy sources (e.g. PV/OPV), with pressure and temperature sensors, electronics, displays, interactive components and antennas. Wearable technologies are proliferating, from smartwatches The energy source of the GWAPO prototype can be either a and activity trackers to hearing aids, virtual reality devices and thin film silicon-based PV cell or an organic solar cell (both implantable sensors. While the first wave of wearables developed by CSEM). Both energy sources are able to provide borrowed technologies from the mobile industry and was typically 150 µW with an active area of 2.5 cm2 and under consequently limited to rigid devices such as headsets and 1000 lux. An ultra-low power processor provides the necessary smartwatches, the second wave is more focused on processing capability for sensor acquisition including user technologies for sensors that may be worn almost anywhere on interaction, wireless communication using the CSEM icyTRx the body [1]. Current technologies are limited, in particular with radio chip, and display on the low power thin, flexible display. regards to their wearability and ease of use. Moreover, most of A thin-film pressure sensor was made with an ultra-soft PDMS the current products use bulky disposable batteries offering layer which was structured by imprinting on Ag-electrode limited autonomy. PET-foil using an inkjet printer. Static pressures from 1 mN/cm2 To address these challenges, CSEM has developed the up to 400 mN/cm2 were recorded. This corresponds to generic wireless autonomous conformable patch with display pressures from 0.15 mmHg to about 30 mmHg. The response (GWAPO), which consists of an autonomous, flexible and of the sensor to physiologic pressure levels is linear in the range. wearable platform with embedded sensors, electronics for A glucose sensor was also adapted with an expanded computation, display, rechargeable battery and renewable concentration range from 0.1 mmol to 30 mmol. power source (photovoltaic), and wireless connectivity, The antenna and the pressure sensors were printed on a including the antenna. The main goals of the project are to flexible substrate and integrated with the patch. Figure 2 optimally combine the building blocks, to develop the necessary provides a 3D view of the GWAPO patch before packaging. interconnection techniques and to integrate all components into Then, a specific waterproof coating, extremely robust against a single thin "patch". The resulting solution is a disruptive tearing and bending, is applied. platform for thin, miniature, flexible and rechargeable wireless interactive sensors and actuators that can be placed on body, as well as, on everyday objects or sports equipment. The current GWAPO prototype patch (Figure 1) is comprised of 3 layers: The electronic layer, incl. pressure and temperature sensors, energy management, processing and communication; the PV cell and display layer; and the flexible battery layer. The electronic layer is optimized for 3D flexibility using flexible PCB technology on which the integrated circuits are placed on “rigidified” tiles in order to reduce the chance of breakage. Several concepts for electrical interconnection have been studied, from conventional to hybrid integration techniques, where sensors and energy harvesters are printed and integrated into the GWAPO thin patch.

Figure 2: 3D view of the assembled patch. Flexible and wearable solutions such as GWAPO can support a wide range of applications such as the monitoring of wounds, the direct display of vital signs, and more generally, applications requiring embedded sensing and display. Additionally, the GWAPO platform can actually provide "real-time feedback loops capable of influencing our life style in terms of physical and mental activity, nutrition, hydration, promoting healthy habits and, in general” [2], enabling long-term multi-parameter Figure 1: Generic and autonomous wireless patch. sensing systems expected by physicians and patients.

[1] IDtechEx 2016 [2] A. M. Ionescu, "Smart wearable technology: enabling future prevention-based healthcare", ASA/SVV Medinfo 2(2014) 30

14 MS-MET−Integrated Inductive Charge Detection Sensor and Analyzer for Mass Spectrometry D. Schmid, L. Hofer, M. K. Augustyniak, D. Z. Bayat, S. Bitterli, S. Grossmann, A. Hoogerwerf, I. Kjelberg, V. Revol, P.-F. Rüedi, G. Spinola Durante, S. Widmer Mass spectrometry (MS) is a powerful analytical tool and the market is growing steadily. New components are needed to further push MS towards small, affordable but still powerful instruments. The MicroMS technology developed at CSEM provides nondestructive charge detection means (patent pending) together with a miniaturized standalone setup based on a linear electrostatic ion trap. Small, robust and high resolution instruments could profit from these elements in applications such as space, large molecules and nanoparticle identification, and ion mobility spectrometry. Today's MS instruments are either powerful (high sensitivity, high resolution), but complex and delicate or small and robust, but lacking high resolution and versatility. Having a small and truly robust analyzer and detectors could be a game changer. Existing commercial sensors fail to detect charges nondestructively, which would allow for higher mass resolution [ 1 ] or coupled further analysis of the , i.e. in sequential tandem-MS and they only work in ultra-low vacuum.

CSEM's MicroMS technology features a nondestructive low- noise charge detection sensor [2] (patent pending) and a test Figure 2: MicroMS linear electrostatic resonator, featuring the charge setup including a miniaturized 8-cm linear electrostatic ion detection unit in the middle, covered by an electric shield. trap [ 3 ], an electron impact storage ion source from Bern University's Space group, a 30 cm vacuum system with Furthermore, the detectors don’t' need cooling and even work turbomolecular pump for fast evacuation (down to 10-9 mbar) at atmospheric pressure, thus application in ion mobility as well as external data acquisition system (NI PXI) and custom spectrometers or for large molecules detection with high developed data analysis algorithms. background gas pressure is possible. The analyzer on the other hand is intrinsically robust and of low complexity and predestined for applications in harsh environment or space.

Figure 3: Measurement of Xenon, displaying the natural isotopes. Feasibility was confirmed with this setup. Current results: • Characterized with noble gas mixture (Ar, Xe), isotopes resolved

Figure 1: MicroMS linear electrostatic resonator, featuring the charge • Mass resolving power: 103 m/dm, expected to reach 104 detection unit in the middle, covered by an electric shield. • Limit of detection (103 extractions): 20 e- The charge detection sensor features multiple independent • Dynamic range: 104, potential to reach 106 inductive charge pick-up rings that can sense the mirror charge generated by ions flying through them. Thanks to CSEM's • Mass range: not restricted (limited only by ion source) expertise in ultra-low noise charge detection technology, a new As a next step, the MicroMS technology will be further integrated sensor could be developed that does not suffer from developed to reach higher sensitivity, and will be characterized noise limitations and with a limit of detection as low as 117 e-, with various test environments (different ion sources, compared to a LoD 3'100 e- for state-of-the-art solutions [4]. combination with GC and/or LC).

[1] D. Zajfman, et al., "High resolution MS using a linear [3] M. Dahan, et al., "A new type of electrostatic ion trap for storage electrostatic ion beam trap", Int. J. Mass. Spec. 229 (2003) 55. of fast ion beams", Rev. Sci. Instrum. 69, 1998. [2] M. K. Augustyniak, et al., "Sensor Interface for a Resonator-type [4] J. D. Alexander, et al., "Determination of absolute ion yields from Mass Spectrometer", in this report, page 128. a MALDI source through calibration of an image-charge detector", Meas. Sci. Technol. 21 (2010).

15 ECAM−Image Sensor with Stacked a-Si:H Photodiodes P.-F. Rüedi, P. Heim, J. W. Schüttauf, J. Bailat, G. Spinola Durante, K. Vaideeswaran, M. Dadras We developed an image sensor with a-Si:H photodiodes stacked over the pixels. It will be used in conjunction with a photocathode in a second phase for ultra-low light imaging. Applications include scientific imaging and night-vision systems. Image intensifiers are useful for a wide range of applications pixels with Nwell to p substrate photodiodes with a fill factor of and markets, including surveillance, medical imaging and 20% and pixels with a-Si:H photodiodes with different metal scientific imaging such as the rapidly growing field of contacting areas on the same chip for comparison purpose. fluorescence imaging. They are also used in night-vision Two types of junctions have been deposited: i-p and n-i-p. instruments. ITO

Traditional image intensifier systems combine a photocathode, a-Si:H a micro-channel plate, a phosphorous screen, a light guide and Top metal layer Top metal layer Top metal layer an image sensor. These multiple conversion stages introduce noise and a limited speed and spatial resolution due to the phosphorous screen. SiO2 The goal of this project is the development of a vision system Pixel circuitry Pixel circuitry Pixel circuitry for ultra-low light imaging with high photomultiplication gain which is much simpler than the above mentioned approach. Substrate The system, illustrated in Figure 1, consists of a vacuum envelope with a transparent front window. A photocathode Figure 2: Image sensor module principle. which is deposited on the inner side of the window converts Figure 3 shows a microphotograph of the chip covered with photons into electrons. These electrons are emitted by the a-Si:H and ITO. The areas with different metal contacting areas photocathode and accelerated by a potential difference of a few are clearly visible (right part of the pixel array with kV directly onto the silicon sensor, which is kept at ground 3 × 3 rectangles), as well as the area with photodiodes (left part potential. Each electron impinging on the image sensor will of the pixel array). generate a large number of electron-hole pairs, leading to a photomultiplier effect. Charges can then be collected by the The characterization of the chip should be available in early individual pixels of the image sensor. The photocathode and 2017. the image sensor need to be encapsulated in a high vacuum.

Photons

Photocathode Vacuum Electrons V Image sensor

Figure 1: Image sensor module principle. Whereas passivation and the several micrometer thick oxide are transparent for photons, they would stop electrons, thus preventing them from generating electron-hole pairs. To Figure 3: Microphotograph of the chip. circumvent this problem, we have deposited on top of unpassivated pixels a layer of amorphous silicon (a-Si:H). In In addition to image intensifiers, the proposed approach can each pixel, the a-Si:H layer is connected to the pixel circuitry also be used for electron imaging, such as vacuum imaging of through the top metal layer, as illustrated on Figure 2. A layer particles, electron microscopy, beam imaging and X-ray of ITO (Indium Tin Oxide) deposited on top of the a-Si:H forms applications. It also offers benefits for standard visible light a transparent counter electrode. imaging. Depositing photodiodes on top of the read-out ASIC enables close to a 100% fill factor, while freeing space in the [1] An existing CSEM image sensor with a pixels pitch of 14 µm pixel for more electronics as there is no photodiode any more has been modified to accommodate the a-Si:H layer. It contains in the pixel.

[1] P. Heim, F. Kaess, P.-F. Rüedi, "High dynamic range versatile front-end for vision systems", CSEM Scientific and Technical Report (2007) 25.

16 SMAC3P−Assembly and Functional Tests of a Flat Form Factor Miniature Atomic Clock L. Balet, J. Haesler, S. Karlen, S. Dasen, J.-A. Porchet, S. Lopera, T. Overstolz, B. Gallinet, D. Ruffieux, M. Lützelschwab, S. Lecomte The preliminary building blocks of a miniature atomic clock (MAC) physics package (PP) with a height of less than 5 mm were presented in the past years. CSEM presents in this paper the manufacturing and functional testing of the main MAC constituents. It has been shown previously that a height of less than 5 mm Vacuum encapsulation of the physics package remains one of for the physics package is requested in order to integrate a the biggest challenges to be solved for the MAC to meet the MAC in portable devices. Such a flat packaging is made low-power (minimal thermal losses) requirements. Different possible by a planar arrangement of the individual components sealing approaches have been evaluated and preliminary (cell, laser, and detector) with an optical connection by a planar vacuum encapsulation tests are ongoing (Figure 4). multimode waveguide (patent pending). The atomic vapor cell (Figure 1) is the core component of the MAC. Loading it with is obtained by a proprietary process based on RbN3 solution dispensing and UV- decomposition. The cell lifetime and intrinsic frequency drift could be drastically improved thanks to protective coatings.

Figure 4: Sealing test samples (left); LTCC cavity and cap (right). Driving the atomic clock is realized by a newly designed ASIC (Figure 5), offering highly improved frequency lock-in and temperature sensing capabilities, which are currently being tested and validated with representative MAC prototypes.

Figure 1: Wafer of 332 cavities (left) and functionalized cells (right). Light coupling from the laser to the atomic cell and to the photodetector is realized by a planar waveguide (11 × 14 mm) with three in- and out-coupling diffraction gratings (Figure 2). The fabrication process has been optimized in order to fulfill the requested yield and overall light transmission efficiency.

Figure 5: Test PCB (left) and ASIC micrograph (right). Functional testing of the MAC will be made on a test PCB platform equipped with a dedicated MAC PP socket (Figure 6). Driving the PCB and the ASIC is realized by a micro-controller with a LabVIEW® interface.

Figure 2: Planar waveguides with three diffractive gratings each. The different MAC components are packaged thanks to low temperature co-fired ceramics (LTCC) fabricated at CSEM Brazil, offering smart assembly solutions, 3D electrical routing, low thermal conductivity and vacuum compatibility. The LTCC cavity is obtained by co-firing more than 20 LTCC tapes with a final top waviness lower than 20 μm (Figure 3).

Figure 6: Test PCB platform with a dedicated socket for the MAC PP. Parallel and complementary developments for a ceramic based Figure 3: (Left) LTCC cavity (blue) and thermal holder (white); (right) MAC prototype are being conducted in collaboration with the Bottom of LTCC cavity with ball grid array (BGA) pads. European Space Agency (ESA) and VTT in Finland.

17 muTish−Tools to Monitor and Handle Medium Sized Biological Entities S. F. Graf, N. Schmid, R. Limacher, C. Beyer, I. Kastanis, D. Bösel, C. Hofer, S. Cattaneo, S. Generelli, L. Barbe, D. Migliorelli, D. Caminada, N. Glaser, F. Schleiss, M. Schnieper, H. F. Knapp, P. Steiert, M. Krieger, H. Heinzelmann A cell sorter and SmartPlate are being developed to monitor and handle medium sized biological entities, such as 3D human tissue models or cell clusters to fill gaps in high throughput screening for drug development and toxicity testing. The spheroid entities in a size range of 50 to 800 um can be characterized on-the-fly by real-time imaging, fluorescence detection and multi-frequency impedance analysis and be dispensed into multi-well plates, such as the SmartPlate where the solution in which the biological entities are dispensed is monitored for pH, glucose and lactate levels as indicator for the ongoing metabolism. Artificial microtissues or 3D cell clusters can be used as models for replacing, reducing and refining animal testings in drug development and toxicology. However, tools for reproducible and automated handling of such biological samples are hardly available, or are very expensive. Thus, CSEM combined its expertise in fluidics, optics, sensor systems, machine learning, printed electronics, and electro chemistry, to develop new tools to monitor and handle medium sized biological samples under the umbrella of the CSEM CellFactor-technology[1]. A cell sorter is being developed which analyzes unlabeled samples using imaging or impedance analysis while tagged entities can be Figure 2: Fluorescence module with optical setup and electronic board observed by fluorescence. Samples of interest can be with integrated digital lock-in amplifier. individually dispensed into a multi-well plate. Furthermore, The SmartPlate (see Figure 3) uses printed electrodes with printed electrochemical sensor are being integrated into a multi- conductive vias to monitor the samples in the well and read out well plate creating the SmartPlate. The SmartPlate records pH, the signals. The electrodes are biochemically modified with glucose and lactate levels in solution in order to monitor the stable functional layers sensitive to pH, glucose and lactate. metabolism of the samples over a long period of time for quality control. Within the cell sorter, samples with a size range of 50 to 800 um are moved by a pressurized sample container. An additional sheath flow is used to center and align the samples for the detectors, where samples of interested can be dispensed into a multi-well plate by a short cross flow. Remaining samples are moved towards a second sample container.

Figure 3: 24-well SmartPlate with printed and biochemically modified electrodes on the inside of the well contacted through condutive vias towards the outside to measure pH, glucose and lactate levels. Figure 1: Detection/sorting unit of the cell sorter where fluorescence The technologies described were designed in close contact detection and imaging take place. with our lead users hepia and FGen which work with The built-in detectors are aligned along the sample path within neurospheres and alginate beads, respectively. the flow cell (see Figure 1) and use CSEM would like to thank the Swiss Federation and the MCCS • High-speed image analysis processed on a GPU (graphical Micro Center Central Switzerland for their financial support and processing unit) hepia as well as fGen for their technical inputs. • Fluorescence detection in combination with a lock-in amplifier (see Figure 2) • Dual-frequency impedance analysis in combination with a lock-in amplifier

[1] S. F. Graf, et al., "Table-top classification and sorting system for small model organisms", in this report, page 54.

18 HybSi—High-precision Mechanisms at the Centimeter Scale based on Silicon Hybridization F. Barrot, F. Cosandier, G. Musy, J. Kruis, S. Droz, L. Giriens, W. Glettig, S. Lani, M. Liley, Y. Petremand, A. Bionaz, P.-A. Clerc, M. Amine, S. Ischer, R. Smajda, G. Voirin, E. Scolan, P. Niedermann, M. Despont, M. Dadras, G. Andreatta High-precision mechanisms at the centimeter scale can greatly benefit from the high-precision micro-structuration of silicon and its good mechanical properties. This approach opens up new opportunities for key Swiss industries such as the watch and scientific instrumentation industry. However, the handling and assembly of silicon is a challenge due to its brittleness. Combining its expertise in the domains of precision mechanisms and micro- manufacturing techniques, CSEM is taking up the challenge to become the Swiss competence center for the design, manufacturing, assembly and characterization processes of hybrid silicon-based mechanisms. filter [2] and the use of a micro-pump [3] has been designed and produced (Figures 3 and 4).

Figure 1: Silicon-based micro-platform targeted for the assembly and characterization of MacroMEMS. Inherited from the micro-electronics sector, the batch micro- structuration of silicon enables the design and production of mechanical parts with a micrometric precision at the centimeter scale. At a scale between MEMS and classical mechatronics, this approach, referred to as MacroMEMS, can be used for the design of precision mechanisms targeted for different industrial Figure 2: Interfacing and assembly of two silicon parts using precise fields such the watch industry (new watch mechanisms) or 3D printing on silicon. scientific instrumentation (micro-gripper, precision XY tables, precision force sensor). Figure 1 shows a silicon-based platform that can be used for micro-assembly and characterization of such systems; the platform itself is a combination of three different silicon based mechanisms designed and produced at CSEM [1]. To enhance the functionalities of MacroMEMS parts, overcome the 2D structuring limitations of silicon processing and propose a cost effective alternative to wafer level assembly (Si/Si, Si/metal), CSEM believes that precise 3D printing on silicon is Figure 3: Precisely 3D printed parts comprising mechanical, fluidic and a promising approach (Figure 2) that can open up novel sealing functions, combined with a high-precision silicon filter. business opportunities. Following this approach mechanical, electrical, optical and fluidic interfaces can be designed and added to silicon parts; these functionalities can even be mixed together, offering a high level of integration. Some tests have been conducted with different materials and adhesion promotors. A microfluidic demonstrator combining 3D printed microfluidic channels, 3D printed seals and 3D printed mechanical interfaces (including precise positioning and assembly functions) with a high-precision silicon-based micro- Figure 4: Working principle of the micro-fluidic filtering system.

[1] F. Cosandier, et al., “A three device silicon based platform for [3] J. Goldowsky, et al.,”Turbisc Pump with an Integrated micro-assembly and characterization”, EUSPEN 2016. Flowsensor for Closed-loop Operation”, CSEM Scientific and [2] R. Pugin, et al., “IPoSiM – Integrated Porous Silicon Technical Report (2014), 112. Membranes”, CSEM Scientific and Technical Report (2013), 20.

19 TeraXplore−Single Detector for Multi-color Terahertz (THz) Imaging E. Le Roux, O. Vorobyov., C. Beyer, V. Revol, G. Voyrin, J. Levrat, A. Bischof Active multi-color Terahertz (THz) imaging technology has a very large potential for inspection of composite materials. In this project the possibilities of the THz technology were explored on a test setup using off-the-shelf THz source and detectors. Furthermore, a single pixel detector was implemented in 0.18 µm CMOS technology. THz imaging technology is becoming more and more important Two different types of antennas were designed (see Figure 2). in non-destructive material inspection and quality control as it The patch antenna can be integrated directly using the chip’s has advantages over other technologies like microwave, metallization layers, which leads to a very short connection to infrared, or x-ray. It provides good sensitivity, penetrates the rectifier. However as the maximum thickness of the electrically non-conductive materials, is safe to use and at the dielectric is limited to 6 um, it results in a very narrow band same time can provide spectral information. Multispectral THz antenna. In order to be able to support multi-spectral imaging a imaging can reveal features that are not present in a dedicated antenna tuned to each center frequency is needed. monochromatic image. This technology has the potential to In the current implementation, the supported frequencies are cover a wide range of applications: 375 GHz, 425 GHz and 475 GHz. The test chip has been implemented in a 0.18 µm CMOS technology. Samples are • Analysis of chemicals in powder and tablet form expected to be evaluated in the fall of 2016. • Investigation of moisture distributions • Distinguishing crystalline and amorphous structures • Determining the layer thicknesses of multi-layer systems • Detection of food contaminants or foul products • Medical diagnosis (e.g. detection of skin cancer) • Identifying flaws and cavities in non-conductive Figure 2: Patch antenna (left) and helix antenna (right). components In addition, an off-chip helix antenna was designed, which can Although THz imaging has demonstrated its potential for support the entire frequency range from 300 GHz to 500 GHz. non-destructive inspection in previous academic work [1], the Prototyping was done using 3D printing technology. This can application is limited due to the lack of multi-color pixel array be done by either metal printing directly on the outside of a detector. In the course of the MIP project TeraXplore, a single cylinder, or by wrapping a 70 um wire around a 3D-printed THz detector was developed based on the “direct detection cylinder (see Figure 3) method”, i.e. the detector consist of an antenna and a (CMOS based) RF receiver (see Figure 1). The antenna and rectifier were integrated in a test chip, the readout was implemented with discrete components.

antenna

amp demod filter Figure 3: 3D printed antennas. rectifier For the connection of the antenna, the best option found is to deposit gold directly on the chip using aerosol jet, place the Figure 1: Detector architecture. helix antenna and then cure it at 200°C. In addition the helix plastic part of the helix antenna is fixed with glue. Due to the lack of devices for operation up to 500 GHz, dedicated rectifiers have been developed. The following In parallel to the detector development, a test setup was built options were considered: Shallow-Trench-Separated (STS) based on off-the-shelf components, which allowed to evaluate Schottky diode, Poly-Gate-Separated (PGS) Schottky diode the potential of multi-color THz imaging technology for and diode-connected MOS transistor of different sizes. The inspection of composite materials and photovoltaic cells. only way to verify the performance is through measurements, Detailed results are expected this fall. thus all these options were implemented on the test chip.

[1] C. Stoik, "Non-destructive evaluation or aircraft composites using teraherz time domain spectroscopy", PhD thesis, Air Force Institute of Technology (2008).

20 MICROSYSTEMS Michel Despont Today, MEMS technology is used almost everywhere in bioscience, and materials science to drive innovation in MEMS and devices that sense and monitor our environment and help us in to make new devices and microsystems possible. many apects of our daily lives. It is used to fabricate a large Looking back a few years, the MEMS program was concentrating variety of devices, and has attained a market size of USD 10 mainly on the elaboration of micro-parts for mechanical watches billon. As an example of how MEMS technology has penetrated and integrated optoelectronic devices. Although these topics are our daily activities, today’s cars can make use of over 30 of great importance to Swiss industry, the spectrum of different devices based on MEMS-related technology for technologies being developed within the program must address a monitoring and controlling engine functions, safety, navigation, broader field of applications. Over the last few years the program and passenger comfort. Similar trends can be seen in portable has thus taken several new initiatives in order to diversify into new devices such as smartphones, the most recent models application fields, including instrumentation, aerospace, and containing a dozen MEMS-based sensors. Global technology medical devices, all of which reflect the strength of the Swiss trends like the Internet of Things (IoT) require the more and industrial ecosystem. more massive use of connected sensors and promise large new markets for MEMS technologies, including in building MEMS at CSEM is focused on: automation, healthcare and the life sciences, consumer goods 1) Innovating for mechanical watches at the cross-over between and home automation, transportation, industrial and high-performance materials, advanced manufacturing, and environmental monitoring, security, and retail and logistics. All innovative design. of these applications are looking for autonomous, low-power, small-form-factor, low-cost sensor and actuator devices and 2) Excelling in microsystem technology for harsh environments, for thus find MEMS technology very attractive. a broad range of applications ranging from medical to aerospace. MEMS processes are often derived from those used in the 3) Developing key technologies in the field of infrared sensing and fabrication of microelectronic circuits, so one could be forgiven imaging. for thinking that MEMS-based devices can be manufactured 4) Enhancing the technology portfolio in optical MEMS and using low-cost, high-volume fabrication techniques similar to optoelectronic device assembly. those achieved for CMOS technology thanks to process standardization. However, in reality, the development and 5) Integrating soft materials into microsystems, thus employing a fabrication of different types of MEMS often requires a broad better interface for applications in medtech and biology. spectrum of processes that is much more diverse than that used in microelectronics. On one hand, this is due to the extreme variety of physical effects used in MEMS devices, which means that a specific set of processes and materials is required for each type of device. On the other, the market is highly fragmented, with large differences in requirements regarding cost, time to market, form factor, operating environment, and performance. It is therefore extremely difficult to have a common technology platform for all types of MEMS devices, and customized MEMS and related packaging technologies are often developed for each specific application. Moreover, as MEMS devices can have mechanically or chemically functional structures and are exposed to their environment—which is often harsh—reliability and lifetime need particular care. Swiss industry—in particular SMEs—has recognized the potential of integrating MEMS into its products, as such integration acts as a strong differentiator, and many SMEs are global leaders in niche markets. For these companies, it is crucial to fill the pipeline of innovation from fundamental research to the industrialization of new technologies so that they are able to continue to offer innovative products based on A selection of developments pursued at CSEM in the Design & MEMS technology. CSEM has a track record in bringing MEMS Process activity. From upper-left to lower-right: metal-based additive and packaging technologies to market and is uniquely manufacturing on silicon, a 2-D micro-mirror for endoscopic positioned to be an essential partner in the drive to bring ideas applications (laser surgery), a MEMS UV laser pointing mirror for to market for many Swiss high-tech SMEs active in MEMS. atmosphere monitoring, 3-D printed ceramic composite mechanical Moreover, CSEM is intensifying its pursuit of cross-disciplinary microcomponents, a TCD device for MEMS-based gas solutions, using the demand from the fields of nanotechnology, chromatography, and a plasmonic structure for an IR filter.

21 Long-term objectives embedded self-testing, they open up additional applications for The global objective of the MEMS program is to establish sensors in harsh environments, such as in the medical field. In MEMS device microfabrication and packaging technologies for addition, miniaturization in optoelectronics continues to be an CSEM's partners and to offer to Swiss and international innovation driver, from devices to architecture. industries a full product-development platform from feasibility The valorization of the MEMS program is leveraged through demonstration of new device ideas to industrialized, qualified CSEM's multidisciplinary capabilities and system approach and is fabrication processes including the production of mature naturally strongly linked to the research programs Systems (novel devices in small volumes. Therefore, the activities of this mechanical watch mechanisms, precision mechanics, or miniature program are aimed at continuing to excel and to build up new atomic clocks), Ultra-Low-Power Integrated Systems (ASICs), and competences in MEMS technology—in particular for application Surface Engineering (chemical and biosensors). This adds unique fields such as watch technologies, scientific instrumentation, value as all aspects of a system can be included early in its optoelectronics, and medical device technology—with a strong development. focus on packaging, reliability, and cost reduction for demanding applications. Highlights Today’s infrared (IR) filters are Bragg lattices of dielectric To address the demand for MEMS technology, the program materials. These devices have proved to be expensive and have comprises two main activities: (1) Design & Process and (2) several drawbacks, such as angular dependence, bulkiness, and Integration & Packaging. incompatibility with array arrangement. An array arrangement is a key requirement for hyperspectral imaging. At CSEM, we have The Design & Process activity deals with the development and developed a new type of Fabry–Pérot IR filter using MEMS industrialization of specific MEMS in a wide spectrum of technology. Mirrors are made of metal films integrated onto a applications. The global objective of this activity is to maintain silicon microporous membrane. Our characterization has shown a state-of-the-art platform capable of developing and fabricating superior optical fineness and improved transmission as compared reliable MEMS products, from prototypes to small volume to commercially available filters. Moreover, the technology has the production or technology transfer. This platform, at the service potential to significantly reduce costs, to be less angle dependent, of Swiss SMEs and industries, consists of a fully equipped and to be suitable for array configuration. The last of these is MEMS fabrication clean room, a reliability laboratory, and an achieved by the fact that the wavelength to be filtered is defined by R&D infrastructure focusing on the pursuit of advanced and the geometrical design of the membrane’s micro-porosity, enabling novel MEMS-based devices. Today, development is underway each membrane to have a different geometry resulting in each filter in the fields of watches and timekeeping, instrumentation and having a different absorption spectrum. aerospace, and health, biotech, and lifestyle. These are domains in which Swiss industry is highly successful at a global level and which have a large impact on the Swiss economy. For industrial applications, reliability is of the utmost importance and CSEM's reliability and microstructure characterization capabilities are a powerful tool for supporting process development aiming at eliminating defect- and stress- generated failure modes and performance limitations. The continuous improvement and systematic documentation of development and production process flows within ISO-9001 ensures the successful exploitation of the technology platforms developed. This enables a systematic approach to MEMS development from feasibility demonstration, via prototyping, to industrialization.

The Integration & Packaging activity focuses on (i) the development of new integration platforms for CSEM’s customers, and (ii) the realization of new products based on these platforms. The chosen approach allows CSEM to serve a large number of customers in different application fields and markets. Its primary objectives are the integration of active MEMS dies, sensors, and actuators into prototype systems and products for different applications and markets. The activity A selection of developments pursued at CSEM in the Integration & addresses today’s global packaging challenges in sensor Packaging activity. From upper-left to lower-right: long-term- platforms for medical and environmental monitoring, integration implantable laser source for a cochlear implant, low-temperature of measurement solutions for harsh environments, and hermetic sealing (<100 °C) of glass to silicon, nano-foils-based optoelectronics. The integration of microsystems continues to microjoining, integration of a tactile module on a “soft-skin”, LTCC- be a key element of many future high technology application based package of a miniaturized atomic clock, and microassembly of areas. Hybrid integration technologies—from embedded silicon MEMS-based ion pickup electrodes on CMOS. in polymer to M(O)EMS—find broad uses in markets such as healthcare and energy. Combined with hermetic sealing and

22 Miniature atomic clocks providing high accuracy at small size (<1 cm3) and low-power consumption (<100 mW) have generated increased interest for applications in handheld communication and navigation devices. At CSEM, we developed a MEMS-based rubidium vapor cell for atomic clock applications that is suitable for low-cost batch fabrication. The stability and lifetime of such a device depends inherently on the control of the gas mixture inside the cell. Hence, hermeticity and the absence of gas diffusion through the material that forms the cell are both key. We have developed a sealing technology and a thin film serving as a chemical diffusion barrier that improves the stability and the lifetime of the cells by orders of magnitude. With this development we achieve a lifetime expected to be in the range of 10 to 20 years (@100°C) and a frequency drift ≤10-11/day. This is the first time that a MEMS-based atomic clock matches industrial specifications. Helping to create economic value through the creation of start- ups is also part of CSEM’s mission. Recently, the start-up SIMPLInext was founded to commercialize novel, thin, microporous, silicon nitride membrane, multi-well inserts as cell culture supports for in vitro cell biology, pharmacology, and toxicology. SIMPLInext is positioning its products for in vitro testing, which is a fast-growing field given the implementation of more and more stringent government regulations in the field of animal testing. The development of this MEMS-based disruptive technology and the preliminary validations—which are the very foundation of the start-up—took place at CSEM.

23 A MEMS-based Gas Chromatograph Front-end for a Miniature Spectrometer A. Hoogerwerf, G. Spinola Durante, E. Scolan We have made all the key components of a miniature gas chromatograph: the pre-concentrators, different gas separation columns and detectors. All components have been designed for low temperature die-to-die assembly and connections to conventional GC tubing have also been included. The development is one step forwards to truly portable gas analysis instruments. The miniaturization of gas chromatographs (GC) has many Vapor Deposition (MVD) are very suitable for the deposition of advantages, amongst which the reduction of power needed to uniform layers in structures with extreme aspect ratios and heat the system and the reduction of the carrier gas have been used to deposit both aluminum oxide and a silane consumption. We have developed a GC that will function as a monolayer on the surfaces of column channels. front end for a mass spectrometer for space applications. The The TCD consists of a total of ten resistors, suspended over results of the project can also be used towards the portable gas two independent flow channels. This allows the TCD to analysis systems. measure differentially between a reference flow and a The schematic diagram of the GC is shown in Figure 1. The separated sample flow. Two resistors in each channel allow the dashed structures in this figure are the MEMS components, the connection of the resistors in a Wheatstone bridge. The three blue lines below a device indicate that it can be cooled, and the additional resistors in each channel allow the measurement of red ones, heated. The sample gas is drawn into the cooled pre- the flow using a differential heat measurement. Each resistor is concentrator by a vacuum where it is adsorbed. Once sufficient defined in a platinum layer sandwiched between two isolating material has been adsorbed, the sample gas and vacuum LPCVD silicon nitride layers. valves are closed and a carrier gas is led to the pre- An especially challenging task of the project has been the concentrator and into the column. The temperature in the pre- development of fluidic connections to the external world and concentrator is abruptly increased to obtain a sharp desorption between the different devices of the GC. The column can be peak of the sample material into the column, where it is heated up to 330°C, a temperature that the fluidic connections separated. The separated sample is then analyzed by a must be able to handle. At the same time, some of the column Thermal Conductivity Detector (TCD) that compares its coatings cannot withstand temperatures over 250°C, limiting conductivity with that of a reference gas. The reference gas is the bonding temperatures. The selected method has been the the carrier gas whose flow has been reduced by a flow restrictor Au-Sn liquid phase soldering of miniature tubes to specially to the same level as the gas that elutes from the long gas made gold coated silicon structures. Once this solder has column. molten at approximately 280°C, it absorbs the gold on the Sample gas Inlet Vacuum silicon structures, increasing its melting temperature to over Pre-Concentrator 350°C. All silicon structures are gold coated and are connected Flow To pump restrictor TCD + flow Carrier Pressure To MS Gas regulator meter using thermocompression bonding at temperatures under GC Column Flow restrictor 250°C. The bonded gold is a noble metal that will not interact

Standby with the sample gases and the thermocompression bond yields flow a hermetically sealed connection between the two wafers.

Legend MEMS All GC components have been successfully fabricated and are Gas Flow Hot gas Flow Gas valve component now being tested for their chemical performances prior to a Gas valves operated in parallel complete assembly. Figure 1: Schematic diagram of a gas chromatograph. The pre-concentrator is a small cavity made of silicon and glass with metallic feedthroughs. The metallic feedthroughs connect to silicon pillars in the cavity which can be heated resistively to create a sharp desorption peak. The entire pre-concentrator will be mounted on a thermo-electric cooler to lower its temperature during the adsorption phase. The cavity of the pre-concentrator is filled with a commercial Tenax® absorbent. (a) (b) (c) The column consists of a silicon-glass sandwich, with a long Figure 2: Pictures of the different components of the GC: (a) the pre- serpentine channel dry etched into the silicon. The dimensions concentrator, (b) the column, and (c) the TCD. of the channel are 100 μm width, 250 μm depth and up to 4 m This work has been funded by ESA contract AO/1-7381/NR-01. length, which is similar to the smallest commercial columns. The cross-section of the channel is rectangular, which presents a challenge for the deposition of the stationary phase. The thickness of stationary phase must be uniform, since thickness variations of the stationary phase result in variations of the time substances are retained. This retention time variation results in peak broadening, making it more difficult to separate different species passing through the column. Recently developed techniques like Atomic Layer Deposition (ALD) and Molecular

24 A MEMS UV Laser Pointing Mirror for Atmosphere Monitoring A. Hoogerwerf, D. Z. Bayat, B. Timotijevic, V. Revol, T. Burch A MEMS mechanism is being developed that allows a tip-tilt, large angle (+/- 15° optical), actuation of a ultra-violet laser mirror. The aim of the development is a scientific space mission, but the design can be used for other applications that need a steerable mirror for the pointing of a high energy laser beam. The goal of the Extreme Universe Space Observatory (EUSO), mirror a steering mechanism. Actuating the mechanism in the to be installed on the International Space Station (ISS), is to X- and Y directions by two voice coils generates a tip-tilt detect about 103 Ultra-High Energy Cosmic Ray (UHECR) movement of the mirror. The mirror can be oriented in two “events” and produce, for the first time, a large signal statistical directions with a +/- 15 degree angle. The angle will be all-sky map of the distribution of the arrival directions of the measured for a precise closed loop control feedback. highest energy cosmic rays with angular resolution close to 1 degree. EUSO[ 1 ] will use the Earth’s atmosphere as a calorimeter particle physics detector, measuring the ultraviolet fluorescence produced by the air molecules excited by the UHECR induced extensive air shower (EAS). The EUSO ultraviolet telescope has an aperture of 2.5 m, a field of view of 60°, and will be operating in the 300-400 nm wavelength range.

Figure 2: The deformation of the silicon mechanism that converts a linear actuation in a tilting movement of the mirror. A full-scale functional model of the mechanism has been fabricated and assembled, with the primary goal to verify the functional parameters of the device to compare them with the simulation results. The manufacturability of the device could be demonstrated and a first model could be assembled with glue. The current focus of the fabrication is on the assembly of the device with pressure fittings for space requirements compatibility. Figure 1: The EUSO concept. EUSO will be equipped with a dedicated Atmospheric Monitoring (AM) system consisting of an infrared camera and a LIght Detection And Ranging (LIDAR) device, since the intensity of the UV light is strongly affected by the transmittance and scattering characteristics of the atmosphere around the shower. The LIDAR is composed of a transmitting and a receiving system. The transmission system comprises a Nd:YAG laser, whose the third harmonic wavelength at 355 nm is used and a pointing system to steer the laser beam in the direction of the triggered EAS events. The receiver of the laser backscattered signal will be the EUSO telescope. The pointing system of the LIDAR consists of a 3.5 mm diameter quartz UV mirror with an optical aperture of 3 mm, Figure 3: Functional model assembled from precision silicon sandwiched between two silicon MEMS structures. The mechanical parts. minimum size of the mirror is determined by its ability to The work has been funded by the Swiss Space Office under the withstand the high energy pulses of the UV laser. The silicon PRODEX programme. structures, consisting of planar springs, form together with the

[1] J. H. Adams,.et al., "The JEM-EUSO mission: An introduction", http://adsabs.harvard.edu/abs/2015ExA....40....3A.

25 3D Printing for Advanced Manufacturing S. Lani, A. Bionaz The 3D printing technology called UV stereo-lithography (SLA) has been evaluated for the realization of high precision prototypes and for the hybridization of material and fabrication technology. The aim is to form unique components with a high level of complexity and create new opportunities of development. This technology offers a new methodology of product development by enabling a rapid assessment of the feasibility of a design. Additive manufacturing, also known as 3D printing, is the example be used to fabricate lattice structures that form method of fabricating an object by adding only the material lightweight but resistant components. Two examples are needed, without using a mold or a mask. Several countries are presented in Figure 1 and Figure 2 which are respectively a currently massively investigating the development of the sphere with a 0.4 mm lattice made of a polymer-ceramic different 3D printing techniques, estimated to a total higher than composite, and a C letter made of polymer with 0.15 mm 30. In 2013, President Obama said that "3D printing […] has tetrahedral lattice. To achieve the realization of hybrid the potential to revolutionize the way we make almost components, a substrate or an object that have received a everything". It is certainly very optimistic to say that it will surface treatment for adhesion promotion, can be loaded on the replace any fabrication technology so far, but 3D printing is build plate. A fine alignment system is currently under already changing the way we do R&D and prototyping. And it investigation. will move forward to the way we develop products. Even if CSEM cannot compete on the development of 3D printing systems with centers receiving funding of several tens of millions dollars, it can still be very efficient in niche markets with high added value components. For several years, CSEM has been investigating the application of 3D printing to silicon based microfabrication. The objective is not only the hybridization of material but also the combination Figure 1: Different ceramic composite mechanical components (left); of fabrication technologies like semiconductor or MEMS ceramic composite sphere with a 0.4 mm lattice (right). microfabrication, glass structuring, electro or electroless plating, Different hybrid components can be achieved with this surface engineering and 3D printing to obtain components with technique, but a very promising one is a microfluidic device improved performances or new functionalities. composed of different materials. Here, we are proposing the In an early step, several techniques like UV stereolithography fabrication of compact and highly integrated fluidic systems (SLA), fused deposition modelling (FDM) and selective laser made by 3D printing directly on a silicon or glass substrate sintering (SLS) were evaluated. SLA appearing as the most already processed. In Figure 3, a first demonstrator is shown promising of these technologies, it has been thoroughly which consists of a 3D-printed microfluidic system with investigated in 2016. connectors built on a glass substrate. The channel diameter is 0.25, 0.5, 0.75 or 1 mm. The 3D printing system available at CSEM is based on a DLP videoprojector with the UV filter removed in order to achieve the selective cross linking of a UV sensitive resin. A 3D CAD design is sliced in layers of 0.01 to 0.1 mm. Each layer is then projected on the build plate or a substrate to fabricate the components layer by layer and without any mold. The used material is a photo crosslinkable polymer, which can be mixed with an inorganic material like ceramic (Figure 1). Some of the material parameters are given in the table below. Figure 2: C-shape lattice structure. Polymer Hardness Max. operating temperature

High resolution 75 shore D <100°C

Soft Shore A 65 <100°C Shore D 19 Figure 3: 3D-printed fluidic system on glass substrate (left); CAD Hard 75 shore D <225°C design of the 3D-printed part (right).

Ceramic - >1000°C if fired composite

This technology is capable of producing prototype or functional parts, depending of the material used, with an accuracy up to 10-20 µm and a minimum detail size down to 50 µm. It can for

26 Novel Microfabricated Infrared Optical Filters R. P. Stanley, B. Timotijevic, L. A. Dunbar We have designed and fabricated narrow-band, optical filters using a simple silicon technology. The advantage of these filters is that (a) they can be made in a matrix with different central wavelengths and (b) their central wavelength can be tuned post-processing. Some of the key applications include environmental monitoring, security cameras, medical analyzers. The simplest narrowband optical filter that can be fabricated consists of two metal layers separated by a spacer. This Fabry- Perot (FP) structure is straightforward to fabricate and low cost, but they have a few important limitations. Firstly, the maximum transmission is limited by the intrinsic absorption in the metal layers. This limits the combination of transmission and linewidth i.e. narrow linewidth filter has a poor transmission and vice versa. Secondly, after fabrication the position of the center wavelength cannot be tuned, creating yield problems if the spacer layer is not homogeneous. Thirdly, it is difficult to create a matrix of filters with different central wavelengths without Figure 2: Fabricated optical filter with 50 nm of Au as a metal layer and varying the thickness of the spacer layer which in practice 5 µm of crystaline Si as a spacer layer. Hole diameter is 2.2 µm and the period is 2.5 µm. prohibits their fabrication due to the added complexity. Finally, in the infrared, metal filters are unpractical because a metal The filters were measured in transmission using a MCT layer thin enough to transmit some light, would be so thin that detector in a Fourier transform infrared spectrometer metal could not be deposited as a continuous film. microscope (Bruker-Hyperion). The FP filter measured has a central silicon spacer of 5 µm. There is a 50 nm Au layer on It is possible to overcome these drawbacks by using small both sides. The hole diameters are 2 µm and arranged in a apertures in metal and spacer layers as shown in Figure 1. The hexagonal lattice with a period of 3.6 µm. Figure 3 shows a apertures allow for simultaneously high reflectivity and good typical example of the transmission properties of the filter. The ratio between transmission and absorption. This increases the two FP peaks have approximately 10% transmission and a 1.5% figure of merit for metal FP filters, particularly at infrared linewidth. Ideally a metal / silicon / metal FP in this configuration wavelengths. In addition, when a porous layer has features having a similar linewidth would have a transmission of only much smaller than the wavelength then its effective refractive 0.2%! Thus showing that we have a factor of 50 improvement. index varies with the porosity. If the porosity is two dimensional, then it is rather easy to vary the porosity in-plane though processing (mask design).

Figure 1: Basic filter consists of continuous metal membranes with apertures and a solid optically transparent spacer layer with holes. There are few simple design rules which should be met when engineering these optical filters. The metal layer should be continuous to allow a high reflectivity. The spacer layer should Figure 3: Measured transmission of the FP optical filter made from a be transparent in the IR and porous such that its effective index 3.6 µm period hexagonal array with a 2 µm diameter hole size. can be tailored by varying the porosity. Silicon and gold are well We have designed, fabricated and tested FP optical filters using known microfabrication materials that can be easily processed gold porous mirrors and a silicon spacer layer. Traditional metal to meet all these requirements. / spacer / metal FPs without apertures could achieve the same Microfabrication follows a straight forward process consisting of linewidth but this would come at the cost of 50 times lower a photolithography, dry etching and HF release. Figure 2 shows transmission. In addition, these filters have a great potential in a close-up SEM of a filter made of 5 µm Si spacer layer possibility of the post-process engineering of the central metalized on both sides with 50 nm of Au. wavelength and in making the filter matrix with different central wavelengths.

27 How Robust are MEMS for Space Applications? I. Marozau, M. Lahti ●, D. Vogel ●●, F. Souchon *, O. Sereda Micro Electro Mechanical Systems (MEMS) have proven themselves in Earth-based applications such as automotive, medical, displays by showing outstanding performance and reliability figures. In space, MEMS have a large potential in applications like communication, navigation, Earth observation and scientific mission. In particular, MEMS can be utilized for fostering new types of scientific missions and instruments; reducing size, mass, cost, and time from mission conception to launch; increasing performances, reliability and redundancy of certain components. An approach for MEMS reliability assessment is being developed by a consortium of European characterization centres led by CSEM with an aim to develop a standardization methodology and Technical Memorandum for the reliability assessment of MEMS products for space applications. The dramatically lower mass, the lower-power consumption, mechanical movement of MEMS parts, and application-specific the smaller volume, and the possibility of tight integration with integration circuit [1]. A scheme of the testing plan is shown in electronics, the field of MEMS offers new functionality and the figure below. performance advantages, but also brings new challenges, In order to test and prove the established reliability assessment particularly in the fields of testing and qualification. Today, only methodology, capacitive MEMS accelerometers from three a few MEMS components have been or are planned to be used different suppliers were tested. This also allowed to perform in space applications. Despites the growing interest for this new their comparative evaluation and to identify suitable MEMS technology for space and the great reliability figures shown by components for their use in space application. The selected earth-based sensors for application, specific space MEMS accelerometers were represented by ceramic- and plastic- components have a low technology readiness level. One packaged devices. Packaging type has a strong influence on important reason for this low technology readiness level is the the pressure cycling behaviour: ceramic-packaged devices lack of possibility to assess the reliability of MEMS component have passed the tests with no failures, whereas plastic- in a standardized fashion and the lack of appropriate standards packaged ones failed at a certain overpressure limit. All devices for qualification of MEMS components on which the industry showed a high robustness in thermal tests. Chip soldering could base themselves for future development and space quality was identified to be the main reliability limiting factor in usage. this case. Mechanical tests revealed that the predominant The main aim of the present activity is to establish a Technical failure mode was detachment of wire bonds from bonding pads. Memorandum for the standardization methodology for reliability Filling the device package interior volume with a viscous gel assessment of MEMS products. The methodology is to be material can significantly improve the mechanical shock followed in evaluation of the product’s capabilities as required resistance. Radiation endurance tests showed that only one for space applications and thereby to anticipate, as far as type of devices exhibits failures at high gamma or proton possible, component behaviour during reliability testing. radiation doses (≥50 krad). The most probable origin for the Therefore, the aim of such testing is to overstress specific failures is ionization and displacement effects in application- characteristics of the component concerned with a view to the specific integration circuit components. The performed detection of possible failure modes. A detailed destructive reliability testing campaign has proven relevance of the physical analysis is performed to facilitate failure analysis. established methodology for MEMS reliability assessment for space applications. The developed methodology includes four groups of tests to address reliability of MEMS devices regarding packaging,

● VTT Technical Research Centre of Finland, Finland * CEA-LETI, MINATEC Campus, France ●● Fraunhofer-ENAS, Germany [1] MIL-STD-883 Test method standard microcircuits, DLA Land and Maritime - VA, P.O. Box 3990, Columbus, OH 43218-3990. 28 MAMOS−Metal-based Additive Manufacturing on Silicon A. Hoogerwerf, J. Jhabvala ●, E. Boillat ●, M. Despont We have developed an additive manufacturing method to write metal structures directly onto silicon. The method will enable us to extend our traditional MEMS offering with new and innovative devices manufactured with a combined technology. Additive manufacturing (AM) has recently become a very structures shown in Figures 2 and 3 could be fabricated. The popular method to fabricate a wide variety of devices. Its electrode wall thickness is about 100 µm and the electrode popularity stems from its quick turnaround time, which is hole is 800 µm. especially attractive for rapid prototyping. But it has also become more and more interesting for small volume production, for its freedom to create intricate 3D structures and for its potential of material saving. CSEM recognized the potential of AM and pursues developments in particular for microsystems applications. Hence our main focuses are: • Optimize processes for micro-size dimensions • Add functionalities such as flexible mechanical structure and electrically active components • Combine additive manufacturing with other micro and nano technologies (i.e. MEMS, 3D micro-moulded parts, …) In this work we have explored the AM-based fabrication on top of silicon substrates, as a starting point for integration with Figure 1: The selective laser melting additive manufacturing [1] MEMS technology. principle . The combination of additive manufacturing with silicon MEMS requires a good adhesion of the parts additively manufactured to the silicon base material. We therefore executed an exploratory project with EPFL aiming to analyze how the adhesion could be controlled. As a test vehicle, we took the manufacturing of metal pickup rings on a silicon platform. The rings are intended as pickup rings for a Time-Of-Flight Mass Spectrometer. For this application, we need multiple rings that are relatively closely spaced and that will operate under vacuum. They, therefore, present a nice test case for the technology. The process that was used to define the metal rings is Selective Figure 2: Side view of the Ag-electrode structures written on patterned silicon. Laser Melting (SLM) [ 1]. This method, depicted in Figure 1, deposits a thin layer of a metal pre-cursor powder on a workpiece. The powder is then heated locally with a laser, melting the metal locally. A next metal powder layer is deposited and again locally heated. After the entire piece has been defined layer-by-layer, the un-sintered metal powder is removed, leaving behind the piece defined. The SLM process has been executed with aluminum and silver particles of different sizes. It was found that the SLM locally heats the metal to very high temperatures, causing a metal line written directly on silicon to tear off a part of the silicon. The fracture stress of untreated silicon is more than 1 GPa, attesting to the stress induced by the process. This difficulty could be overcome by reducing the sintering energy and optimizing the Figure 3: Top view of the structure of Figure 2 on aluminum patterned writing strategy. With these optimized parameters, the oxidized silicon (mockup of an interconnect base).

● Ecole Polytechnique Fédérale de Lausanne, EPFL [1] J. Jhabvala, "Study of the consolidation process under macro- and microscopic thermal effects in Selective Laser Sintering and Selective Laser Melting", PhD. thesis, EPFL. 4609, 2010.

29 Low-temperature Reactive Nanofoil Die-attach Bonding for MEMS G. Spinola Durante, R. Jose James, K. Krasnopolski, S. Mohrdiek, U. Lang ● This new furnace-free [1] sealing technology enables a MEMS die-attach solder process onto a generic substrate material. As opposed to laser bonding, this additional low-temperature approach is viable also for non-transparent MEMS components and substrates. In this paper a technology demonstrator is shown with silicon chips mounted on coated stainless steel, achieving shear strength values above 10 MPa. Direct bonding on stainless steel and on other hard-to-bond materials is also evaluated. MEMS components have reached a very high penetration in the having <300°C. The solder will melt when the consumer market, largely covered by bulky sensor solutions. Nanofoil is ignited. This bonding configuration can be used with Miniaturized and robust solutions for MEMS-based sensors is non-transparent substrates, as opposed to low-temperature in growing demand to address higher performance laser bonding, provided there is access to ignite the Nanofoil. requirements specifically for use in harsh environments. These A key to the success of this technology is the customization sensors have usually critical parts and the housing is made of towards different solder melting points, different chip stainless-steel, since this material has proven to survive many dimensions, and substrate sizes and materials. CSEM has chemically aggressive environments. "Packaging for harsh developed a solderable coating strategy consistent with the environments" is considered an enabling technology being part AuSn solder choice, exploiting results from previous projects. of the global "Internet of Things" (IoT) trend. Both solder and Nanofoil are cut into preform shape to ease the The Nanofoil technology fits quite well to this requirement of assembly procedure, providing the exact match to the industrial sensors to keep stainless steel as a base solderable metallization layout (Figure 2). A cutting process has manufacturing material. The Nanofoil is a commercially been developed which does not trigger the exothermic reaction available metal based on reactive foils that enables the die- using picosecond laser micromachining done in-house at attach soldering of a silicon chip onto a small or even bulky CSEM. stainless steel part. The assembly of a MEMS chip cannot be done directly onto stainless steel substrates due to its surface inertness, but requires a solderable coating on both sides of the solder joint. In Figure 1 are shown the assembled chip on coated stainless steel and the different solder & Nanofoil AuSn solder Nanofoil preforms. The stainless substrate and the silicon chip are also shown. Figure 2: AuSn solder preform 4×4 mm2, Nanofoil preform 4×6 mm2. The Nanofoil preform (Figure 2 on the right side) is larger than the solder preform size to enable the ignition. This protrusion AuSn Nanofoil AuSn could be made much smaller or even laser-cut to ensure a proper clean bonding edge. Laser cutting after ignition is also an option.

The Nanofoil-based solder joints have been tested for shearforce, according to tests performed at the HSLU* Laboratories[1]. The resulting shear stress according to standardized measurements [2] are in the order of 10-15 MPa for silicon chips in the range of 4-16 mm2 of bonded surface. Si-coated While these values are lower compared to typical solder values Stainless steel of 30-50 MPa and more similar to adhesive bonding shear values, the throughput of the Nanofoil bonding is quite high. Figure 1: Solder and Nanofoil preforms are shown on the top. The Reflow process usually take between 10-30 minutes depending gold-coated chip and stainless steel are also shown below. The on solder melting point and component size. Nanofoil bonding mounted chip is shown on the left side above the coin. in comparison takes around 1 ms for 1 mm-scale parts. The main advantage of the Nanofoil-based reflow process is There is a push for technology enabling direct integration of the inherently low temperature and therefore low stress at the sensors into high-end sensing systems. Implementation of bulk level of components. The heat generated by the triggered Nanofoil technology to a production environment requires exothermic process with the Nanofoil will only melt the thin activation of hard-to-bond substrates in a viable and cost- solder preforms layers. Moreover, the process does not need a effective manner. This strategic research is ongoing at CSEM dedicated reflow oven like in the case for soldering and is with the collaboration of HSLU, to enable direct soldering on therefore furnace-free. stainless steel and highly corrosion resistant alloys, targeting This coating strategy can also be implemented with different highly demanding industrial MEMS-based applications. silicon to generic substrate combinations and with any solder

● Hochschule Luzern Technik & Architektur (HSLU) [2] MIL-STD-883 method 2019.9 Die shear strength. [1] G. Spinola Durante, R. Jose James, K. Krasnopolski, "Furnace- free micro-joining with reactive Nanofoils", CSEM Scientific and Technical Report (2015), 34. 30 Low-temperature Laser-assisted Sealing of Glass Lid on Silicon S. Berchtold, R. Jose James, S. Mohrdiek, G. Spinola Durante This new low-temperature sealing technology enables hermetic packages of environmentally sensitive components like VCSEL, CCD eventually combined with ASICs. The advantage of a high shear strength (~150 MPa) and fast laser-assisted sealing makes this technology suitable for a wide range of applications. The low temperature bonding and hermetic sealing of different that the right test condition is used. The tests used were materials like glass, ceramics, and single crystals is still moisture resistance test based on "Method 1021.3 moisture challenging. Many applications use high temperature sealing resistance" and thermal cycling tests based on "Method 1051.7 techniques (e.g. soft soldering and thermo-compression temperature cycling (air to air)" [2]. bonding at >250°C) to realize a robust hermetic seal. Many electronic components are not compatible to such high temperatures. Developments in MOEMS and optoelectronics technology during recent years for smaller integrated low-power sensors and optical components opened up new fields of applications. In domains where exposure to harsh environments or long term stability is required (e.g. implants) or where electrical components (e.g. VCSEL) prone to degradation with moisture Figure 2: Hermetically sealed glass on silicon package. are used, hermetic sealing into a package is needed using To test the bond strength of the sealed chip a shear tester was materials with very low water permeability. Moreover used. It could be shown, that the shear strength did not change temperature sensitive components like VCSEL, CCD significantly after environmental stress testing. A comparison of eventually combined with ASICs would need to be the shear test values between reference samples (which were encapsulated at a temperature of less than 150°C to ensure not submitted to accelerated testing) and samples which went proper functionality without any degradation. through accelerated tests is given in Figure 3. On average very A novel laser based hermetic sealing method was developed good shear strength values of about 150 MPa were achieved. (Figure 1). This hermetic sealing technology which was proven After environmental testing 94% of the packages were still for sapphire in earlier developments [1] and has been extended helium leak tight to better then 10-10 mbar⋅L/s. to bonding of silicon onto glass. The sealing process is very fast and takes only a few seconds to provide a strong bond. The temperature inside the sealed chamber will be less than 150°C [1] . The sealed packages were also tested to be helium leak tight to better then 10-10 mbar⋅L/s, which is the detection limit of the measurement method.

Laser Sealing ring

Glass

Silicon

Figure 1: Laser-assisted sealing of glass lid on silicon with sealing ring. Figure 3: Summary of shear strength, with reference without MIL-STD-750 tests. In Figure 2, a hermetically sealed and perfectly aligned package is displayed. The color (red, green) of the interference Further process development will be elaborated to explore new fringes implies the flatness of the sealed package. material combinations for chip material and sealing ring. This allows even more technology applications to be covered with Accelerated tests were carried out to verify that the bonded chip this unique laser sealing technology taking advantage of low can withstand harsh environmental conditions. Therefore two temperature hermetic sealing concept with high throughput. tests methods based on MIL-STD-750 where used to ensure

[1] R. Jose James, et al., "Low Temperature Hermetic Sealing of [2] Test Method Standard, Environmental Test Methods for Sapphire Substrates", CSEM Scientific and Technical Report Semiconductor Devices Part1: Test Methods 1000 through 1999, (2014), 41. Department of Defense, MIL-STD-750 (2012).

31 ACTION−Technologies for Cochlear Implants M. Fretz, R. Jose James, G. Spinola Durante, S. Bitterli, T. Burch The aim of the project is to develop a novel type of cochlear implant. This is a medical device used to restore auditory sensations for hearing-impaired listeners. We use tiny laser diodes to generate soundwaves inside the fluids of the cochlea. Hair cells register these vibrations and send electric signal to the brain. ACTION is a European Project combining the knowledge and electric signals to the brain (also referred to as Compound experience of seven companies and research institutions in the Action Potentials, or CAP). This sequence of events is identical fields of lasers, optics, electronics, medicine, biology, chemistry, to normal hearing. The condition, as above mentioned, is the implant technology and packaging. Such a wide spread of presence of healthy hair cells. Consequently, a cochlear expertise is required to address all the challenges in developing implant based on the optoacoustic effect cannot be a long-term implantable devices. For example, lasers are delicate replacement for current cochlear implants. But they might be semiconductor devices. Exposing the lasers to the aggressive used in combination: Some CI users retain hearing in the low fluids of the body would destroy them long before the frequency region and can use a special speech processor anticipated end of life of a cochlear implant (CI). Vice versa, the including a hearing aid. However, this requires an earmould to laser contains substances toxic to the human body. A be used, which is not always acceptable to the recipient. Use biocompatible package is therefore mandatory. But, as every of the implantable laser developed in this project will allow foreign body is slowly being encapsulated by fibrous tissue, the sound transmission without an earmould. surface of the package has to be modified to slow down and minimize tissue encapsulation. Otherwise, the laser light will be absorbed by the encapsulation, reducing the efficiency of the implant over the course of several weeks, potentially to a level where the device becomes insufficient to generate hearing.

Figure 2: Conventional cochlear implant. Courtesy of MED-EL GmbH. Optoacoustically generating CAPS depends on the system's ability to create soundwaves powerful enough to move the hair cells sufficiently. The amplitude of the sound wave is expected Figure 1: Schematic representation of a cochlear implant. The to increase monotonously with the laser intensity. Unfortunately, generated electric fields are depicted with green discs. The spreading the current cannot be ramped up arbitrarily. The laser and the of the fields leads to excitation of unwanted sound or tones. electric wires might heat, damaging neighbouring tissue. The Understanding the principles involved in optoacoustic laser might also get damaged by overheating. Combining the stimulation helps appreciate the challenges involved. State-of- right materials and stimulation patterns, we recently managed the-art cochlear implants use small electrodes placed inside the to produce a CAP generated by specially designed VCSELS cochlea to electrically stimulate the (spiral ganglion) nerve cells, and microlenses [2]. bypassing the hair cells (Figure 2). Patients suffering from This project helped CSEM to further its expertise in medical severe hearing loss might not have these hair cells, but packaging with a focus on miniaturized devices. The electrical stimulation might restore some hearing. Optoacoustic technology is not limited to the cochlea, but can be used in all stimulation, on the other hand, relies on 'mechanically' applications requiring miniscule biocompatible packages. stimulating the hair cells, which need to be present and functional. A focused burst of light from a laser was previously The research leading to these results has received funding from proven to generate sound waves in the cochlea fluids [1]. The the European Union Seventh Framework Programme sound wave, eventually, causes the hair cells to move, which FP7/2007-2013 under grant agreement FP-ICT-611230. The sets in motion the process of generating and propagating cantons of Central Switzerland support the project as well.

[1] ACTION consortium, "Periodic Report Year 2" (website: [2] ACTION consortium, "First oaCAP Measurements" (website: www.action-project.eu). www.action-project.eu).

32 ACTION−Hermetic Packages and Flexible Substrates for Implants M. Fretz, R. Jose James, G. Spinola Durante In the frame of the European Project ACTION, CSEM and its consortium partners developed a prototype implantable laser to explore the optoacoustic effect. This effect is used to stimulate hearing nerves in the cochlea through the generation of a sound wave in the cochlea fluid. The sound wave is created by laser light absorbed in the fluid. One of the core activities of CSEM in this project was the development of a package (i.e. housing) for the laser. It has to be kept in mind that the human body reacts aggressively to foreign bodies and that certain materials used in the semiconductor industries may be toxic or even carcinogenic. Therefore, the package has to be hermetically sealed in order to prevent leaching of toxic substances and ingress of moisture, which might damage the internal electronics. Material choice for the package is for the same reasons limited to a small selection of metals, ceramics and polymers. Latter are, however, not suitable to protect the laser and internal electronics over a period of several years. A proprietary laser assisted bonding process allowed us to hermetically seal a miniature sapphire package with cavity dimensions as small as 0.6 × 0.6 × 1.2 mm3 (Figure 1). Sapphire has all the properties required for this application. It is a strong material, chemically stable and non-toxic to the body. In fact alumina, which is the amorphous version of sapphire, has a long track record in long- term medical implants. Furthermore, sapphire is highly transparent in the wavelength range of the emitted laser light.

Figure 2: (top) Laser milled platinum foil. The structure of the flex print is repeated several times; (bottom) three packages welded to the flex prints. Separation of the devices is the last step in the manufacturing process. The development of a package and further key technologies at CSEM and its project partners opens the door for implantable light sources. The ongoing miniaturization of the components – essential for cochlear implants – has two further advantages: On one hand, it will allow new medical treatments due to the fact that smaller implants may be placed in parts of the body which are inaccessible to current size implants. On the other hand, surgical procedures will be less invasive. The patient benefits too because, for example, only local anesthetics will be Figure 1: Sapphire micropackage. Before sealing (top). The VCSEL is required. Recovery will be much quicker and the procedure visible in the pocket. After sealing (bottom). The uniform green colour cheaper. indicates a successful bond of constant bondline thickness. The competences that CSEM builds within the frame of this EU- A further feature of the miniature package are two hermetic project are not restricted to medical applications. Packaging feedthroughs, exclusively made of biocompatible materials. solutions for microsystems in harsh environments are door The feedthroughs are integrated in the bottom of the package. openers for many industrial applications. CSEM is willing and The electric connections extend horizontally beyond the side prepared to support industrial partners in Switzerland. walls. These easily accessible platinum ribbons may then be laser, spot or resistance welded to a platinum wire. The research leading to these results has received funding from the European Union Seventh Framework Programme We also developed a flexible substrate (i.e. flex print, Figure 2) FP7/2007-2013 under grant agreement FP-ICT-611230. The for the VCSEL. It provides mechanical support and electric cantons of Central Switzerland support the project as well. connection. It is made of the biocompatible materials silicone and platinum.

33 Improved Lifetime of Miniaturized Vapor Cells in Atomic Clocks T. Overstolz, J. Gobet, S. Karlen, J. Haesler, L. Balet, A. Bionaz, T. Volden CSEM has successfully solved lifetime issues of MEMS-based rubidium vapor cells and has demonstrated lifetime estimations of 10-20 years. CSEM has developed and improved over the past years the Figure 2 shows that an appropriate diffusion barrier stops the technology for miniaturized atomic clocks. One of the key diffusion of Rb into glass very efficiently. The rate of diffusion of components of an atomic clock is the vapor cell which contains the Rb in the glass is expected to follow Arrhenius' law minute amounts of an alkali metal, in our case rubidium (Rb). describing the temperature dependence of reaction rates. According to Arrhenius' law the reaction rate depends on the We have developed a method to batch-fabricate vapor cells on thermal activation energy of the process, a parameter that can a wafer scale with a very high yield (Figure 1). The fabrication be considered as an energetic barrier to be overcome for a comprises pipetting of dissolved rubidium azide (RbN3) into reaction to proceed. Accelerated aging tests at several high cavities etched into a silicon wafer and closed on one side with temperatures are ongoing to estimate the activation energy of a glass wafer, and sealing the cavities by anodic bonding under the rubidium consumption process. At this stage only a Ar atmosphere with a second glass wafer. Irradiation under UV preliminary estimation is possible due to the excessively long light results in decomposition of the inert RbN3 according to test times involved. The estimation yields an Rb consumption 2 2 + 3 of ≤0.01 µg Rb per year at the working cell temperature of 100°C (see Figure 3). Hence lifetime of CSEM's vapor cells One of the characteristics𝑅𝑅𝑅𝑅𝑁𝑁3 of→ this 𝑅𝑅𝑅𝑅fabrication𝑁𝑁2 method is that the quantity of metallic Rb inside the vapor cell is directly related to exceeds 10-20 years, matching thus industrial standards. the N2 partial pressure. Since the latter one must be limited, we have below 1 µg of metallic Rb inside a vapor cell which is much less than in the vapor cells of most competitors. As a result we have very quickly encountered lifetime issues with our vapor cells. The spectroscopic absorption signal of the Rb atoms disappeared after operation times of some days or even after only a few hours at a working cell temperature of 100°C. In-situ and non-destructive Raman spectroscopy was developed [1] in order to evaluate the residual partial pressures of , oxygen, and hydrogen inside the cells at different states of accelerated thermal aging. Careful observation of Figure 2: Rb consumption in vapor cells without (red graph) and with condensed metallic Rb droplets inside the vapor cell and (blue graph) protective coating. software-based monitoring of the droplet size allowed us to estimate the evolution of metallic Rb quantity. Hence we could 100 show that the aforementioned partial gas pressures did not change during accelerated aging, but the quantity of metallic Rb decreased. This let us to the conclusion that the vapor cells are leak tight, and that the mechanism of diminishing Rb quantity must be related to Rb diffusion into the borofloat glass. 10 To support this hypothesis we developed different types of molecular vapor deposition (MVD) diffusion barrier coatings. Life timeof1µgLife Rb (year)

1 100 120 140 160 180 200 Temperature (°C)

Figure 3: Arrhenius plot for the Rb consumption rate, preliminary estimate of the activation energy 50-60 kJ/mole. This research activity is performed in the frame of a multidivisional research program and CSEM would like to thank the Swiss Confederation and the Canton of Neuchâtel for their financial support.

Figure 1: Rubidium vapor cell of 4×4 mm2 and 1.6 mm thickness including Pt resistive heaters on both cell windows.

[1] J. Gobet, et al., “Nondestructive Raman Spectroscopy for Hermetic Package Reliability Analysis”, CSEM Scientific and Technical Report (2015), 30. 34 Nanofoil Bonding of Laser Micromachined Components G. Spinola Durante, J. Kaufmann, A. Steinecker This paper describes a bonding technology using Nanofoils, which enables furnace-free, low-temperature soldering of transparent or non-transparent laser micro-machined components, without reaching the bonding temperatures for solder reflow processes. Nanofoils can be patterned with ps-laser into exact preform shapes. An example is given of a silicon gear mounted onto gold-coated stainless steel with a shear force above 10 MPa. Manufacturing of small and complex laser micro-machined parts in silicon with high quality is a complex task which is becoming more and more popular due to the availability of laser equipment and corresponding processing software (Figure 1) looking back to at least 10 years developments in the field.

Figure 2: Nanofoil-based solder joint configuration.

Figure 1: ps-Laser facility in Center Alpnach. Assembly of a laser-machined MEMS with high precision onto a substrate is a complementary technology to laser manufacturing of micro-machined parts. In this respect both bonding process and pick & place automation strategy play a significant role. The Nanofoil technology enables to be Figure 3: Laser micro-machining of coated silicon wafer and of independent of the solder material choice and of its melting Nanofoil metal sheet into small shape preforms. temperature, and moreover, is a furnace-free bonding process [1]. It requires for its ignition a very small access point The final assembly can be seen in Figure 4a where the small where an electric current or a laser beam can start the fast Nanofoil foil is protruding where the exothermic process is exothermic reaction. The Nanofoil bonding is much faster than triggered. This enables the ignition by electrical contact with a any soldering or adhesive snap-cure process, since no heating 9V battery electrodes directly shorted onto this foil tip. The ramp is required and it takes only a few milliseconds to perform laser-milled Nanofoil is shown zoomed in Figure 4b and the the assembly. small hole for an eventual pin or gear axis insertion can be exactly manufactured without triggering any exothermic The advantage of using Nanofoils for bonding are manifold: a reaction. The joint interconnection was tested for shear force significant advantage comes in view of the temperature budget and yielded average shear values above 10 MPa [3]. since the Nanofoil reaction is very fast, i.e. below 1 ms for a 1 mm size part. Therefore the parts do not overheat and very 1.5 mm little stress is embedded into the solder joint. This enables to provide a bond close to surfaces which are sensitive to temperature and otherwise cannot withstand more than e.g. 6 mm 150°C. The Nanofoil is also adapted for non-transparent components [2] or substrates if compared to low-temperature 0.18 mm laser bonding, providing a significant improvement. The solder Figure 4: a) Hermetically sealed glass on silicon package; b) Nanofoil schematic including additional preforms and coatings is shown laser micro-machined showing a small feature of 180 um diameter. in Figure 2. The pre-forms and are part of the solder joint, being placed both above and below the Nanofoil preform itself to CSEM is further investigating the Nanofoil technology to achieve the mechanical interconnection. achieve cost effective bonding solutions, taking advantage of the flexibility and speed of the bonding process. Process An example is hereafter discussed to underline the key aspects adaptations are required to use the Nanofoil approach to of the assembly process, according to the bonding scheme in customer specific bonding applications, respectively substrate Figure 2. The demonstrator is made by laser micro-machining materials, ensuring optimal coating and assembly strategy with of a gold-coated silicon gear and a Nanofoil preform, laser-cut higher shear test values, if compared to standard adhesive into the exact preform shape (Figure 3). solutions.

1 G. Spinola Durante, et al., "Furnace-free micro-joining with [2] G. Spinola Durante, et al., "Low-temperature reactive NanoFoil reactive Nanofoils", CSEM Scientific and Technical Report die-attach bonding for MEMS ", in this report, 30. (2015), 34. [3] Test MIL-STD-883 method 2019.9 Die shear strength.

35

SURFACE ENGINEERING Harry Heinzelmann With advancing miniaturization, surfaces become increasingly further development of printed electronics solutions on flexible important for the properties of components. Surfaces’ substrates, for example for the fabrication of printed topographical and chemical properties determine a wide range electrochemical sensors that are biochemically functionalized for of effects, such as surface adhesion and friction, optical their specific applications. On the other hand, it is a long-term reflectivity and color, electrical conductivity, and (bio-) chemical objective of the program to continue to provide both the state-of- affinity. The determination, understanding, and controlled the-art understanding of optimizing surfaces and the modification of surface topography and chemistry are therefore corresponding methods that are necessary to leverage key CSEM of great importance for the development of small components technologies originating in other technological programs. and devices, and the ability to fabricate nano-engineered Examples of this supporting role include the increase of surfaces on large scales and at a competitive cost is a key amorphous silicon PV cell conversion efficiencies by the competence that supports industrial applications. optimization of the surface roughness of intermediate adsorption layers, the improvement of the sealing properties of atomic clock CSEM’s Surface Engineering program addresses some of the cavities by the adsorption of conformal surface layers, and the most important areas with regard to controlling surface design of novel optical filters and masks that can be directly properties (both topographical and chemical) and developing fabricated onto vision sensors. manufacturing technologies. The Nanosurface Engineering activity concentrates on the development and fabrication of More specifically, the long-term objectives in Nanosurface nano-structured surfaces and nanoporous films with added Engineering are the development of state-of-the-art methods of functionality, as well as on the design and realization of nano- originating nanoscale surface structures—such as by means of optical components based on nano-engineered surfaces. The molecular self-assembly, nanoparticle assembly, and sol-gel Biosurface Engineering activity addresses the biochemical processes; the development of appropriate fabrication methods functionalization of surfaces that are developed for use in that allow us to structure larger than lab-scale surfaces at a (affinity) sensors and as cell and tissue support substrates, and competitive cost; and the exploitation of those surfaces, in includes microfluidics and sample-handling instrumentation. particular for sensing applications and for their optical Finally, the Printable Electronics activity deals with printing functionalities, with an emphasis on light management and processes for both organic and inorganic electrical circuits, the plasmonics. design and testing of electronic components, and the higher In Biosurface Engineering, the long-term objectives are to level integration of printed electronics components for flexible develop novel tools that address current needs in life sciences, solutions. clinical diagnostics, and food and environmental sensing and All three activities of the Surface Engineering program not only monitoring, ranging from single components to integrated solutions. address questions at the material and process levels; they also This includes work on biochemical surface functionalization in aim to develop manufacturing methods and higher level order to generate suitable substrates for molecules and cells as integration pathways that enable CSEM to offer attractive well as specific sensor affinities, the development of appropriate solutions to industry. The program hence develops scientific cell support carriers for 2- and 3-D cell culturing, and the integration knowledge in the design, modelling, generation, and of microfluidics and optical monitoring for cell handling and understanding of functional surfaces, alongside technological biological tissue preparation. expertise in the development and stabilization of up-scalable The long-term objectives in Printable Electronics are to provide fabrication methods, characterization, and integration. the key elements for maintaining a flexible technology platform in The vision of the program can therefore be summarized as the field, including the identification and characterization of suitable follows: to “research and develop engineered surfaces and materials and the optimization of processes. Thin-film interfaces by controlling their (nano-) structured topography encapsulation of functional layers and the packaging of functional and their surface material composition using processes organic devices will be added to the technology portfolio. Design compatible with large-scale manufacturing, in order to design capabilities need to be maintained and their applicability extended and optimize predominantly their (bio-) chemical, optical, and to organic materials; the regulatory requirements of materials used electrical properties, and to improve the performance of the and entering potentially in contact with the human body will be respective components”. increasingly important for the acceptance of printed electronics solutions for health applications (on-skin sensors, implants, etc.). Long-term objectives The global, long-term objectives of the Surface Engineering The research carried out in this program is concentrated on the program are twofold. On the one hand, it is important to following activities: strengthen CSEM’s technology position in those areas where • Nanosurface Engineering, specifically addressing CSEM can offer unique and valuable solutions to industry. micro-/nano-manufacturing technologies, functional These include the development of well-designed surfaces with nanocoatings, and nanophotonics engineering. novel optical and chemical properties and corresponding fabrication methods that allow competitively priced • Biosurface Engineering, specifically addressing manufacturing, the conception of lab automation equipment for cell handling, sensors, and sample handling. use in cell sorting and tissue preparation environments, and the

37 • Printable Electronics, specifically addressing organic photo-detectors; and printing processes for large arrays of printable electronic processes and components; design, electrochemical sensors. modelling, and testing; and application and hybrid integration. The Nanosurface Engineering activity concentrates on the development and fabrication of nano-structured surfaces and nanoporous films with added functionality, as well as on the design and realization of nano-optical components based on nano-engineered surfaces. This includes novel methods of nano-structure origination; replication methods for nano-scale Figure 3: Scheme and realization of hybrid integration (left); lightweight structures; tooling (e.g., for embossing and injection molding); and flexible sun sensor for ESA applications (right). coatings from sol-gel films with tunable nano-porosity and their application-specific functionalization (e.g., by introducing guest The three activities of the program have strong mutual synergies, materials for sensing or optical amplification); the modelling of for example in the development of printed electrochemical sensors the optical properties of, for example, nanoporous metal films that are specifically functionalized for applications in environmental showing anomalous transmission; and the design and or biochemical sensing, in the development of cell culture supports realization of related optical components and devices. with optimized surface topography and chemistry and integrated electrochemical sensors for monitoring, or in plasmonic sensing for high-sensitivity, label-free analytics. Highlights Successful development and transfer projects include award winning collaborations with Onera (France) on the development of pressure-sensitive paints with increased performance for airflow modelling in wind tunnel testing for airplane development Figure 1: Optical microstructure for decoration and security (left); (recognized by the Innovation Prize, awarded by the Franco-Swiss airplane model covered with pressure sensitive paint (right). Chamber of Commerce) and the fabrication of first- and second- level security features in plastic molded pieces (recognized by the The Biosurface Engineering activity addresses the Innovation Prize in holography technology, awarded by the biochemical functionalization of surfaces that are developed for International Hologram Manufacturers Association). Further use in (affinity) sensors and as cell and tissue support examples are the transfer of CSEM’s proprietary air restriction substrates, as well as microfluidics and sample handling control to SIAS/Tecan, thus introducing a new and disruptive instrumentation. This includes surface grafting with specific pipetting technology, and the collaboration with EAWAG and the biomolecules showing the desired affinities, for electrochemical Helmholtz-Zentrum für Umweltforschung to establish CSEM’s sensing and for cell cultures; the design of novel cell supports CellFactor platform as a tool for the classification and sorting of such as beads and bioreactors, for 3-D cell cultures; the small model organisms for toxicological screening. development of cell platforms with integrated sensors, for cell- based (ex vivo) toxicology studies; and the development of Several other technologies developed in this program were compact microfluidic modules with integrated sensors, for the recognized by Swiss innovation prizes. These include the Swiss handling of (biological) samples. Technology Award (category “inventors”), given for our development of wound pads with integrated biosensors, and VentureKick for the start-up SiMPLInext, which aims to develop ultra-thin support membranes for cell cultures. The Surface Engineering program is well integrated into CSEM’s global research program and has numerous synergies with the four other thematic research programs. It thus supports the further development of a range of core technologies as well as their transfer to industry.

Figure 2: Microbead carrier for cell growth (left); novel pipetting solution with passive flow control (right). The Printable Electronics activity deals with printing processes for both organic and inorganic electrical circuits, the design and testing of electronic components, and the higher level integration of printed electronics components for flexible solutions. This includes high-resolution, large-area printing processes (such as Aerosol Jet Printing (AJP)), for electronic components (both inorganic and organic) and circuits on flexible substrates, encapsulation, and packaging; the modelling of higher integrated components such as light management solutions for organic light-emitting diodes and

38 A Photolinker Polymer with High Density Functional Groups for more Sensitive Bioassays H. Gao, D. Caminada CSEM's proprietary photolinker polymers are applied to develop covalently modified surfaces that can have additional (bio-)functionalities. Two developments enlarge the family of these photolinker polymers and extend their use for applications such as coatings for woundpads and catheters. First, the number of secondary functional groups to bind biomolecules has been increased and results in more sensitive bioassays. Next, a non- biodegradable organic photolinker (OptoBOD) has been developed to offer covalent coatings of increased stability and hydrophilicity. The OptoDex technology is a versatile platform for covalent enzymatic activity. The results of both tests (Figure 2) surface bioengineering and has been applied in diagnostics demonstrate an efficient light-dependent covalent binding of applications such as functionalization of biochips and biomolecules and that the immobilized biomolecules maintain microarrays. The degradable nature of the polysaccharide their bioactivity. However, the background signal increased with chains limited or even prevented its use for long-term stable increasing numbers of functional amino groups available on the coatings such as coatings for catheters and woundpads. We polymer. This is caused by the enriched positive charges on the have developed a non-degradable organic polymer-based surfaces (Figure 2). photolinker branded OptoBOD by replacing the dextran- backbone by a polyethylenimine-backbone. It maintains the advantages of OptoDex and increases the stability and hydrophilicity of the coating. OptoBOD addresses the needs for long-term and non-biodegradable coatings. The organic composition of OptoBOD makes it highly dissolvable in organic solvents, thereby allowing a more efficient coating process. Biomolecules can be immobilized in two ways with our photolinker polymers: 1) by a dry-state photobonding process and 2) by secondary functional groups (e.g. -NH2, -COOH, -SH, -Biotin, -Alkanes). Some biomolecules cannot stand a dry-state and only the latter approach can be applied. We have increased the numbers of available functional groups two- and four-fold compared to the first generation of OptoDex. The higher surface density of biomolecules on these two photolinkers results in a higher bioactivity. The characterization of OptoBOD® included the determination of photoreactivity, binding efficiency, biocompatibility, surface property and stability. The UV spectra of OptoBOD® are recorded before and after irradiation to detect the photoreactivity. The decrease of specific adsorption at 365 nm indicates that the polymer labelled with photoreactive groups Figure 2: Photoimmobilization of peroxidase (top) or Anti-Perioxidase were activated by UV light, thereby generating carbene radicals (bottom) on polystyrene (microtiter plate) and determination of for covalent crosslinking (Figure 1). remained enzymatic activity directly or after immuno-complex formation. Non-irradiated samples were used as controls. UV Spectrum of OptoBOD 2 Irradiation (0 min) The changes of material surface properties have been Irradiation (5 min) 1.6 measured before and after coating with the photolinker Irradiation (10 min) Irradiation (15 min) polymers by contact angle measurement. All newly developed 1.2 photolinker polymers (OptoBOD, OptoDex with high density 0.8

Absorbance functional groups) improve the wetting properties of materials

0.4 (data not shown), i.e. the functionalized surfaces were more hydrophilic than the bare surfaces. 0 280 300 320 340 360 380 400 420 440 460 480 Wavelength (nm) The two developments described here enlarge the CSEM family of photolinker polymers. They will allow to develop Figure 1: The UV spectra of OptoBOD® before and after irradiation, surfaces of more potent bioactivity, of higher stability, and of decreasing the adsorption at 365 nm, i.e. the specific wavelength of increased hydrophilicity. the photoreactive groups. The binding efficiency of the photolinker polymers and the bioactivity of bound biomolecules were characterized by: i) Photoimmobilization of peroxidase on polystyrene (microtiter plate) and determination of enzymatic activity. ii) Photoimmobilization of monoclonal Anti-Peroxidase antibody onto polystyrene (microtiter plate), formation of immuno- complex by binding of peroxidase, and determination of 39 Online Monitoring of Water Contaminants with an Optical Biosensor H. Gao, D. Caminada Water contaminants are an emerging challenge in the water management. Devices that can continuously monitor on or at site and are needed in order to guarantee a fast reaction time to incidents. CSEM's WIOS (Wavelength Interrogated Optical Sensing) system is a label-free optical biosensor and has been applied for the analysis of biologic samples. This project aims at demonstrating that it can be adopted for measuring relevant water contaminants such as atrazine in a robust, fast and reliable way. The monitoring workflow comply with automated sampling of different water matrices (e.g. lake water, seawater, treated sewage water, drinking water). The biochip can be regenerated repeatedly and forms the basis of the robust and competitive WIOS monitoring system. There are several well-established methods to detect and the instrument was shown to be below 10-6 refractive index quantitate contaminants (e.g. pesticides, drugs) in drinking and units for bulk refractometry and the limit of detection for the surface water by bioanalytical means. Most of them require a adsorption of small molecules corresponded to a surface laboratory environment with a dedicated and usually bulky coverage of 0.3 pg/mm2. On the system, eight channels are instrumentation as well as specifically trained personnel (e.g. available and can be measured simultaneously allowing the mass-spectrometry, ELISA). The aim of the presented project analyses of several contaminants including controls in parallel. is the development of a bioassay platform with the following characteristics: • Reliable detection of several water contaminants, with sensitivities within the regulatory scope, and a time to result of less than 1 hour. • A user-friendly detection platform, featuring a high degree of automation allowing robust operation and autonomy. The detection platform has to be operated by personnel not specially trained in performing bioassays. • Cost efficiency concerning instrumentation as well as consumables. Figure 2: Schematic view of the WIOS system (right) and the automatic fluidic and sample preparation system (left). In summary, the system allows to monitor online water contaminants with the following properties: • Label-free sensing with WIOS • Competitive immunoassay (antigen linked to surface)

Figure 1: Schematic of the competitive ImmunoAssay on a label-free • Multiple sensing surfaces (different characteristics) biosensor applied in this work. • In-assay calibration/normalization The biosensing surface plays a crucial role in terms of stability, • Regenerative biochip (>80 times) robustness and reproducibility of the system. Capture molecules (in particular small molecules) coupled to a dextran • Low detection limit of analyte (0.02 µg/L) polymer (e.g. AtraDex) have been covalently bounded to the • Compensation of interfering effects biochip surface using the OptoDex™ surface functionalization technology. The advantages are, (i) robust immobilization via Standard Curve Atrazine on WIOS (Pooled Print Concentrations) multiple photobonding sites (mesh-like linking), (ii) well SAMPLE DATA SC 1 SAMPLE DATA N SC 2 20.0 140.0% designable surface properties (e.g. density of capture 18.0 120.0% molecules) and, (iii) suppression of non-specific binding due to 16.0 the dextran basis of both, capture and cross-linker (OptoDex™) 14.0 100.0% 12.0 80.0% molecules. 10.0 60.0% 8.0 6.0 In addition, AtraDex surfaces are very stable and a [A.U.] Response 40.0% 4.0 regeneration of more than 80 times is possible. These surfaces 20.0% 2.0 can be stored in buffer for at least 2 months and in dry state for 0.0 0.0% BC) (2nd Response Normalized at least one year without losing their properties. The synthesis 0.001 0.010 0.100 1.000 10.000 100.000 1'000.000 Concentration of Atrazine (µg/L) of small molecules linked to dextran polymers seems therefore the method of choice also for other pesticides (or drugs) and Figure 3: Fitted standard curve of atrazine measured on the WIOS water contaminants. system. Red fit: curve corresponds to response values without built-in calibration (in arbitrary WIOS units, left y-axis). Blue fit: calibrated with A competitive immunoassay (Figure 1) for the detection of built-in calibration (in %, right y-axis) using a second response curve atrazine has been developed and performed with the WIOS in the assay. system (Figure 2). Figure 3 shows a calibration curve for the pesticide atrazine performed on the system. The sensitivity of

40 Detecting and Measuring Nanoparticles in Complex Matrices: the SMART-NANO Platform D. Müller, C. Hofer, S. Cattaneo, L. Calzolai ●, H. Every ●●, R. Welz ●●●, K. Cunningham *, M. Portugal-Cohen **, D. Lyons***, S. Todeschi ♦ We report on the final integration of the complete SMART-NANO technology platform, which was developed to detect and characterize nanoparticles in various complex matrices, such as cosmetic products. The platform was shown to fulfill the performance requirements in four key application fields, while reducing cost, ecological impact and time required for the analysis of complex nanoparticle-containing samples The current European regulations on cosmetic products and NANO include the use of inverse supercritical fluid extraction food require all ingredients present in the form of nanomaterials for the treatment of ENP-containing samples, as well as to be clearly indicated as such. Such a clear statement implies miniaturized, disposable cartridges for flow-field-flow the need for comprehensive analytical procedures to fractionation. Overall, the benchmarking of the SMART-NANO thoroughly check the compliance of any manufacturer with platform confirmed that the platform fulfils the requirements of these regulations. The analysis of nanoparticles in complex the application fields in terms of all analyzed parameters multicomponent media, such as emulsion-based cosmetic (accuracy, reliability, size, sensitivity, repeatability, linearity, products, is however a complex process requiring several LoD and LoQ), while reducing cost, ecological impact and time steps, including sample pre-treatment, the separation of the required for the analysis of complex ENP-containing samples. engineered nanoparticles (ENPs) from their matrix, and so on. Most importantly, the presence of nanoparticles in complex The most pressing research needs hereby are the development samples could be unequivocally demonstrated, and accurate of new analytical techniques for nanoparticle extraction, size distribution could be obtained in the vast majority of cleanup and separation, which should ideally improve the samples, including the highly relevant case of cosmetic creams. speed, sensitivity and specificity of the downstream analytical methods. The project SMART-NANO tackled this complex needs by developing an innovative, cost-effective technology platform providing a total solution “from sample-to-result” for the detection, identification, and characterization of engineered nanoparticles (ENPs) in a wide range of matrices. The technology platform is based on a modular and scalable approach, which gives the flexibility to adapt towards new applications with minimal optimization. Four key application fields (Consumer Products, Food, Environment and Biota) were targeted through the development of specific ENP-containing Figure 1: The complete SMART-NANO technology platform, model kits. Parallel to the development of the technology incorporating all the newly developed sample treatment and analysis platform, a range of miniaturized, ready-to-use, cost-effective modules. cartridges were developed, together with corresponding analytical protocols. The SMART-NANO consortium was formed by 8 partners (3 academia and 5 SME’s) from 8 different countries and led by Previously, we reported on the development of a novel sample CSEM as the project coordinator. The balance between preparation method, the miniaturization of the employed industrial and academic partners was one of the key strengths particle separation cartridge, as well as the implementation of of the project, ensuring the alignment between research efforts new UV absorption and Multi-Angle Light Scattering (MALS) and industrial requirements. The results of the SMART-NANO prototype systems (see CSEM Scientific Reports 2012 – 2015). project led to several publications in leading scientific journals In the last year, all of those components were combined into and conference presentations. The commercial exploitation of the SMART-NANO technology platform (shown in Figure 1) the results has already started with the commercialization of and the new setup was extensively tested using ENP- key components of the platform, and will continue with the containing kits specifically developed for the four application development of a fully integrated system in the following years. fields. These tests proved the efficacy of the SMART-NANO platform in detecting and characterizing ENPs in complex This work was supported by the European Commission 7th matrices, demonstrating significant advantages over currently Framework Programme (project SMART-NANO, NMP4-SE- used techniques. Key innovations introduced by SMART- 2012-280779). CSEM thanks them for their support.

● Joint Research Center (JRC), Ispra, Italy ** AHAVA Dead Sea Laboratories, Lod, Israel ●● Feyecon Carbon Dioxide Technologies, Weesp, The *** Ruđer Bošković Institute, Rovinj, Croatia Netherlands ♦ Abich S.r.l., Verbania, Italy ●●● Postnova Analytics GmbH, Landsberg am Lech, Germany * AvidNano Inc., London, United Kingdom

41 Fluorescence Lifetime Imaging (FLIM) made easy S. Cattaneo, C. Hofer, H.-R. Graf, A. Bischof, B. Schaffer, S. Emery We report on the development of a compact, stand-alone prototype for real-time, wide-field fluorescence lifetime imaging (FLIM) in the frequency domain. The prototype yields a 2D map of fluorescent lifetimes in the nano- to microsecond range without the need for scanning. Its portable size, low cost and ease of use show potential for a variety of applications based on monitoring fluorescence lifetime. Fluorescence signals are characterized by three main The camera electronics is based on a stacked PCB approach, parameters: intensity, wavelength and lifetime [ 1 ]. The including a base board, a FPGA processing module and a fluorescence intensity depends on the concentration of the sensor head PCB. A MATLAB GUI running on a separate PC fluorophore and its quantum efficiency. Fluorescence intensity is used to set the measurement parameters (such as images therefore yield the spatial distribution of a fluorophore. modulation frequency, illumination intensity and integration The emission spectrum is a characteristic of the fluorophore. time) and display the results. The optical modulation frequency Images containing spectral information thus allow different can be varied between 3 kHz and 20 MHz, allowing the fluorophores to be identified. The third property, the measurement of fluorescence lifetimes from hundreds of fluorescence lifetime, depends on the type of the fluorophore microseconds down to a few nanoseconds. and on the molecular environment surrounding it. It is The FLIM prototype yields a 2D map of fluorescent lifetimes in influenced by the presence of fluorescence quenchers, by a single shot (Figure 2), without the need for scanning. With the binding of the fluorophore to different biological targets, or by current optics an area of 6 × 6 mm is imaged. The emission the presence of other optical absorbers to which it may interact. wavelength can be selected by exchangeable spectral filters. Fluorescence lifetime imaging (FLIM) can therefore be used to obtain information on the molecular environment of the fluorophore molecules, or to identify fluorophores based on their fluorescent lifetime [2]. Unfortunately, fluorescence lifetime imaging has traditionally been associated with complex and expensive equipment, and has therefore found limited applications outside of research laboratories. To demonstrate the potential of FLIM and open the door to novel applications, we developed a compact, stand-alone prototype incorporating all the necessary hardware components for wide-field frequency-domain FLIM (Figure 1).

Figure 2: 2D map of fluorescence lifetime obtained with the FLIM prototype, showing lifetime differences on the order of a few nanoseconds. The FLIM prototype was benchmarked against several high- end commercial FLIM systems on a wide range of fluorescent probes. In general a good agreement was found, although in some cases deviations between instruments (even commercial ones) were observed. The performance of the CSEM prototype was essentially on par with high-end systems, despite a considerably reduced size and cost. Current applications of the FLIM system are in the field of wound monitoring and chemical sensing (oxygen, pH), but Figure 1: Compact stand-alone prototype for real-time, wide-field other applications in the nondestructive analysis of probes, fluorescence lifetime imaging (FLIM) in the nano- to microsecond either based on fluorescence tags (anti-counterfeiting, range. forensics, etc.) or on auto-fluorescence (food analysis, medical diagnostics, etc.) can be envisaged. The prototype includes a modulated light source (LED), a CMOS Time-of-Flight imager (256 × 256 pixels), dedicated The work was supported by Nano-Tera (RTD project FlusiTex) FPGA-based electronics, and optical components for and by the CTI (project SecureFLIM). CSEM would like to thank illuminating the probe and collecting the fluorescence emission. them for their financial support.

[1] J. R. Lakowicz (Ed.), "Principles of fluorescence spectroscopy", [2] M. Y. Berezin, S. Achilefu, "Fluorescence lifetime measurements Springer Science & Business Media (2013). and biological imaging", Chemical reviews, 110 (2010) 2641.

42 Cell-based Microfluidic Chip to Study Bone Healing Mechanisms M. Herrmann ●, Z. Wang, M. Alini ●, S. Verrier ●, L. Barbe Microfluidic technologies permit the replication in vitro of geometrical features essential for the homeostasis of all vascularized tissues in vivo. These in vitro models aim at reducing animal testing for drug development and at bringing a modular tool for mechanistic studies. A functional microvasculature is critical for the homeostasis of This tool allows to study the interactions of various factors with all vascularized tissues. Accordingly, several diseases are the endothelium over the course of several days. associated with alterations in the microvasculature, like for In order to create a perfusable microvessel, micromolding in a instance in tumor angiogenesis. Furthermore, the formation of biocompatible hydrogel has been used, as shown in Figure 1. new vessels by angiogenesis is critical in the restoration of A cavity micro-machined in a thermoplastic layer is crossed by tissue function. In tissue engineering, sufficient a microcapillary. A gel is injected and after polymerization, the neovascularization is thought to be a prerequisite for the capillary removed, thus leaving a cylindrical void (Figure 1, integration of the implant. These conditions have been bottom and Figure 2A). The wall of the microchannel is extensively studied in animal models. However, in vivo studies subsequently covered by different cell types (Figure 2B). In have several limitations, including species differences and order to bring nutrients to the cells, a perfusion system, limited possibilities for imaging and tracking cells in the living comprising of piezo-electric pumps, reservoirs and bubble trap, animal. They also do not permit high-throughput and was developed. multiplexing applications. The development of microfluidic models of microvasculature and the endothelial barrier could help to overcome these problems, and most importantly, would replace a significant amount of animal experimentation [1].

Figure 2: A) Microchannel within collagen gel. B-E. High magnification images of the microchannel seeded with GFPHUVECs after 1 h (B, C) or 28 h (D, E) of perfusion. Dotted circles indicate areas of sprouting. Scale bars 1000 μm (A); 100 μm (B-E). This system allowed to observe endothelial cells up to 100 hours under physiological conditions, using time-lapse microscopy and fluorescence imaging. Figure 1: Drawing of the microfluidic device (top); microscopic picture of the chamber containing thin capillaries used as molds (bottom). The next iteration of this platform will bring more complexity to the in-vitro model, as well as increasing the throughput. CSEM and the AO Research Institute in Davos (Switzerland) addressed this problem by developing a microfluidic device CSEM would like to thank the 3R foundation for their financial mimicking microvessels (diameter between 100 and 200 μm). support.

● AO Research Institute, Davos, Switzerland [1] L. Barbe, M. Alini, S. Verrier, M. Herrmann, "In vitro models to mimic the endothelial barrier", ATLA, 43 (2015) 34.

43 Microfluidic in-vitro Model of Bladder Cancer for Drug Profiling Z. Wang, C. Oehler ●, R. Strebel ●, D. Zwahlen ●, L. Barbe Physiologically relevant in-vitro models are required tools to precisely study cancer progression and to develop efficient therapies. Combining microfluidics and 3D cell culture, this simple platform aims at providing an insightful tool for drug screening. Bladder cancer is the fifth most common cancer in Western different device using the hanging drop technique, and society, with the global burden predicted to increase subsequently loaded into the microfluidic chip. In the next significantly in the foreseeable future (383’000 cases worldwide iteration of the chip design, spheroids will be formed in the in 2008 [ 1 ]). Three quarters of all cases are diagnosed as same chip. superficial disease. Whilst half of these cases are cured by Perfusion allows to bring nutrients to the spheroids as well as simple surgical treatment, about half will develop recurrences. chemotherapeutical agents to be tested, and reagents to 20-25% of patients develop more aggressive tumors requiring assess their efficacy. Figure 2 (left side) shows a part of the stronger therapies and carrying significantly worse survival microfluidic chip where spheroids are loaded and perfused. rates. Therefore, there is a need to better understand the mechanisms involved in tumor progression and recurrence. The recently identified bladder cancer stem cells are considered to be mediators of resistance to current therapies and therefore represent strong candidates of biological targets for next generation therapies. The goal of this project is to develop an easy and relevant 3D in-vitro assay in order to evaluate therapies targeting cancer stem cells, thus providing a better diagnostic tool towards personalized medicine.

Figure 1: CAD drawing of the microfluidic chip with a gradient generator and spheroid traps in the center. Dimensions of the chip are 75 × 25 mm. Anticancer drug discovery has been hampered by the poor prediction of the preclinical models, leading to a large attrition rate and high costs. In the last 15 years three-dimensional (3D) Figure 2: Microscopic image of the microfluidic chip. Microcavities cell culture systems have shown to provide more accurate containing 3 tumor spheroids (left); fluorescence imaging of the physiologically relevant environments compared to their 2D spheroid (right), labeled with fluorophores for the cell nuclei (blue), live counterparts. However, most studies are using cell aggregates cells (green) and dead cells (red). (spheroids) in static conditions, not reflecting physiological The right inset of Figure 2 depicts fluorescent staining of a conditions where tumors are vascularized. spheroid, providing quantifiable data on the potency of the In this project, CSEM developed a microfluidic chip for drug tested anti-cancer drugs. efficacy screening, combining perfusion and 3D cell culture. The next step will be to use cells extracted from patient biopsy, The design shown in Figure 1 comprises of a microfluidic to eventually allow tailored therapies. gradient generator to facilitate the handling and testing a multiple conditions on the same chip. The chip, made of a CSEM would like to thank Graubünden Krebsliga for their thermoplastic, can be connected to a portable pressure-driven financial support. perfusion system. At this stage, spheroids were prepared in a

● Graubünden Kantonsspital, Chur, Switzerland [1] G. B. Boustead, S. Fowler, R. Swamy, R. Kocklebergh, L. Hounsome, "Stage, grade and pathological characteristics of bladder cancer in the UK", BJU International, 113 (2014), 924.

44 Wearable Sensors for Ion Monitoring M. Viviani, T. Parkel, R. Junuzovic, D. Migliorelli, F. Pereira, S. Generelli Fitness trackers, and more in general wearable wellness monitoring devices have become in the last years a common tool, sold in millions of pieces. The integration of additional markers for the evaluation of the metabolic status of the body is the natural evolution of them, and would open the way for the application of wearables in healthcare and at-home patient tracking. The last years have seen the rapid and widespread adoption of The wearable device comprises a re-usable part, including data fitness trackers going to nearly zero in 2010 to several million collection and transmission electronics, connected by users in 2016 [1]. The latest developments in the wearable world Bluetooth to a portable device and sending data in real-time. are going mostly in the direction of combining the vital signs The sweat monitoring sensors are printed on a disposable sensors as heart rate, temperature, etc. with sensors for patch, which is used to fix the system on the athlete's body metabolic markers. In order to provide an affordable solution for (Figures 1 and 2). the professional and non-professional athletes market, CSEM The device was used for on-body measurements during a is developing solutions for disposable, flexible sensors for session of ergometer cycling. The parameters measured after potassium ion, sodium ion, glucose, lactate, pH, sweat rate and 15 minutes warm up from the ergometer, as well as an example impedance that can be eventually integrated in wearable of the real-time data for temperature, heart rate, pH, K+ and solutions. Na+ are shown in Figure 3. The first sweat monitoring device developed at CSEM focusses on pH, potassium ion and sodium ion, parameters related to the hydration state of the body. The pH sensors cover a range of pH 3-9, potassium and sodium ion sensors with a linear range of 0.1 mM to 100 mM, and 0.1 mM to 200 mM, respectively (characterization in artificial sweat). All these disposable sensors can be used with minimal preconditioning and are stable for 2 hours, the time of a typical workout session. a) b)

Figure 1: The wearable sweat monitoring device comprises of two parts: a) Flexible data acquisition and transmission electronics; b) Disposable sweat monitoring patch.

Figure 3: Real-time data collected during an ergometer session. From top to bottom: power set on the ergometer, temperature measured at the forearm and heart rate monitored at the fingertip, both measured with a BioRadio wireless physiology monitor, pH, K+ concentration and Figure 2: The sweat monitoring device is placed on the back of a test Na+ concentration, measured with the wearable sweat monitoring subject. device, placed on the back of the test subject.

[1] www.statista.com

45 Rapid and Cost-effective Detection of Tuberculosis (TB) S. Generelli, D. Migliorelli, H. Chai-Gao, S. Paoletti, T. Broger ● High costs are often among the major limitations to a widespread use of diagnostics tools at scale, especially in resource-limited regions. A simple, rapid, sensitive, biomarker-based, point-of-care TB diagnostic that makes use of an easily accessible sample like urine is considered to be one of the most urgently needed test by expert stakeholder groups. In collaboration with FIND [1] we are working on addressing this unmet need through the development of an assay for the rapid and highly-sensitive detection of Lipoarabinomannan (LAM) in urine using a combination of bead-based analyte concentration technology and detection with Screen Printed Electrodes (SPEs). Two weeks of cough is a widely used symptomatic indicator to The improved assay is being used as the basis for the identify individuals with presumed active pulmonary development of a simple to use, cost-effective and rapid assay, tuberculosis (TB) who require diagnostic testing. Since most compatible with the large-scale deployment in health care individuals with suspected TB do not have TB, a triage test can institutions. help narrow down the population that needs the more costly CSEM has developed a process for diagnostics using Screen confirmatory testing [1]. A triage test is a simple, low-cost test for Printed Electrodes (SPEs) in combination with functionalized use by community health workers to rule out TB and direct magnetic particles. This system was used in the past for the individuals who require further evaluation (i.e. triage test detection of TB biomarker ESAT-6 in a saliva matrix. positive) via a confirmatory test. Triage testing could take place at the same level of care as confirmatory testing especially in The process consists mainly of: settings with a large influx of patients (e.g. crowded outpatient • Pre-concentration step: in order to collect the biomarkers of clinics), but typically would be done at lower levels of care (e.g. interest in a simple preparation procedure, functionalized microscopy centre, primary care clinics, etc.). If the test turns magnetic particles were used. In addition the use of the out to be specific enough, it could even be used to diagnose TB chosen sample pre-concentration strategy allows the and immediate treatment initiation (without confirmatory subsequent detection and quantification to be more testing). sensitive and reach lower detection limits Commercially available point of care tests, based on lateral flow • Detection step: Screen Printed Electrodes (SPEs) are cost immunoassays have a too high detection limit, estimated to 500 effective, disposable devices that can be miniaturized and pg/ml, not adapted for sensitive detection in patients suspected integrated in disposable microfluidic cartridges, if of having TB. necessary, to work with sample volumes in the microliter In order to respond to this unmet need, an improved LAM range. SPEs will be used as the matrix to perform and detection assay was developed in our labs based on antibodies quantify sandwich-type immunoassay. provided by FIND. The target detection limit stated by FIND of The two pre-concentration and detection steps can be used in 50 pg/ml was achieved, with a potential for further improvement concomitance with each other, or used separately. For example, to an estimated detection limit of less than 10 pg/ml the pre-concentration step may be used as a pre-purification (background +3 standard deviations) (Figure 1). and pre-concentration step for the subsequent detection using commercially available ELISA detection kits or lateral flow 160000 immuno-chromatographic assays. This approach is applicable and adaptable for diagnostics on various body fluids as for 120000 example saliva, sputum, urine and of course blood.

80000

Absorbance (A.U.) 40000 Limit of detection 0 10.00 100.00 1'000.00 Concentration of LAM (pg/mL) Figure 2: Schematic of the diagnostic assay. a) Sample collection and incubation; b) Pre-concentration; c) Injection in automated sensing Figure 1: Calibration curve of LAM in PBS buffer. device and further incubations; d) Data are sent to the user interface.

● FIND Switzerland [1] www.finddx.org

46 Glucose Sensors on Demand D. Migliorelli, R. Junuzovic, L. Mühlebach, M. Dias Figueiredo Nogueira, S. Generelli Since several years there is a clear trend towards disposable sensing technology for different applications. Especially in the medical field there is an extraordinary need and demand from the diabetic community, since blood glucose measurement for the management of diabetes comprises approximately 85% of the world market for biosensors. The same trend can be observed in biotech applications: with the increasing adoption of disposable bioreactors the demand for components for on-line control of such disposable bioreactors increased as well. Despite the many technological advances in biosensor modification of the polymeric enzymatic membrane, in order to research and development and the introduction of many manipulate the linear range of the glucose sensors. different products, glucose biosensors still account for approximately 85% of the current world market for biosensors [1]. The reasons why the glucose market was particularly receptive to the introduction of biosensors are numerous, but the single greatest factor was the prevalence of diabetes in developed nations. Electrochemical biosensors (Figure 1), with their ability to give a rapid, accurate answer using a disposable strip, with no possibility of instrument contamination, have dominated the market in the last years.

Figure 1: Glucose sensor strip [1]. Figure 3: An example of glucose sensor calibration presenting a linear The same type of sensors found more recently an application response in the lower concentration range 5 µM−1 mM. Glucose in the field of bioprocess optimization and control. A clear trend calibration curve in phosphate buffer 0.05 M + KCl 0.1 M pH 7.4. towards disposable technology in this field (Figure 2) lead to the increasing demand of on-line measuring devices, for measuring not only pH and dissolved oxygen (DO2) but also glucose, lactate, CO2 and other parameters. The relative lack of single- use sensors in the market is limiting the adoption of this single- use technologies.

Figure 2: Biosensor integrated in a single use bioreactor [2].

In order to be in line with the very different specifications these Figure 4: An example of glucose sensor calibration presenting a linear applications require, it is necessary to have a technology for the range in the higher concentration range 1 mM−20 mM. Glucose fabrication of glucose sensors with a linear range that can be calibration curve in phosphate buffer 0.05 M + KCl 0.1 M pH 7.4 modulated depending on the target application. Indeed, blood As it is showed in the graphics above (Figures 3 and 4), it is glucose analysis, both point of care and and implanted, need a possible to obtain either a high sensitive glucose sensors with linear range between 1 mM−30 mM, whereas a much more a linear range between 5 µM−1 mM (Figure 3), suitable for sensitive range is required for the bioreactor monitoring, which applications where low detection limit for glucose is required, or − can be between 1 µM 5 mM. less sensitive glucose sensor, but able to recognize glucose In order to respond to this request, our laboratory developed a concentrations up to 100 mM, with a linear range between method, using the screen printed technology, based on the 1 mM−20 mM (Figure 4), applicable for blood glucose analysis.

[1] A. P.F. Turner, Chem. Soc. Rev., 2013, 42, 3184. [2] www.tvc.utah.edu/2015annualreport/applied_biosensors.php

47 Controlled, Pressure-driven, Sequential Actuation of Fluids for a Food Quality Monitoring System J. Goldowsky, S. F. Graf, T. Volden, H. F. Knapp Numerous dispensing and dosing solutions for a broad range of applications such as life sciences are available, all with their individual advantages. However, when working with aggressive solvents, most of these solutions are not suitable due to material incompatibility of tubing or inline sensors. Some of these solutions also fail for mobile applications due to their weight and size. For a mobile food quality monitoring system, we have implemented our controlled pressure driven dispensing system which precisely and contact-less measures the volume dispensed out of a bottle. It only requires one valve located in fluid communication, which is available for high chemical resistance. One lightweight control unit can be used to dispense from multiple bottles filled with different solvents. Further the system offers the capability to dispense gases with the same precision as for liquids. In our specific application, water, acetonitrile, and air are dispensed in volumes of 100 µl up to 10 ml with accuracies of about 3%. The resulting continuous and pulsation-free flow is advantageous for many microfluidic applications. Based on the fundamental principles of the ideal gas law, a low- that system outline and weight are reduced, when compared to cost, small outline control unit has been developed which getting the same functionality with multiple syringe pumps even enables the precise dispensing of critical liquids such as drastically. Additionally various types of containments can be acetonitrile, hexane and other solvents as much as gases (air) used within one system setup. The system for food quality and water from containments that can be pressurized. While monitoring uses standard 80 ml and 150 ml laboratory bottles. pressurizing the containment, the pressure trend is evaluated Compared to syringe pumps this allows the dispensing of high and the encapsulated gas volume is calculated. For known amounts of liquids without additional effort of refilling the containment volumes this gives direct feedback of its fill-level syringe for dispensing. and enables automatic refilling or messaging to the user to request refilling where appropriated. Once the gas volume is detected this information is used for calculating target pressure points when dispensing (see Figure 1). For more details refer to Graf, et al. [1]

P1 S1 p Gas p V1=V2 V3 T V n ΔV ΔV S2 V Liquid

Va 1 2 3

ΔV Preparing Dispensing Figure 1: (left) Schematics of the device; (right) Gas parameter change Figure 2: Food quality monitoring system integrating a controlled, during containment compression and liquid dosing. pressure driven dispensing subsystem (all five bottles are controlled). This dispensing method combines the benefits of time pressure The control automatically detects clogging of the downstream dispensing (TPD) with additional direct sensing of the fluidic system as well as leakage of the gas volumes and dispensed amount of liquid. Hence differences in viscosity of enables therefore an advanced system monitoring when the medium or flow resistance of the system (filter, SPE, etc.) incorporated in automated systems. are not important. Due to the working principle compressing the Two versions of the system (bottle cap and multi-bottle gas volume needs a few seconds, so that first dosing cannot be actuation Figure 2) have been tested for volumes between a performed instantaneously. After performing this initial few ml and 100 µl. However, due to the underlying physical procedure, fast dispensing is possible. principles the system is scalable, both, to higher and smaller As the system operates only with a pressure sensor - in fluid volumes and one can expect comparable dispensing deviations. communication with the gas volume - one control unit can be This work was supported by the Swiss federation, MCCS Micro used to sequentially dispense from a multitude of containments. Center Central Switzerland, and the European Commission This can be done by actively selecting the containment to be (FP7-611528 BIOFOS). CSEM thanks them for their support. dispensed from, without recompressing the containment [2]. By

[1] S. F. Graf, J. Goldowsky, H. F. Knapp, "Compact, Pressure- [2] S. F. Graf, J. Goldowsky, T. Volden, H. F. Knapp, "Automation of based Flow System for Sequential Actuation of Fluids with Traditional Sample Preparation for Oil, Milk, and Nuts", CSEM Integrated Flow Monitoring", CSEM Scientific and Technical Scientific and Technical Report (2015), 50. Report (2014), 114.

48 RASECAN−Parallel AFM for Rapid Cancer Diagnosis G. Weder, R. Ischer, M. Favre, M. Liley, H. Heinzelmann, M. Despont Changes in cell stiffness (and extracellular matrix) are characteristic of breast cancer cells and affect disease prognosis. Indentation atomic force microscope (AFM) on biopsy samples of living breast tissues shows different stiffness profiles for benign and malignant tissues. CSEM is developing a new parallel AFM diagnostic tool using parallelized mechanical sensors to analyze breast tissues within fifteen minutes, for hospital use. Cancer initiation and progression are accompanied at the of the probes. Compared to the current state of the art where molecular level by complex structural changes in both cells and only one probe is used, these arrays will reduce the data extracellular matrix[1]. The histological analysis of breast cancer acquisition time by a factor of eight. biopsies is currently a slow and qualitative process taking The strategy consists of reading the 1D cantilever array by eight several days and requiring an expert visual evaluation of the semiconductor lasers (VCSELs, Vertical Cavity Surface samples. However, recent scientific work has demonstrated an Emitting Laser). Each laser beam is focused on the end of one alternative approach to histological analysis: the use of atomic of the cantilevers (Figure 2), with the reflection monitored by a force microscopy (AFM) to measure the local mechanical position sensitive photodetector. A major technical challenge of properties of the breast biopsy samples. Typical stiffness the project is the implementation of a simple system for the profiles have been correlated to histopathological analysis of alignment of VCSELs, optical systems, cantilevers and sample. healthy and malignant human breast tissues (Figure 1) demonstrating a correspondence of the two approaches. These distinct nanomechanical signatures of breast cancer can be used as a diagnostic tool.

Figure 2: Optical image of a 1D array probe composed of eight cantilevers and their lasers during alignment (scale bar 250 µm). The first phase of the project yielded the proof-of-principle with Figure 1: Nanometer-scale palpation of breast tissue (left) and (right) ultrafast readout of a 1D cantilever array and parallel force typical mechanical profiles of healthy (single peak in grey) and spectroscopy demonstrated on hydrogels of similar stiffness to cancerous (multiple peaks in red) tissues. living tissues. Currently, the second phase is approaching a AFM is the ideal tool to reliably and quantitatively investigate working prototype (Figure 3). An easy-to-use alignment system the mechanical properties of breast biopsies. However, it is has been miniaturized and integrated in the ARTIDIS slow. AFM analysis of a single biopsy sample requires the instrument, while packaging and drivers for the VCSEL arrays acquisition of around 15'000 individual force displacement have been implemented. The first force displacement curves curves since a large number of measurements are required for have been acquired in parallel on hydrogels. Throughout a statistically meaningful set of data. This is a significant testing and validation of the prototype, it was observed that limitation because one of the major obstacles for a routine use reduction of biopsy roughness might be required to facilitate the of AFM analysis in hospitals is the diagnostic time which parallel measurements. currently takes several hours. CSEM is addressing the need for reduced analysis time by developing a new AFM diagnostic tool using parallelized mechanical sensors. The objective is to reduce data acquisition time from hours to minutes. This new instrument is based on an existing commercial AFM-based diagnostic tool called ARTIDIS® (Automated and Reliable Tissue DIagnosticS) from Nuomedis/Nanosurf that performs highly automated but serial measurements. The standard procedure starts with a breast biopsy of the suspicious lesion under ultrasound imaging Figure 3: The parallel AFM prototype, with the mechanical laser guidance. Multiple stiffness maps are then acquired across the alignment (top) and the probe array holder (bottom). entire biopsy using one AFM cantilever. The replacement of this single cantilever by a 1D array of cantilevers - eight cantilevers This work is partially funded by the Swiss research program operating in parallel – involves an innovative parallel read-out Nano-Tera.

[1] M. Plodinec, et al., “The nanomechanical signature of breast cancer”, Nature Nanotechnology, 7, 2012, 757-765.

49 System for Airborne Nanofibers Exposure Monitoring D. Schmid, G. Andreatta, D. Bayat, S. Bitterli, S. Cattaneo, P.-A. Clerc, O. Dubochet, K. Krasnopolski, P. Niedermann, G. Orawez, R. Pugin, I. Dolamic ●, T. Bürgi ●, R. Bieri ●●, J. Borek-Donten ●●, B. Zijlstra ●● Today’s advances in man-made nanomaterials bring new and unprecedented risks to employees along the whole value chain. Airborne, inhalable nanofibers pose asbestos-like health risks when inhaled. CSEM developed with Stat Peel AG and the University of Geneva a compact dosimeter for the selective detection of airborne nanofibers, e.g. carbon nanotubes (CNTs), in workplaces. Asbestos fibers have undisputable technical qualities. However, workplaces. It allows university labs, R&D departments, start- history taught us a painful lesson: prolonged inhalation caused ups, fiber producers and any company using material fatal illness (lung cancer, mesothelioma), leading to more than containing CNTs to monitor every individual employee − a 2 million deaths worldwide. Today, asbestos fibers are banned, must-do in any occupational environment. but new, highly promising materials, such as carbon nanofibers Health effects resulting from deposition of an aerosol in the (CNTs), emerged and are praised for their exceptional respiratory tract depend on the dose received and the body’s properties: Are we about to make the same mistake again? response to the particles. Continuous monitoring is therefore needed with a wearable dosimeter, worn by employees in risk of exposure, close to the personal breathing zone, reflecting the inhaled dose, which can differentiate between inhalable, thoracic and respirable fractions.

Figure 1: Left: Asbestos fibers [1] (left); MWCNT penetrating alveolar epithelial cells of the lung [2] (right). As the use of nanomaterials grows, so do the dangers. Nanofibers can pose health risks [3] and existing devices cannot detect CNTs reliably and discern them from less harmful particles like pollen, dust or carbon black from diesel exhaust. Figure 3: Wearable sampling unit for airborne nanofibers, enabling a Both, SUVA and NIOSH (US institute for occupational safety differentiation between different size fractions. and health) proclaim that all types of CNTs should be The features of the developed system include: considered an occupational respiratory hazard. • unprecedented selectivity, distinguishing CNTs from dust and carbon black, even different CNT types • 104 times lower limit of detection (absolute 0.2 ng) than the recommended exposure limit by NIOSH • more than 103 times lower limit of detection than state of the art thermal optical analysis for elemental carbon [4]

Figure 4: Stat Peel 10'000 lower limit of detection compared with Figure 2: Airborne nanofibers detection system. NIOSH recommended exposure limit. Stat Peel has developed together with CSEM and University of The monitoring technology is based CSEM's expertise in Geneva a system, based on a wearable unit and a desktop nanotechnology, microfabrication, microfluidics, low-power reader that will protect both employees' health and employers' electronics for wearables and system integration. The system liability. The high-tech but easy to use detection system can can be adapted to detect different types of airborne nanofibers. monitor an individual’s long-term exposure to nanotubes in

● University of Geneva, Department of Chemical Physics [3] K. Yamashita et al. CNTs elicit DNA damage and inflammatory ●● Stat Peel AG, Glarus, Switzerland, statpeel.com response relative to size and shape. Inflammation 33: 276-280. [4] [1] http://usgsprobe.cr.usgs.gov/picts2.html NIOSH. Occupational Exposure to Carbon Nanotubes and Nanofibers. Current Intelligence Bulletin 65. [2] R. Mercer et al. Distribution and persistence of pleural penetrations by MWCNT. Particle Fibre Toxicology 7:28.

50 Asymmetric Color Appearance with Aluminum Plasmonic Substrates L. Duempelmann, B. Gallinet, D. Casari, A. Luu-Dinh, G. Basset, M. Schnieper We demonstrate tilted nanostructured aluminum lamellas showing asymmetric optical appearance [1]. In particular they show a switch from colorless to colorful transmission. Such an effect is very valuable for applications in optical security. The fabrication of the structure utilizes the earth abundant aluminum and is compatible to roll-to-roll processes thus allowing cost-efficient large-scale production.

Figure 1: (a) Scheme of the plasmonic structure rendering distinct colors at varying incident angles θ of the light. The patches show the measured colors upon polarized light of different substrates. (b) Measured and simulated transmission spectra at +60° and -60° tilt angle. (c) Photo of a sample at different tilt angles in unpolarized daylight. The sample contains animals with structural colors in nature. The different optical appearance is achieved by variation of the evaporation angle. In recent years, the interest in plasmonics and especially their The color filtering properties of the structure can be tuned with potential applications has strongly increased. Plasmonics the nanostructure’s geometrical parameters. We fabricate such describes the collective oscillation of electrons on metal structures by transferring a pattern of periodic nanostructures structures interacting with incident light. This can cause into polymers or sol-gel, by evaporating aluminum at a specific absorption or reflection in specific spectral ranges of the angle and finally by embedding the structure with a polymer or incident light, leading to colorful transmissions or reflections. a sol-gel. Variation of the evaporation angle leads to distinct Such so-called structural colors can be used for various geometry of the aluminum nanolamellas directly influencing the applications such as optical security devices or active tunable color filtering properties. The final embedding step is crucial for filters. anti-counterfeiting applications since it prevents direct copy of the structure and protects the structure from scratches and dirt We demonstrate plasmonic substrates with asymmetric optical enabling use at ambient conditions (Figure 1c). The fabrication appearance based on geometrical tilted aluminum method is compatible for roll-to-roll processes and high- nanostructures (see Figure 1a). Upon certain viewing angles throughput fabrication. we observe a strong color filtering property, which changes with variation of the angle (e.g. blue at -40°, green at -60°). In Figure 1c shows a demonstration of such a plasmonic device contrast observing the structure from the other tilting direction designed for optical security [ 2 ]. The sample was made at does not show any colored transmission. The great difference different evaporation angles for each sample areas (e.g. in transmission is shown in Figure 1b, where the red curve butterfly). Thus different colors appear at distinct tilt angles of shows a very narrow resonance dip at about 500 nm for the design. A complete switch between colored background negative angles. This is caused by strong coupling of a and animal structure is observed within less than 10° tilt angle. plasmon resonance and a propagating resonance, depending The proposed effect is not based on diffractive effects. on the shape of the aluminum and the period of the structures Therefore it is clearly visible in unpolarized and diffused light respectively. (challenging with classical holograms based on diffraction).

[1] L. Duempelmann, D. Casari, A. Luu-Dinh, B. Gallinet and L. [2] G. Basset, et al., patent pending, (2015). Novotny, ACS Nano 9 (12) (2015) 12383–12391.

51 Four Color Filters in One using Large Area Plasmonic Substrates L. Duempelmann, B. Gallinet, A. Luu-Dinh, M. Schnieper Nanostructured silver nanowires can lead to polarization-dependent color effects in transmission. This effect is based on the collective and resonant oscillation of electrons in the metal, referred to the field of plasmonics. Overall this can lead to four distinct transmitted colors and their intermediate gradations. The proposed active tunable color filters are nearly incidence angle-independent and have great potential for several applications including optical security or tunable filters. They can be fabricated by roll-to-roll processes, potentially enabling cost-effective manufacturing [1].

Figure 1: (a) Diagonal polarized light passes the plasmonic structure as s- and p-polarized light and is analyzed by rotation of a subsequent polarizer (angles in bold). (b) Graph of the reflection and phase shift present at the plasmonic resonance; inset displays the relative phase amplitude of the polarized states (before and after the resonance). (c) Measurement of the four main transmission spectra showing a cross-over of the two diagonal states (45° and 135°) at the plasmon resonance (lines are colored as measured) (d) Photos of a sample made at different rotation angles of the polarizer (bold). The sample (4.7 × 4.7 cm) was fabricated with 20 nm (logo) and 30 nm (background) silver thickness. (e) Simulated colors of the s- and p-pol of the filter upon different tilt angles (parallel and perpendicular to the nanowires). Active tunability of filters can be essential for applications where resonance, causing a wavelength-dependent phase shift of this varying spectral filtering properties are required, e.g. for visual polarization state around the resonance. The simulated effects or display applications. Compared to conventional color reflection, phase shift, and the relative phase amplitude filters based on chemical dyes, the color filters based on wavelength-dependent) of this state is shown in Figure 1b. plasmonics allow such active color tunability. Plasmonic Rotation of an analyzing polarizer with angle φ leads to a four resonances, responsible for the filtering property, are sensitive fold transmission, as a crossing of two states is observed at the to the polarization and the tilt angle of the incident light. plasmon resonance (see Figure 1c), which specifically creates high contrast filters. Using the polarizer at smaller angular steps Here we present a plasmonic filter based on periodic silver increases the number of filters far beyond the four basic ones. nanowires. It is designed to vary actively the color filtering properties via change of the polarization. The filter is also Figure 1d shows photos of a sample taken at different designed such that the optical properties are preserved at polarization angles, leading to a completely different optical different tilt angles, which is essential for applications where a appearance. By changing the thickness of the silver high field-of-view is required. The thickness of the proposed nanostructures the plasmon resonance and therefore the color filter can be within few micrometers, making it highly attractive appearance can be altered. For many applications it is essential for miniaturized systems. Fabrication is done by replication of that these filtering properties are stable upon different tilt angles. periodic nanostructures, evaporation of a thin layer of silver and The proposed plasmonic filter is designed to be stable in both embedding of the full structure. This protects it against tilt directions (perpendicular and parallel to the nanowires). oxidation, scratches, etc. and enables use in ambient condition. Figure 1e shows such simulated colors (top-bottom and left- right respectively) for s-pol and p-pol of the filter. The scheme of the plasmonic filter is shown in Figure 1a. Polarized light at 45° incidence excites the two polarization The proposed active tunable plasmonic filters are compatible axes (arrows) of the periodic nanostructure. The polarization with large-scale fabrication processes, can be tuned spectrally perpendicular to the nanowires (p-pol) excites a plasmon via the geometry and are protected for ambient use.

[1] L. Duempelmann, A. Luu-Dinh, B. Gallinet and L. Novotny, ACS Photonics 3 (2) (2016) 190-196.

52 Micro- and Nanostructuring of Steel Inserts for Aesthetics and Security in High Volume Industrial Injection Molding D. Kallweit, C. Schneider, M. Schnieper In 2016, CSEM continued the development of its steel technology. For the first time, CSEM not only supplied the nanostructuring of steel inserts itself, but also supplied a brand new set of steel mold inserts "Made in Switzerland" – all from one source. All inserts were fabricated in Switzerland according to the client's specifications, and finally delivered with two different holographic designs within only 3 months; injection molding tests and optimization phase with on-site support in South-Korea included. Since many years CSEM has gained experience and turned in-plane by 90°. The other non-visible security features continuously advanced its steel nanostructuring technology. must be kept confidential. That technology allows to fit steel surfaces with custom Figure 2a shows a mold insert with the second design. It designed optical nanostructures for either security or aesthetic consists of logo with the lettering "HERA" which is filled with a purposes, or both. specially designed diffractive nanostructure. The insert has the Most commonly CSEM's steel nanotechnology is used to same dimensions as the one in Figure 1. structure steel inserts for the use in high volume injection If a part of the HERA lettering is illuminated with laser light at molding, since these steel inserts show significantly less wear the correct angle the custom designed image "The truth of compared to nickel shims and even can be made with curved cushion" is revealed. Figure 2b shows a picture of the projected surfaces and with cavities. The range of possible structures is image on a piece of paper at a distance of roundabout 15 cm. wide and goes from static and dynamic color effects, microtext / microimages over hidden laser readable images to 3D effects like visual depth, lens effects or bas-relief. Figures 1 and 2 show one of the latest deliveries of CSEM to an industrial client in South Korea. In this case the fabrication of a set of mold inserts as well as writing of the different designs into the steel was supplied by CSEM as a one-stop service. Figure 1 shows a photograph of the first of the two designs. Shown is a mold insert with a 40 mm diameter top surface. The hologram consists of two images, which are shown in a) and b).

Figure 2: a) HERA logo with diffractive nanostructures on the steel insert. b) Photograph of the hidden image that is projected if the logo is illuminated by green laser light. All pictures shown have been horizontally mirrored in order to allow a more comfortable reading of the lettering. Actually all holograms on the steel inserts are wrong reading in order to form right reading images on the molded plastic pieces. This industrial project was conducted in collaboration with Sergio Lizzola and his company LK Forensic Competencies Figure 1: Hologram with switching effect on a 40 mm steel insert for LLC, Chemin des Cerisiers 15, 1860 Aigle, Switzerland. injection molding. a) First main image is shown. b) In-plane turning by 90° the second image. The first image showing the "C" logo disappears and the second image "Original Certified" is revealed if the sample is 53 Table-top Classification and Sorting System for Small Model Organisms S. F. Graf, D. F. Bösel, I. Kastanis, H. F. Knapp CSEM's CellFactor platform is used for automated sorting of small model organisms, such as Zebrafish larvae, into multi-well plates for toxicology screens in the pharma and chemical industry. In the newest design, the system is now encased, has a real-time speed measurement implemented and additional sensors/actuators installed. Real-time measurement at frame rates of >60 Hz increases the ejection success while the sensors and actuators reduce user involvement during filling and emptying the system. This allows highly qualified personnel to focus on data interpretation instead of tedious and repetitive work like identification, sorting, and dispensing. Over the past years, CSEM has developed its CellFactor- fertilization) and to dispense the latter into a multi-well plate for technology, which automates the handling of small model further processing. This greatly improves the efficiency of post organisms to reduce animal testing. The CellFactor technology treatment procedures of the fertilized eggs, as required by end- contains solutions for keeping organisms in a homogenous users that CSEM is in contact with. suspension. To analyze the organisms either fluorescence detection, impedance analysis or imaging in combination with machine learning algorithms for detection and classification can be used. Finally, the analyzed organisms can be sorted into flasks or be dispensed into multi-well plates for further processing. Currently, two applications of the CellFactor technology are being developed. The muTish demonstrator [1] works with small model organisms in the size range of 50 to 800 um while the CellFactor (shown in Figure 1) is optimized for the Zebrafish model with a size range of 500 to 2000 um. The transport mechanism for the Zebrafish larvae is based on viscous drag forces for which the patent recently has been granted [2]. Latest improvements of the CellFactor are the encasing of the sorting and dispensing module into a housing which also Figure 1: CellFactor in its newest form for analysis and sorting of small contains the mechanics, optics, electronics as well as the buffer model organisms. and waste bottle (see Figure 1 and Figure 2). Easy access to the bottles and the sample supply port are given and the multi- well plate can now be moved to a suitable position for manual or automated exchange. Furthermore, bubble detectors and automated pinch valves were integrated to allow the automatic filling and emptying of the system. The improved imaging system can now additionally be used for real-time measurement of the speed of the passing larvae. More than 60 frames per second (frame size 688 × 164 pixel) are analyzed. A tracker tags each larvae and calculates its speed. Using the real-time speed of the larvae, improved the ejection success rate and reduced the time to fill a multi-well plate to about 4 minutes. Currently, the built-in machine-learning classifier is being Figure 2: CellFactor interior. (top left) sorting module, (bottom) well- trained with early stages of fertilized Zebrafish eggs with the plate handler, (top right, white) dispensing module. goal to automatically differentiate between fertilized eggs in the CSEM would like to thank the Swiss Federation and the MCCS 2- and 4-cell state (which corresponds to the first hour past Micro Center Central Switzerland for their financial support.

[1] S. F. Graf, et al., "muTish−Tools to monitor and handle medium [2] S. F. Graf, N. Schmid, H. F. Knapp, "Device, System and sized biological entities", in this report, page 18. Method for Storing and Sorting Cellular Samples". U.S. Patent 8,940,541, issued Jan 27, 2015.

54 Development of Electrochemical Flow-cell for Advanced in situ X-ray Investigations J. Tillier, T. Binninger ●, T. J. Schmidt ●, O. Sereda There is a clear need for high-efficiency and non-polluting energy conversion technologies for the automotive application. Therefore, an increasing interest to the Polymer Electrolyte Fuel Cells (PEFCs) has been seen over last 5 years. One critical issue facing the commercialization of PEFCs is the gradual decline in performance during operation, mainly caused by the loss of the Electro-Chemical Surface Area (ECSA) of the supported Platinum NanoParticles (Pt-NPs) at the cathode [1]. The ECSA decrease of the cathodic catalyst can arise from different degradation mechanisms which take place simultaneously, especially during potential cycling. Only thanks to the in-situ analytical investigations the understanding of this phenomenon can be addressed in a proper way. Electrochemical in situ Small Angle X-ray Scattering (in situ in Figure 2 (left side). The Pt-NP-related scattering bumps shift SAXS) offers the unique opportunity not only to observe the to lower q-values with increasing number of degradation cycles. evolution of the Pt-NPs size distribution during an This behaviour is a clear signature of the growth of Pt-NPs due electrochemical degradation experiment, but also to to Pt dissolution/re-deposition cycles (electrochemical Ostwald simultaneously monitor the evolution of the absolute platinum ripening) during potential cycling between 0.5 and 1.5 VRHE. mass content of the electrode. In situ SAXS experiments can be conveniently performed at synchrotron X-ray sources. The high photon flux from these radiation sources yields a very good scattering signal-to-noise ratio in a short acquisition time despite the strong X-ray absorption of the electrolyte layer in transmission geometry. Despite these advantages, in situ SAXS experiments at synchrotron facilities face the major drawback of highly restricted availability of beam time. Therefore, the laboratory equipment can play a key role in this regard. The multi-purpose laboratory X-ray diffractometers Figure 2: In situ degradation results of the Pt-Vc 2 electrode: raw data represent an attractive alternative to perform electrochemical in (left) and log-normal distributions for the diameter of Pt-NPs (right). situ SAXS experiments due to their widespread availability. However, both the drastically reduced photon flux (10-9 of a The Pt-NPs size distributions at different stages of the typical synchrotron X-ray source) and the reduced flexibility at electrochemical protocol are depicted in Figure 2 (right side). the sample stage of such systems impose major challenges to As qualitatively already found from the analysis of the SAXS the engineering of a respective electrochemical in situ cell. scattering curves, the size distributions demonstrate a particle growth with increasing number of degradation cycles. The Here, we present the new designed electrochemical three- distributions become broader and shift to larger particle sizes electrode flow-cell and the results obtained by in situ SAXS and the average diameter of the Pt-NPs increases from 1.8 nm experiments on a laboratory diffractometer. The design was in the initial state up to 4.2 nm after 1000 degradation cycles. based on the same principles as the SAXS/XAS flow-cell for synchrotron facilities presented in Part I [2]. However, the cell By performing the in situ investigations, the electrochemical was adapted to the strong geometrical constraints defined by degradation protocol has been established, which mimicked the the sample holder and special configuration of the corrosive conditions during PEFC start/stop events by potential diffractometer (see Figure 1). An elongated electrode geometry cycles up to 1.5 VRHE. An absolute normalization procedure for was required in order to fully utilize the line-shaped X-ray beam the SAXS data yielded net Pt differential scattering cross of the device. Furthermore, the constant electrolyte flow sections at various stages during the degradation cycling that prevented X-ray induced electrolyte degradation and heating. could be analyzed not only for the Pt-NP size distribution, but In this way, the system guaranteed constant experimental also for the absolute number of Pt-NPs and the absolute Pt conditions even during long-term experiments. mass content of the nanoparticle phase. In this way, different degradation mechanisms could be distinguished. Carbon corrosion of the Vulcan support was qualitatively established in a separate experiment for a Pt-free Vulcan carbon electrode. The quantitative analysis of the Pt nanoparticle degradation revealed electrochemical Ostwald ripening as the dominant degradation mechanism for the Pt surface area. Thus, reducing Pt dissolution is the most important factor to prevent the degradation of catalyst performance under the start/stop potential cycling conditions. Acknowledgments: this work was supported by CCEM Figure 1: Pictures of the running in situ SAXS setup. Switzerland and Umicore AG & Co KG within the DuraCat meas project. The raw SAXS curves scat qI )( recorded during the in situ start/stop degradation study of the Pt-Vc 2 electrode are shown

● Paul Scherrer Institut, CH-5232 Villigen PSI, Switzerland [2] Journal of the Electrochemical Society, 163 (10) H906-H912 [1] Polymer Electrolyte Fuel Cell Durability, Springer (2009). (2016).

55 Slot Die Deposition of Functional Films N. Blondiaux, A. Grivel, E. Scolan, R. Pugin We report on the development of functional thin films using slot-die coating. Challenging aspects approached here are coating porous and pre- structured substrates. Two case studies are considered. In the first, a mesoporous sol gel layer is coated with a CO2 responsive film for the fabrication of a highly sensitive sensor. In the second, a microlenses-covered wafer is coated with standard photoresist in order to implement multilevel photolithography. There is increasing interest in new coating techniques for responsive molecules has been developed. Our objective was functional thin film. During the last decade, the development of to apply uniformly a thin coating of this formulation on the advanced wet coating techniques has been underpinned by the mesoporous matrix. The very large surface area of the flat panel display industry. Critical issues such as accuracy, mesoprous matrix leads to an enhanced sensitivity of the patch. cost, and scalability have been addressed. Materials have also The major advantages of slot die coating in this case study were been developed and optimized for specific deposition the absence of mechanical contact on the mesoporous layer, techniques [1]. Equipment and processes are now available and the low material waste and the very low volume needed for the have been integrated in manufacturing chains in combination deposition. A slot die coated sample is presented in Figure 2a. with vacuum deposition techniques.

Figure 1: photograph of a slot die deposition head. Among the wet deposition techniques used in production, slot die coating has been employed in a wide range of domains for the fabrication of flat-panel displays, batteries, photovoltaics, OLEDs, and paper media to name a few. The liquid to be coated is delivered with an accurate flow rate using a coating head (slot die) and the sample is moved at a predefined speed. The final "wet thickness" depends only on the flow rate, the coating width and the speed, thus defining slot die coating as a pre-metered technique. In contrast to self-metered coating techniques such as dip-, spin- or blade-coating, the physical properties of the chosen liquid do not affect the final thickness Figure 2: a) Mesoporous film coated with CO2 sensitive layer. Optical images of microlenses before (b) and after photoresist coating (c). of the coating. Other significant advantages of slot die coating is its very high throughput and very low material waste (<10%). In our second case study, the main objective was to coat a Although this technique has been used in some applications to silicon wafer presenting an array of microlenses with a layer of produce thick coatings (tens of micrometers), the emergence of photo resist. In contrast to spin-coating which is not well new markets (TFT LCDs) led to the development of advanced adapted to pre-structured substrates, slot die coating showed slot die coaters for the fabrication of ultra-thin films (down to very promising results. A homogeneous coating over 150 mm tens of nanometers). wafers was obtained and its uniformity was not affected by the presence of the microlenses. An optical image of the coated In this project, slot die coating has been tested for coating microlenses is presented in Figures 2b and 2c. Further various resins and formulations on porous and pre-structured investigations will use slot die coating for other types of substrates. More specifically, we have chosen to investigate substrates presenting higher aspect ratio structure and will two case studies representative of specific applications. In the identify coating windows depending on the type of photoresist. first case, slot-die coating was applied to the fabrication of a CO2 sensitive patch. The starting substrate was an A4 sheet Wafers with microlenses were kindly supplied by SUSS coated with a mesoporous layer. A formulation based on CO2 MicroOptics. We would like to thank them for their support.

[1] www.ixsenic.com

56 Large Area Nanoreplication on Flexible Substrate N. Blondiaux, A. Bionaz, D. Dominé, P. Häfliger, R. Pugin We report on the development of a replication process for the production of nanotextured substrates. The targeted application is the fabrication of thin, flexible photovoltaic cells. The selection of materials, which had to withstand the cell deposition process, the control of surfaces and interfaces and the upscale of our replication process up to samples sizes of 300 × 300 square millimeters proved to be critical. Samples produced have then been used for the fabrication of flexible thin film solar cells. CSEM has developed within the multi-interdisciplinary project step using a textured mold. We identified a robust surface Wear-a-Watt an ultra-low power watch operating only off the preparation of the substrates to ensure good adhesion of the solar energy harvested from its environment [1]. One of the main coating at temperatures up to 200°C. Good results were achievements is the fabrication of thin, flexible solar cells and obtained using a hybrid primer directly deposited on the their integration in the wristbands of the watch. The substrate. development of these custom solar cells required specific substrates with a high transparency and an optimized surface texture to enhance light trapping.

Figure 2: AFM image of the surface of a nanoimprinted replica with light trapping structures. Cross cut tests (ISO 2409) have been performed to characterize the adhesion of the UV-curable coating on the primed substrate. The results were similar to those obtained using our standard plasma activation. However, the deposition of the primer was easily up-scaled to the targeted sample-size. Figure 1: Photograph of a 300 × 300 mm2 sample. The nanoimprinted Concerning the nanoimprint step itself, specific equipment was structures give a milky aspect to the substrate. The final thickness of designed and fabricated for the processing of 300 × 300 mm2 the textured foil is 130 micrometers. samples. In this work, our standard nanoimprint process has been up- As shown in Figure 1, large nanoimprinted samples have been scaled for the production of flexible, textured substrates with a produced. The fabrication of such samples leads to an increase 2 maximal size of 300 × 300 mm . This size was deemed to be a in throughput of one order of magnitude in comparison with the good compromise between lab scale samples and substrates lab-scale fabrication of textured substrates done until now. produced using high throughput techniques such as roll to roll Figure 2 presents an AFM image of the textures obtained on replication. Several conditions had to be met such as the nanoimprinted substrates. The patterning process is transparency and heat resistance during the solar cell homogeneous over the 300 × 300 mm2 area and faithfully deposition process. replicates the original structure of the master. Many high temperature substrates (withstanding temperatures Ongoing work focuses on the validation of these textured above 200°C) were benchmarked and ranked according to substrates for the fabrication of thin flexible solar cells and their their compatibility with the different process steps, their integration in low-power wearable devices. Further mechanical properties (rigidity) and the performance of the final investigations will ensure a complete evaluation of their solar cells. The nanoimprint process involved first a coating of mechanical performances. the substrate with a UV curable resin followed by the imprinting

[1] J. Bailat, et al., “Wear-a-Watt—Energy Autonomy for the Wearables”, CSEM Scientific and Technical Report (2015), 12.

57 Integration of New Sol-gel Films into Miniaturized Optical CO2 Sensors for Air Quality Monitoring E. Scolan, R. Smajda, A. Bionaz, G. Voirin, R. Pugin, N. Thronicke ●, A. Winzer ●, A. Albrecht ●

We present the development of a new CO2 sensor, combining groundbreaking sol-gel thin films nanotechnologies with state-of-the-art optical detection. These smart gas sensors provide the necessary data for an energy-saving ventilation and air-conditioning in industrial and public buildings. Carbon dioxide is a natural part of air. It is also the most important indicator of indoor air quality. A person working in an office exhales about 20 liters per hour of this odorless and tasteless gas. In the current regulation on air quality DIN EN 1946-2, a CO2 limit of 1500 ppm is specified as the maximum permissible value. High CO2 concentrations affect the performances of people and can lead to fatigue and headaches.

Sensors play a vital role in establishing the state of our Figure 1: (Left) Picture of CO2 sensing patches; (Right) Stern-Volmer environment and monitoring changes due to human activities. curves of CO2 sensitivity showing the low impact of humidity. Conventional CO2 analysis is usually carried out using infrared A miniaturized optical device for the detection of CO2 is spectroscopy, using the strong absorbance of CO2 at 4.26 μm. currently under development for air quality monitoring in Unfortunately, this method presents significant drawbacks, buildings (Figure 2). A disposable and low cost sensitive patch such as the bulky and expensive nature of the equipment used is interrogated in a closed module containing LEDs, lenses, and and its susceptibility to humidity. In addition, the miniaturization photodetectors. Data processing based on artificial neural of sensors into wearable devices entails sensors being small, networks has been designed to limit the signal deviation cost-effective, reliable, and with a low energy consumption. (<0.05%). Once integrated into a system comprising humidity Sensors based on change of luminescence in the presence of and temperature sensors, the device has a low detection limit a specific molecule are promising candidates complying with (yet down to 1 ppm) enabling the monitoring of air quality. these specifications since the change of luminescence can be Moreover, it can also be used in combination with measured by compact optical readers. conductimetric sensors to ensure accurate calibration at 0 ppm. In this frame, the technology on which optical CO2 sensors are based corresponds typically to the encapsulation of a pH indicator dye in an inert nanoporous sol-gel matrix. The sensing mechanism is based on a local change in pH upon reaction with a quaternary ammonium base selective for CO2. This event is monitored with a pH indicator dye that can be luminescent or colored. CSEM has developed innovative sol-gel based sensitive layers enabling the optical detection of volatile or dissolved analytes with enhanced performances [ 1 ]. To overcome the disadvantages of optical sensors based either on microporous or meso-, and macroporous sensitive layers embedding photosensitive dyes, the host film is made of a double matrix, a microporous sol-gel network encapsulating the active species, which is embedded into a mesoporous coating. These Figure 2: (A) Absorbance spectra of m-cresol purple dye hierarchically nanostructured films are deposited on various functionalized sensitive patches at different CO2 concentrations; (B) substrates such as steel, glass, and flexible plastic sheets. The Working scheme of the optical reader; (C) picture of the reading hierarchical nanostructure enhances the performances of the module; (D) Picture of the sensor demonstrator for indoor air quality sensitive layers, e.g. higher optical signal, improved sensitivity, monitoring. robustness, mechanical resistance, transparency, and The consortium (CSEM, CiS, ConSens, IL Metronic) gratefully selectivity, and a faster response. thanks the European Commission Program H2020 Several pH indicator dyes, matrix chemistries, and printing (SMARTER-SI project, GA No. 644596) and the Swiss State process parameters have been screened to limit the impact of Secretariat for Education, Research, and Innovation (SERI) humidity and temperature, and to extend the lifetime of the (contract No. 15.0085) for their financial support. probed patches (Figure 1).

● Partners from CiS Forschungsinstitut für Mikrosensorik GmbH [1] E. Scolan, B. Wenger, R. Pugin (2015), "Optical Sensor for detecting a chemical species", EP patent application EP15201731.5.

58 Advanced Microlenses Fabrication Techniques G. Basset, A. Luu-Dinh, C. Schneider, F. Herzog, F. Zanella, A. Mustaccio A way to increase the quantum efficiency of image sensors is by adding a microlens array. The microlenses located on top of each pixel direct light preferentially into the active pixel volume, to offset the limited fill factor in front illuminated CMOS image sensors. Such microlens arrays are commonly produced using CMOS processes, which are best suitable for pixel pitches below 5 µm. At the opposite, larger microlens arrays, with pitches of a few tens of microns to several hundred microns in diameters are fabricated by the thermal reflow of photoresists. This fabrication method gives excellent optical performance and much affordable fabrication for large microlenses. These dimensions matches the pixel pitches of some specialized image sensors such as Single-Photon Avalanche Photodiode (SPAD) arrays [1], scientific and space observation cameras. Additionally, several applications, such as 3D displays, digital optical processors, optical communication or optical security make use of high quality microlens arrays. CSEM has improved its capabilities to fabricate microlenses based on thermal reflow processes, opening the possibility for new shapes and flexibility. Microlenses produced by reflow are made of a photoresist patterned by photolithography and thermal melting. They are Figure 2: SEM image of a microlens array produced by reflow on a limited to relatively low aspect ratio (sag to diameter) shapes. diffusive structure− top view. Arrays of high aspect ratio microlenses are unstable because of a risk of merging of adjacent microlenses during reflow that can result in the collapse of the array. By using a surface functionalization self-aligned to the photoresist, it is possible to control accurately the shape of the microlenses during the reflow to circumvent this limitation. This opens the possibility to produce very high aspect ratio microlenses as shown in Figure 1.

Figure 3: SEM image of an array of very small microlenses with high aspect ratio produced by reflow−oblique view. Diameter: 3.6 µm, height: 2.1 µm.

Figure 1: SEM image of more than hemispherical microlenses produced by reflow−cross-section view. As other examples, this method allows the fabrication of high quality microlenses on heavily patterned surfaces such as optical diffuser as shown in Figure 2 and of arrays of high aspect ratio microlenses with inter-lens gaps well below 2 µm, as shown in Figure 3. Finally we also demonstrate the possibility to directly inkjet print microlenses with high optical quality polymers on standard substrates as a digital and additive manufacturing approach as Figure 4: SEM image of directly inkjet printed microlenses on a glass shown in Figure 4. substrate.

[1] J. M. Pavia, M. Wolf, E. Charbon (2014), “Measurement and modeling of microlenses fabricated on single-photon avalanche diode arrays for fill factor recovery”, Optics express, 22(4), 4202- 4213.

59 Ultra-thin Direct-lit LED Modules with Beam-shaping Thin-film optics O. Fernández Large-area panels based on LED arrays are widely used, for example in offices and shops due to their appropriate illumination characteristics. Direct- lit LED modules offer several advantages over edge-lit both cost and performance-wise. However, large light-mixing air gaps are required to provide aesthetically appealing and glare-free uniform luminance over the entire emitting area of the panel. Consequently these LED modules are significantly bulky. The air gap can be substantially reduced by beam-shaping the typical Lambertian emission pattern of the LEDs into a batwing shape without compromising the luminance uniformity (LU) value. CSEM has envisioned a thin-film solution based on pixelated periodic microstructures which have theoretically demonstrated effective batwing beam-shaping and over 60% panel thickness reduction compared to unshaped lambertian LEDs. White LEDs have become mainstream in lighting products. Beam-shaping can be achieved attaching lenses to the LEDs. Although extremely bright, the small emitting area results in Such "one lens per LED" approach, currently exploited by. optical output levels insufficient for professional or residential Samsung, OSRAM, and LG is nonetheless costly. applications. Consequently, LED-based lighting modules very Alternatively, complex free-form optical microstructures can be often use LED arrays. used with their profiles at every point specifically tailored to the The light emitted by the LEDs in the array needs to be properly incident angle of the incoming light at this point. However, the handled to prevent undesired effects such as glare, multi- microscopic profiles needed might be rather complex and not shadows and non-uniformly lit areas, common causes of visual compatible with standard fabrication techniques such as discomfort and eye fatigue. diamond milling. A common and effective solution is to distribute the emitted light over a large area. In the so-called edge-lit approach, the LED light is edge-coupled into a few millimeters thick light mixing transparent plate whereas in the direct-lit approach, a diffusive plate/foil is directly illuminated by the LED array, located several centimeters below. The direct-lit design offers interesting benefits over the edge-lit one, including lower weight, higher efficiency and higher Figure 2: (Left) Conceptual representation of the proposed beam luminance uniformity. Unfortunately, the Lambertian emission, shaping thin-film solution. (Right) Theoretical angular emission pattern typical of LEDs (black curve in Figure 1; top), requires air gaps of an LED without (black) and with (green: C0-180 and C90-270 planes; larger than the LED pitch. red: C45-225 plane) CSEM thin-film solution. A much simpler solution is provided by periodic microstructures (prismatic, lenticular) replicated in the form of small pixels. The final shape of the beam is then determined by the profile of the microstructures as well as by the pixel aperture, i.e. by the pixel area and its distance to the LEDs as sketched in Figure 2; left.

With the selected microstructures and optimized pixel size (lx, ly) and distance (t) values a batwing emission pattern is predicted (Figure 2; right). In an array, this translates into a 60% reduction in the number of LEDs (for 80% LU; see Figure 3). Alternatively, for the same number of LEDs, a twofold thickness reduction of the modules can be realized.

Figure 1: (Top) Lambertian (red), cosine-cubed (dotted red) and optimum batwing (solid red) normalized intensity distributions. (Bottom) Irradiance produced by a 4 × 4 LED array over a plane target situated 2 15 mm above the array for lambertian (left) and batwing-shaped (right) Figure 3: Luminance uniformity of a 15 mm thick 600 × 600 mm area LEDs. lighting module as a function of the air gap thickness. t: 1 mm, LED pitch: 30 mm. Beam-shaping offers a way to reduce the air gap thickness. The research leading to these results has received funding from Indeed, the illuminance produced by a Lambert emitter over a the European Union Seventh Framework Programme planar target decreases as 1/cos3θ; being θ the incident angle [FP7/2007-2013] under grant agreement n°619556, project referenced to the target normal. Therefore, the so-called LASSIE-FP7. inverse cosine-cubed distribution (dotted red line in Figure 1; top) produces more uniform illumination (see Figure 1; bottom).

60 Printed Sun Sensors for Satellites N. Marjanović, J. Disser, F. Zanella, J. Schleuniger, A. Mustaccio, R. Ferrini, M. Schnieper The developed sun sensor comprises inkjet printed organic photodiodes, printed resistors and capacitors, high-end Si-based SMDs and operational amplifiers on a flexible circuit board. The fabricated flexible sun sensor is suitable for pico / nano satellites since it is extremely thin (~2 mm) light weight (<2 g) and cost-effective (potentially one order of magnitude cheaper than state-of-the-art products). The sun sensor demonstrates i) the potential of hybrid technology by offering a new way of system integration (coupling printed and Si-based electronics), ii) a new possibility for system designers (smart PCBs), iii) using electronically functional materials (e.g. printable Ag-inks), and iv) extends the current range of products where flexibility counts (e.g. wearable, bendable electronics). This paper describes an innovative electronic system assembly inkjet printed conductive ink. This approach allows enhancing approach, namely hybrid integration which combines printed the production yield and minimizing the system integration risks. electronic components with/on flexible circuit boards (FCBs) Purchased stiffeners and spacers ensure a fixed illumination equipped with conventional Surface-Mounted components configuration while the illumination aperture was opened by (SMDs). Technology-wise, a clear benefit was derived from the laser drilling. In order to easy fold the PI foil, pre-perforation was combination of the advantages of both large area printed also performed by laser drilling. In order to operate the sun electronics based on solution processes (e.g. flexibility, light sensor demonstrator CSEM realized a computer interface with weight, cost effectiveness, etc.) and SMDs with high-end a data acquisition card from National Instruments. functionalities and robustness. Finally, CSEM and HIGHTEC AG fabricated and folded the sun The sun sensor described here consists of four single organic sensor demonstrator addressing the unique properties of the photo diodes (OPDs) [1] with common bottom contact (thin Al- hybrid technology (Figure 2) in terms of volume, flexibility and layer), printed active polymer-based layer and individual top weight. contacts (a semi-transparent thin Au-layer). Other stand-alone components such as resistors, capacitors, thermistors, and OPDs were designed, printed and characterized by CSEM on HIGHTEC’s pre-patterned polyimide (PI) substrates (Figure 1). The selected printed stand-alone components were used to size the preliminary front-end circuit on standard printed circuit board (PCB).

Figure 2: Assembled and folded flexible sun sensor demonstrator. The validation was performed with a green laser manually aimed at each of the 4 cells while the light spot position and light incident angle are calculated by a custom-made software. The calculated position follows well the illuminated pattern and the sun sensor is validated for incident angles comprised between -80° to 80°. The resulting hybrid sun sensor is • extremely thin (thickness of the embedded SMD) Figure 1: Components printed on FCB with embedded SMDs – first step towards demonstrator. • extremely light weight (<2 g) The flexible circuit board (FCB) design relies on the connection- • cost-effective (projected cost significantly lower than on-demand approach which consists in putting stand-alone current solution) printed and SMD components side-by-side. The connection of This example of hybrid technology offers new possibilities to the the selected printed/SMD component to the whole system is system designers (towards smart PCBs), material providers done after characterization of the printed component through (printable functional inks) and extends the current range of products (e.g. wearable, flexible electronics).

[1] G. Maiellaro, et al., IEEE Trans. on Circuits and Systems — I: Regular Papers, Vol. 61, No. 4, pp.1036-1043, April 2014.

61 Inkjet Printing Structural Colors based on Plasmonics F. Lütolf, J. Müller, L. Duempelmann, B. Gallinet, A. Luu-Dinh, G. Basset, R. Ferrini Inkjet printing is a wide-spread coloration technique for home applications, but also for high-end graphics and security elements. This work demonstrates novel color effects based on inkjet printing that circumvent some of the drawbacks of the typically used chemical dyes, such as photobleaching and smearing. Utilizing a plasmonic foil as a substrate and applying transparent inks of varying refractive indices allows the creation and control of structural colors with conventional equipment like desktop inkjet printers and any drawing program. Apart from private users, this technology could be attractive for advertisement, security and brand protection due to the simple, digital serialization of pictures or numbers. Inkjet printing is one of the most common processes in the refractive index material onto the plasmonic substrate and graphic industry. Nowadays, such techniques are by far not hence form a colored picture (Figure 1c and d). limited to classical dyes though; research on metallic and dielectric nanoparticle inks are for example on a steep rise. Inkjet print-heads are still constantly evolving, as higher accuracy, faster printing speed or lower material consumption are highly sought-after. Furthermore, novel concepts such as 3D printing have been implemented in the past decades. Coloration using inkjet is typically based on chemical dyes or pigments, which are prone to photobleaching and smearing when coming into contact with water or grease. Further, the color space available is limited and no metallic gloss, matte finish or actively tunable coloration can be achieved with classical inks. In contrast, structural colors as found in nature on various butterflies or beetles for example do not have these drawbacks. Unfortunately, they are much more difficult to realize and control on an industrial level. Typically, pictures have to be defined lithographically on a nanoscopically patterned master and cannot be adapted in a simple fashion. Combining inks of varying refractive indices and plasmonic Figure 2: A plasmonic structure, bearing a volleyball player inkjet nanostructures sensitive thereto might solve this problem. printed with high refractive index material, photographed in two different linear polarizations of light in two orientations. In the present experiments, a plasmonic substrate consisting of Figure 2 depicts a volleyball player printed in this manner. Note U-shaped aluminum nanowires was chosen. The resonances that due to the polarization sensitivity of the substrate, the found in such structures are very sensitive to the refractive coloration of the picture strongly changes when observed index of the surrounding media; it is therefore possible to through a linear polarizer (compare top to bottom pictures). This change the resonant wavelength by adapting the coating is true for the air interfaced part as well as for the printed part. material. In other words, by dispensing inks of different For the present 1D structure, orientation of the sample is also refractive indices, it is possible to locally control the color of the important for its appearance as clearly visible in Figure 2. This plasmonic substrate. It is worth noting that, for this specific tunable appearance of pictures is essential for visual system, only one of the linear light polarizations is capable of applications like anti-counterfeiting and clearly not achievable exciting the plasmonic resonance. with conventional inks and substrates. It is finally worth noting that large areas of homogeneous plasmonic nanostructures can be fabricated with high-throughput processes as they are roll-to-roll compatible. The printing technique could also be incorporated into such industrial fabrication lines, but is even exploitable by private customers with commercial desktop inkjet printers (as the one used in this report). In summary, it was demonstrated that inkjet printing of dielectrics can be used to locally control the refractive index on a plasmonic substrate and thereby the resulting structural color. Since homogeneous plasmonic substrates can be fabricated with large-area, cost-efficient roll-to-roll manufacturing, customizing such structures with an established process like Figure 1: Schematic showing the experimental procedure. inkjet printing has a high potential for private, but also industrial applications and could even find its way into mass production The plasmonic substrate can be obtained by replicating a of everyday products for decorative or anti-counterfeiting binary grating either by UV casting or hot embossing into a purposes. polymer substrate (Figure 1a) and subsequently evaporating it with aluminum from both sides (Figure 1b). Through self- shadowing of adjacent ridges, disconnected wires are formed. Finally, an inkjet printer is used to dispense a transparent high

62 Aerosol-jet Printing, an enabling Technology for printing Electrodes, Interconnects, Sensors or Antennas on 2-or 3-D Parts G. Voirin, S. Lani, A. Bionaz, R. Smajda, R. Pugin Aerosol-jet printing technology uses an additive manufacturing process that prints with high resolution different materials including conductive nanoparticles, dielectric pastes, semiconductor and other functional materials on 2D and 3D substrates. This flexible and cost effective printing technique is ideal to develop and prototype devices for the consumer electronics, sensors, MEMS, medtech and life science industries. CSEM acquired recently the aerosol-jet printer AJ300 from OPTOMEC. The system consists of an ultrasonic atomizer for materials with a viscosity from 1 to 5 cP and a pneumatic atomizer for materials with a viscosity from 1 to 1000 cP that first create a dense mist of material droplets. The generated aerosol mist is then delivered to the printing head where a sheath gas accelerates, focuses and deposits it on the surface. During travel from the nozzle to the substrate (up to 10 mm) the particle stream remains focused. Therefore linewidth down to 10 microns can be written depending on the nozzle aperture and nature of the printed material. The AJ300 aerosol jet printer has a displacement capacity over 300 × 300 mm with an Figure 3: Printed electronic features on nanoporous paper. accuracy ±6 µm and a repeatability of ±1 µm which is compatible with microsystem fabrication. Several conductive materials like inks based on gold or silver nanoparticles have been be printed on different substrates. After thermal curing the resulting tracks showed good conductivity typically 2 to 3 times the value of bulk materials. Curing temperature is usually ranging from 150°C to 200°C depending on the nature of both the ink and the substrate. On nanoporous paper specifically designed for flexible electronics Figure 4: Printed conductive tracks on complex 3D shape plastic part. application, a curing temperature down to 80°C was In addition to conductive inks, UV curable polymers with a demonstrated. Thus different features have been printed like viscosity from 7 to 500 cP could also be printed. Such features connections for flexible photovoltaic cells (Figure 1), electrodes allow us to isolate conductive tracks, to deposit tiny amount of and antennas on plastic rods (Figure 2), or electronic circuits glue for high precision assembly and also to fabricate directly on nanoporous paper (Figure 3). in place gasket preventing gas or liquid leakage in microsystem assemblies. Finally, the displacement table with high accuracy and repeatability combined with the alignment camera could be used to perfectly align printed patterns. Optical apertures can be printed and aligned directly on packaged light source or photodetectors to avoid tedious optical alignment.

Figure 1: Printed interconnection for flexible PV cell. Aerosol-jet printing is a versatile system able to print functional materials with different viscosities on structured substrates (plastic, ceramic, or metallic). Interconnections, electrodes, antennas and optical structures were printed to build several industrial prototypes and accelerate the development of microsystems. We should also notice here that this printing technology is scalable to support high-volume production requirements. CSEM gratefully acknowledges the Wilsdorf foundation for financial support.

Figure 2: Printed electrodes or antennas on plastic rods. Thanks to the large working distance (more than 5 mm) it is also possible to write on complex shapes substrates, as an example Figure 4 shows electrodes printed in the cavities of a complex 3D plastic part.

63

PV-CENTER & ENERGY MANAGEMENT Christophe Ballif The signing of a global protocol at COP21, now ratified by • Many municipalities and cities are more proactive at China and the USA, certainly makes the case that the years introducing change than countries are (see Switzerland, for 2015 and 2016 will be remembered as special years, and example, and “les cités de l'énergie”). Bottom-up rather than indicates that—in the mid-to-long term—decisive action will top-down pressure will accelerate the transition process. have to be taken internationally. • Climate change skeptics could possibly become an Interestingly, however, so far most countries/entities are endangered species given that 195 countries have signed the continuing not to take decisive action and are electing treaty issuing from the 2015 Paris Conference, thereby making individuals who deny the scientific facts regarding the climate more probable healthy debates on how to act rather than and the environment, or, even worse, sometimes claim to be discussions that doubt the seriousness of the problem. The taking action, but rather are engaged in mere greenwashing. election of Donald Trump is certainly a setback, and an Such an example is the “Terawatt initiative” announced by additional danger with regard to the climate and to affected several countries and major energy companies, which supports populations, but at least the US will not be able to formally “one terawatt” of worldwide PV installation by 2030. Even escape the treaty for the next four years, and a reaction to post- though that seems impressive, 1 TW of PV corresponds, in fact, truth politics might emerge as well. to zero growth of annual PV module production (or even a • Renewables continue to improve, in particular solar electricity, regression when considering production levels in 2016), and is reaching ultra-low prices with power-purchase agreements certainly not enough to create a decisive impact on global CO2 down to 4.5 cts/kWh and even in some cases down to emissions. To be serious, a factor of 3 to 10 times higher should 3 cts/kWh for large parks in sunny countries, when low capital be considered. In other words, we can still expect that—for a costs are available. In many places solar is becoming the few years—stronger measures to curb CO2 levels will come at electricity source with the lowest generation cost, opening a reduced speed. The factors prevailing in this (too) slow further opportunities for storage in various forms, short- or transition include traditional conservatism on the part of long-term. The value of solar electricity still depends politicians and populations, lobbying employed by companies significantly, however, on local regulation, unless one can that wish to avoid a rapid depreciation of their assets (e.g., coal consume it all oneself. burning power plants or other assets in the fossil fuel field), and • Emerging economies such as China continue to invest the difficult financial situation of many states, for which higher massively in renewables, creating a market-pull situation for initial investments in energy efficiency, electricity management, many products and helping to make them more affordable. and renewable electricity generation are perceived as a risk to the economy, rather than these states seeing the multiple long- • Finally, more and more business models and electricity/energy term benefits of such moves. management solutions are being proposed and developed, creating a fertile ground upon which to prepare for the future. Another example of inefficiency is the current situation with This is also the case for Switzerland, with a remarkable regard to the EU electricity market, which has reached the network of both start-ups and established player in the field of summit of absurdity. The failing mechanism of CO2 emission energy. certificates (linked to reduced demand and production overcapacity since 2008) means that the EU wholesale More generally, for Switzerland, the vision of the PV-center & electricity price is now essentially dictated by the marginal price Energy Management (PV&E) program at CSEM targets the of electricity produced by the most polluting power plants—that following objectives: is to say, coal burning plants. At a wholesale price below • 3 cts/kWh, coal is banishing from the market gas power plants, To provide cutting-edge innovation in the field of photovoltaic which only pollute half as much and are much more flexible, devices, realizing the best devices with a high potential for and is endangering all production with clean resources industrialization, and providing modules with the highest (including hydro), unless such production benefits from support potential for adoption by the public in the built environment. schemes. This situation calls for a modification of the CO2 • To support the development of next-generation equipment and certificates systems, the rapid introduction of a bottom price for metrology systems, all along the value chain of photovoltaics, such emissions, and/or the prohibition of polluting power plants. creating a sustainable cleantech value for existing and future However, at a time of “Brexit”, immigration questions, terrorism, CSEM customers. and difficult economic situations in many EU countries, finding remedies to this situation is not a top priority. Because of an • To provide new solutions for specialized devices, coatings, or inability or absence of will to tax CO2 properly, or to prohibit it materials with higher added value, and for PV components with in some applications, more and more forms of cleaner enhanced functionalities. electricity need to be supported—including, for example, • To bring solutions to the energy/electricity management field hydropower in Switzerland—giving them a chance to survive on as we transition toward a society essentially powered by the market. renewables, in which energy efficiency and management will At the same time, however, the pressure for change will be realized through intelligent hardware and algorithms. continue to grow, thanks to some unique factors.

65 General situation A synopsis of the program is given in Figure 1. The bottom part of the figure illustrates the technology infrastructure platforms In 2016, final infrastructure upgrades took place and are now available, which is another way of looking at the program. This fully operational: corresponds to hardware facilities platforms, which are Batteries and cells are now being tested at the ESREC BFH– complemented by additional software/hardware in laboratories CSEM storage research center in Biel and the center is being (e.g., simulation platforms for electrical grids, for plasma modelling, used for various demonstration projects. micro-grid hardware…). At Innoparc, the 600 m2 CSEM technology lab for module fabrication, measurement and test facilities are being used intensively. CSEM and SUPSI are now also collaborating to offer measurements and services in Neuchâtel. The testing facilities for the development of new products have been upgraded with several climatic chambers. In addition the facilities now include a separated room for the compounding and extrusion of larger polymer foils, an R&D line for the copper-plating of c-Si cells, a firing furnace for high- temperature c-Si cells, and a partially automated line for ultra- lightweight PV foils for airplane applications. Figure 2: Represention of the program by field of application. At Maladière 83, the cleanroom infrastructure is also running The activities are all strongly interlinked; the developments made efficiently. High-T processes (e.g., POCl3 and oxidation) are now in operation. Laser platforms have been adapted, with the in each activity can find applications in most other activities (e.g., installation of a high-productivity picosecond laser processing solution processes oxides can be used for crystalline cells). unit. All solar cell lines and coating tools are delivering state-of- Another, different, way of looking at the program is in terms of fields the-art devices, and thanks to the support of a private of application, as described in Figure 2, with the fields Powerpure, foundation, additional equipment for the processing of next- Elegance and architecture, E-tonomy, Explore, and Smart. generation passivated contact devices has been acquired. We give, below, some examples of the typical fields of application; In 2016, CSEM was able to further develop and extend its more detailed reports on these examples can be found in the activities related to the PV&E program. The project portfolio following pages. gained in strength, with project revenues (industrial and Powerpure competitive grants) significantly higher than in 2015. Staffing levels also grew, with over 50 persons (FTE) now involved in The “Powerpure” field includes major improvements and the various activities. innovations in cell processes and packaging, with the direct target CSEM is involved in most major publicly funded programs in of lowering solar electricitiy costs. For instance, multi-wire Switzerland (Nano-Tera, PNR70…) where it collaborates with combined with SHJ bi-facial cells not only enters into this category, the Swiss universities of applied science, EPFL, and EMPA. it also already quasi-attains the mid-term targets mentioned in Finally, all activities continue to be connected to industry Figure 2 (efficiency, reliability thanks to advanced polymer through direct mandates and CTI and EU projects/instruments. processing, and manufacturing costs), as was calculated in the Around 40 external parties (industries and some collectivities or xGW project. RTOs requiring services) are currently working directly with CSEM, benefitting from the program.

Figure 1: Schematic of the activities of the PV-center and Energy Management program. Top part—activities; bottom part—technology infrastructure platforms. For 2017, the program’s structure has been simplified and covers three general activities, which are divided into various Figure 3: Example of Powerpure applications. topics. Typically, four to eight people are involved by topic.

66 Powerpure also includes the demonstration of “next generation”, ultra-high efficiency tandem devices. A full Powerpure module integrates inputs from 5 to 8 topics (e.g., for a tandem PK/c-Si module) from the research program. In particular, SHJ cells with over 23.5% were manufactured with a simple process, tandem-certified III-V/Si cells with a world record efficiency of 30.6% were demonstrated together with NREL, and 4-terminal tandem perovskite/silicon cells were able to reach 25.6%. Elegance In the “Elegance” field, products and solutions are engineered and designed to make a novel generation of PV products that should change the way people see, and think about, solar. Transformative techniques are typically applied to modify the aspects of modules that can be mass-manufactured with standard processes. The reliability of products is at the heart of the field, and this involves assessing and producing advanced Figure 4: Top—colored-PV coin (left); ultra-high efficiency low-illumination polymer foils. Examples of products under development include harvester (right). Bottom—cells in watches and on wristbands (MIP Wear- white photovoltaic building elements; crystalline, terra-cotta, a-Watt) (left); indoor, printable/OPV design (right). large-area rooftop tiles; and lightweight, unbreakable modules Smart for facades. In a world of decentralized, interconnected energy/electricity sources, being “smart” means designing solutions that make possible enhanced flexibility/predictive capability, lower energy usage, and improved services to a specific community. Smart can apply to houses, to districts, and to cities, and to autonomous or mobile objects. Smart is here to manage not only electricity, but also heat, or light, or combinative forms of these three. This activity also targets cost reduction, increased reliability, and a higher acceptability of PV products in the built environment. It helps create new products by, for example, adapting existing mass- market technologies. Figure 5 illustrates some of the subjects treated in the field “Smart”.

Figure 4: (Top left) terra-cotta, crystalline modules; (top right), simulation of a rooftop under construction; bottom, white modules undergoing outdoor test conditions assessment. Figure 5: Being smart—using cloud pattern recognition to predict a PV E-tonomy plant’s short-term production output (left); laboratory “intelligent” micro-DC The “E-tonomy” field relates to the potential of photovoltaics to grid (right); optimizing consumption (bottom). scavenge (or collect) energy for a variety of applications. In a world where objects need to be interconnected, power sources—from a few micro- to a few tens of watts—will be increasingly required, as will energy management, and usage will need to be balanced at an appropriate level. Depending on the light spectrum (indoor or outdoor), typical intensity, and globally required energy, various technologies can be implemented (e.g., thin film Si, organic PV, III-V based devices, or c-Si). Several sets of prototypes and small series production runs were realized, achieving remarkable performance at low illumination. Over 100,000 devices were produced and tested.

67 Platform for High-efficiency Silicon Heterojunction Solar Cells M. Despeisse, A. Descoeudres, C. Allebé, N. Badel, L. Barraud, J. Champliaud, F. Debrot, A. Faes, A. Lachowicz, J. Levrat, B. Paviet-Salomon, L. Curvat, L. L. Senaud, J. Horzel, G. Christmann, D. Sacchetto, M. Benkhaira, S. Nicolay, C. Ballif CSEM has set up a complete platform for the fabrication and the characterization of silicon heterojunction solar cells. Innovative and industry-relevant solutions are developed for the improvement of all cell processing steps, aiming for high conversion efficiencies at competitive costs. The technological topics covered at CSEM include wafer bulk quality improvements, wafer texturing and cleaning by wet-chemistry, PECVD depositions of ultra-thin passivating and contacting layers, PVD depositions of low-cost and/or high-mobility transparent conductive oxides, advanced cell metallization and interconnection processes and various characterization techniques. Efficiencies up to 23.44% have been demonstrated following the developments conducted in the frame of the FP7 European project HERCULES. Silicon heterojunction (SHJ) solar cells present the decisive printed metallization and indium tin oxide (ITO) and aluminum- advantages to combine a high efficiency (potential for modules doped zinc oxide (AZO) for the front and rear TCO layers, SHJ with >21% conversion efficiency) with limited number of cells with an efficiency up to 23.44% were then demonstrated production steps (pre-requisite for keeping reduced fabrication on CSEM SHJ solar cell platform. costs). The technology further exhibits a low temperature coefficient (<−0.3%/ºC) as well as a high bifaciality (>90%), triggering high energy yield for bifacial SHJ modules in the field. Thanks to its symmetric structure and to the high level of surface passivation, the SHJ bifacial cell architecture is also the most suited for thin wafers integration. Calculations show that, with SHJ solar cells, the average levelized costs of energy could be below 4 €cts/kWh in sunny countries [1]. Since the PV-center creation in 2013, a strong emphasis is therefore set in CSEM on the development of a complete, performant and flexible platform covering all aspects of production and characterization of SHJ solar cells, from as-cut wafers to finished devices optimized for module integration. This technological platform allows CSEM conducting advanced R&D projects to develop new processes, materials, production EFFICIENCY VOC JSC FF and metrology equipment, as well as advanced concepts for 23.63 % 726.4 mV 40.15 mA/cm2 81.03 % improved performance and/or reduced production costs; and also to provide services and small batch production for its Figure 1: Schematic representation of the symmetrical structure of customers. bifacial silicon heterojunction solar cell. Table detailing performance of SHJ solar cells implement carrier-selective contacts with high the record SHJ devices fabricated in CSEM platform. surface passivation formed on crystalline silicon wafer with the At wafer level, special additives were developed to enable low deposition of hydrogenated amorphous silicon (a-Si:H) and reflectivity after texturing (<9% at 740 nm), while processes for transparent conductive oxide (TCO) layers. These hetero- the management of the silicon bulk and surface qualities were contacts are demonstrations of so-called passivating contacts, developed, yielding improved carrier lifetime of the produced enabling for its key advantage: increased operating voltages. SHJ precursors. Management of front and back optical losses The intrinsic a-Si:H layers deposited on the wafer surfaces were conducted, by minimizing the a-Si:H thickness, provide excellent chemical passivation, yielding minority carrier implementing high mobility TCO (with >100 cm2/V·s), using lifetime approaching the theoretical limits: as a demonstration, fine-line printing (<40 µm wide fingers) and adapting the cell carrier lifetime >50 ms could be achieved with a-Si:H layers with the integration of dielectric layers into advanced deposited at CSEM on 500 µm thick wafers with a resistivity of architectures at the front and at the rear of the solar cells. The 20 kOhm·cm. Such carrier lifetime is among the highest values conducted developments combined with the conducted ever reported to our knowledge for silicon with contactable investigations of advanced functional thin films produced by passivation layers. Then, doped a-Si:H layers allow for the PECVD, PVD and ALD define the development roadmap selective collection of one type of carriers while blocking the towards >24% efficiency for such SHJ solar cells. other type, with p-type doped layer acting as hole selective contact, and n-type doped layer as electron selective contact. Some of the developments conducted on the CSEM SHJ cell In 2016, an advanced process for the intrinsic a-Si:H layer was platform are further presented in 2016 CSEM technological developed on CSEM platform, to maximize passivation reports: implementation of AZO to replace ITO, integration of properties for complete in/ip SHJ cell precursor stacks while CSEM SHJ cells into world record tandem III-V/Si solar cells, keeping a minimum thickness of about 4 nm for the intrinsic implementation of silicon hetero-contacts in an all back- a-Si:H layer. This triggered an improvement of the electrical contacted cell structure, as well as developments of alternative performance of the developed SHJ cells, with fill factor values metallization and interconnection technologies for SHJ solar up to 81.8% achieved for front emitter SHJ cells. Using Ag cells.

[1] A. Descoeudres, et al., Energy Procedia, 77, 508 (2015).

68 Back-contacted Silicon Heterojunction Solar Cells with a Simplified Photolithography- free Processing B. Paviet-Salomon, A. Tomasi ●, D. Lachenal ●●, L. Barraud, A. Descoeudres, G. Christmann, N. Badel, J. Geissbühler, A. Faes, B. Strahm ●●, S. Nicolay, M. Despeisse, C. Ballif In collaboration with EPFL and Meyer Burger Research, CSEM is developing the next generation of back-contacted silicon heterojunction solar cells, aiming at demonstrating top-level conversion efficiencies with a cost-effective process flow. Crystalline silicon solar cells implementing passivating contacts of BC-SHJ devices. In addition, the developed rear side design based on hydrogenated amorphous silicon (a-Si:H) and features a lower contact resistance of the hetero-contact, hence transparent conductive oxide (TCO) layers demonstrate the key yielding a reduced series resistance in the final device. advantage of increased operating voltages, as demonstrated in As a major achievement, CSEM together with EPFL and Meyer CSEM silicon heterojunction solar cell (SHJ) platform. In Burger Research fabricated in 2016 a 22.9%-efficient lab-scale addition, maximum optical performance can be achieved using BC-SHJ solar cell (9 cm2), using the developed simplified an all back-contacted solar cell architecture, providing no fabrication steps and architecture. The current-voltage curve of metallization shadowing at the cell sunny-side. The back- this record device is plotted in Figure 2. This result contacted silicon heterojunction (BC-SHJ) architecture demonstrates the high efficiency potential of the BC-SHJ therefore represents one of the silicon solar cell approach with technology developed at CSEM. the highest efficiency potential, combining optimum electrical and optical performance. This was demonstrated in 2016 with the achievement by Kaneka, Japan, of a conversion efficiency up to 26.33% using such BC-SHJ architecture, establishing the world-record efficiency for single junction crystalline silicon solar cells. However, the successful industrial spread of BC-SHJ devices is impeded by their complex and delicate process flow, usually involving several costly photolithography steps, to realize the patterned rear contacts. In the frame of the CTI project "PUNCH", CSEM, in close collaboration with EPFL and Meyer Burger Research, is developing the next generation of BC-SHJ devices, targeting high conversion efficiency along with a cost effective process flow.

ARC + passivation Figure 2: Current-voltage curve of the 22.9%-efficient record BC-HJT device jointly developed by CSEM, EPFL, and Meyer Burger Research. c-Si (n) The upscaling of this process flow was first conducted towards i-aSi:H passivation 25 cm2 cells, with efficiency up to 22.8% demonstrated using n-aSiH p-aSiH n-aSiH the developed architecture. Then, upscaling to full 6-in wafers electrodes was initiated at the Meyer Burger Research facilities. The first large area BC-SHJ cells prototypes – obtained using mass production tools – demonstrated that the photolithography-free process flow developed has the potential for producing industrial BC-SHJ devices in a cost-effective way in the coming years. Strong focus is now set to achieve higher performance Figure 1: Schematic cross-section of the BC-SHJ devices developed, by further reducing series resistance of the devices. on top of a close-up view of the back side of a full 6-in BC-SHJ device. Future work also focuses on the metrology and on the CSEM developed and patented an innovative back side integration of the developed solar cells. Dedicated contacting architecture[1], based on shadow-masking and on advanced units are being developed, while a module architecture thin film layers stacks, enabling to accurately pattern the rear specifically designed for BC-SHJ devices, based on the Meyer electrodes of BC-SHJ devices without the use of any Burger SmartWire® technology, is being developed to photolithography step. The CSEM approach drastically reduces efficiently integrate the cell developments into the final product. the number of process steps to only 8, compared to at least 14 This work is funded by the Commission for Technology and steps for a photolithography-based process flow. This results in Innovation (CTI) under grant No 17705.1 PFNM-NM. a potential cost-effective process flow for the mass production

● EPFL, IMT, PV-Lab [1] B. Paviet-Salomon, A. Tomasi, M. Despeisse, C. Ballif, ●● Meyer Burger Research "Photovoltaic device and method for manufacturing the same", patent EP 15 19 2655 (2015).

69

Direct Interconnection of plated Silicon Heterojunction Solar Cells J. Geissbühler, A. Lachowicz, A. Faes, J. Champliaud, J. Brossard, N. Badel, J. Horzel, M. Despeisse, C. Ballif Copper electroplating is investigated as an alternative metallization technique for silicon heterojunction solar cells which suppresses entirely the use of silver and creates highly conductive metallic fingers. This process has been successfully implemented for bifacial solar cells in a R&D pilot line at CSEM. This metallization technique is particularly adapted for the direct cells interconnection method where conductive fingers are to maximize performance and minimize costs. This work was conducted in the frame of the SFOE pilot and demonstration Swiss-Inno-HJT project. Copper electroplating is a promising next generation relaxing the constraint on the metallization grid, and on the metallization technique for silicon solar cells, and in particular direct interconnection of segmented SHJ cells. The latter for silicon heterojunction solar cells (SHJ). Indeed, this method technique, also referred as "shingling", is presented in Figure 2, enables to suppress expensive silver in the SHJ cells where the cells are cut in smaller sub-cells and stacked as roof- manufacturing and furthermore allows the fabrication of more tiles with electrically conductive adhesive (ECA) to ensure a conductive and narrower finger geometries with respect to reliable electrical contact. state-of-the-art printing of low temperature (~ 200ºC) cured Ag [ 1 ] pastes . In this prospect, a R&D plating pilot line was SHJ cell ECA SHJ cell developed and ramped-up at CSEM for the production of SHJ cell SHJ cell copper plated SHJ solar cells. The established process starts Hidden SHJ cell with a low-cost hot-melt inkjet patterning technique in order to busbars form an insulating mask with typically 30 μm-wide openings on Figure 2: Schematic of the shingle cell interconnection approach. a metallic seed-layer. Next, the seed-layer is locally thickened in those opened areas to form the metallic finger. This process takes place in vertical plating tools where both sides of the cells are plated simultaneously enabling a fast and simple manufacturing of bifacial cells metallization grids. Finally, both the insulating mask and the seed-layer are removed. Currently, these processes are further developed at CSEM to reduce the cost of patterning and of electrodeposition while increasing the conversion efficiency of SHJ devices. Figure 3: Test module with 2 sub-cells featuring an electrodeposited copper metallization assembled with the shingle cell interconnection approach. This direct interconnection method presents the advantages to suppress the optical and electrical losses related to the interconnecting ribbons present in state-of-the-art modules, to increase the power density and to yield improved aesthetics of the solar panel. This technique is currently investigated at CSEM with promising module efficiency of 20.6% achieved with Figure 1: (left) Vertical plating bath for bifacial SHJ cells, (middle) 3-D screen-printed SHJ cells. In such advanced module reconstruction of a 35-μm-wide and ~16-μm-thick electrodeposited configuration, the more resistive silver fingers implies to laser copper finger; (right) plated metallization grid on SHJ solar cell. cut 4 to 6 sub-cells per 6'' wafer cell, leading to damages potentially reducing electrical performance. These losses as With the developed materials and processes, highly conductive well as the ECA consumption can significantly be mitigated by copper fingers typically ~35 μm-wide and ~16 μm-thick can be using copper plated SHJ cells where the highly conductive fabricated in CSEM R&D pilot line on full 6'' bifacial cells, as fingers enable to cut in only 3 or even 2 sub-cells reducing the shown in the 3-D reconstruction of Figure 1. This leads to line area affected by the laser process, lowering the ECA amount resistance of 0.3 Ω cm-1, more than 3 times more conductive required for the contact and the number of sub-cells to handle. than a screen-printed silver counterpart, while significantly An example of a test module with 2 copper plated cells is shown reducing the optical shadowing. The achieved performance is in Figure 3. With respect to state-of-the-art glued ribbon key for improving the performance of standard H-patterned interconnection, the amount of ECA is reduced with such silicon heterojunction solar cells interconnected by state-of-the- interconnection configuration, and power density increased. art ribbon soldering or gluing. For the SHJ cells case, low damage segmentation must In parallel, alternative solar cell interconnection technologies therefore be achieved to guarantee the high performance of and modules designs are being developed to improve such direct interconnected modules. performance, aesthetics and reliability. Among the developed approaches, CSEM focuses on the multi-wire technology,

[1] J. Geissbühler, et al. IEEE J. Photovoltaics 4, 4 (2014).

70 Multiple Metallization Schemes enabled by Multi-wire Interconnection. A. Faes, C. Allebé, N. Badel, C. Ballif, J. Champliaud, M. Dadras, M. Despeisse, J. Escarré, J. Geissbühler, J. Horzel, A. Lachowicz, J. Levrat The SmartWire Contacting Technology provided by Meyer Burger consists in busbar-less cells interconnected using copper wires coated with a low melting point alloy, replacing the standard busbars and ribbons soldering. This multiple-wire approach permits using typically 18 wires instead of 3 to 5 ribbons, limiting the transport length in the cell metallization, therefore reducing the power losses in the metallization grid. This enables for the implementation of metallization lines with a line resistance up to 10 Ω/cm without impacting the module electrical performance, providing opportunities for a great flexibility in the metallization techniques and materials for solar cells. A variety of materials and techniques have been tested at 1 Ω/cm to ensure minimum electrical losses in the cell metal CSEM for the fabrication of the metallization grids in silicon grid. Considering Figure 2, down to 20 µm large Copper plated heterojunction solar cells. A first focus was set on fine-line fingers and down to 60 µm large printed Ag fingers can be screen-printing of low temperature cured Ag pastes. Following implemented (the latter corresponding to a minimum laydown dedicated optimization, ~30 µm large Ag fingers for ~6 Ω/cm mass of about 80 mg of Ag per side without counting busbars). line resistance and ~60 µm large fingers for 1 Ω/cm line Alternatively, CSEM puts a strong focus in developing with resistance could be achieved. Pushing to the limits, ultra-fine- Meyer Burger the SmartWire Contacting Technology (SWCT), line printing of only 16 µm large fingers could be achieved which consists in interconnecting the cells via 18 wires instead through a mask opening of 12 µm and a mesh to opening of 3 to 5 ribbons: this strongly relaxes the constraint on the orientation of 90°. In order to potentially lower metallization metallization grid to line resistances below 10 Ω/cm still costs, not only a reduction of laydown material was studied, but ensuring minimum electrical losses. also alternative materials such as copper-based low temperature cured pastes. First experiments demonstrated about 60 µm large printed fingers for a line resistance of ~ 4.5 Ω/cm using such material.

Figure 3: (left) Picture of the CSEM's façade from the backside of the solar modules (right) scheme of SmartWire interconnection of two busbar-less cells. Considering Figure 2, all the developed metallization techniques and materials can now be employed: the multi-wire Figure 2: (left) Optical image of silver screen-printed finger, and (right) approach widens the possibilities offered for the metallization SEM image of the screen opening. process and materials. Importantly, this first gives access to the implementation of fine-line printing even though line resistance of 2 to 6 Ω/cm only are obtained. While this ensures enhanced 15 mg/side performance via reduced shadowing (~0.5-1% current generation increase), it can reduce Ag usage by up to a factor 5. Fine-line printing enabling for only 60 mg of Ag for both sides of bifacial SHJ cells (30 mg/side) was demonstrated at pilot level with 1000 cells processing, compatible with high performance multi-wire modules. Prototype modules were 160 mg/side fabricated with silicon heterojunction busbarless cells produced 80 mg/side with metallization grids based on fine-line screen printed Ag, screen-printed Cu based fingers, inkjet-printed Ag, as well as copper plated fingers. Each prototype module used 18 wires Figure 2: Measured finger line resistance vs. finger width for varying interconnection scheme, and was then tested for accelerated metallization techniques and materials. degradation under damp heat and thermo-cycling. All modules demonstrated <5% degradation after IEC testing standards, A second focus was then set on alternative metallization confirming the potential implementation of larger variety of techniques. Direct inkjet-printing of Ag was evaluated and metallization technologies and materials via the use of multiple- different printing strategies were developed targeting either fine wire interconnection. lines (minimum of 35 µm wide) or lines with high aspect ratio (up to 0.7, enabling for a line resistance of 1 Ω/cm for ~65 µm The new CSEM's solar powered façade implements such large fingers). Finally, the lowest line resistance for fine line heterojunction cells interconnected by SWCT, further metallization was achieved by copper electroplating, with down demonstrating improved aesthetics of this module concept for to 20 µm large fingers still with a line resistance of 1 Ω/cm, as building integration. produced in CSEM R&D plating pilot line. In standard modules, cells are interconnected using 3 to 5 ribbons, imposing a line resistance below respectively 0.5 to

71

Above 30% Efficiency Tandem Solar Cells using Silicon Heterojunction Bottom Cell C. Allebé, A. Tamboli ●, D. Young ●, S. Essig ●●, B. Paviet-Salomon, A. Descoeudres, L. Barraud, N. Badel, A . Faes, J. Levrat, M. Despeisse, C. Ballif The CSEM PV-center and the National Renewable Energy Laboratory (NREL, USA) have jointly fabricated more than 30% efficient tandem solar cells using silicon bottom cells. This result sets a benchmark in the development of such silicon based tandem cells, approach followed by many groups worldwide to surpass the theoretical limit of silicon single-junction solar cells. The top cell was made of either GaInP or GaAs and fabricated by NREL, while the bottom cells were silicon heterojunction solar cells fully manufactured in CSEM fabrication platform. Special focus was set on optimizing the silicon cell for application in such tandem configuration. The top and bottom cells were mechanically stacked in 4-terminals tandem devices, and world record certified efficiencies of respectively 30.45% and 30.63% were achieved for GaInP/SHJ and for GaAs/SHJ tandem cells. Within the next years the conversion efficiency of Silicon single- combined with top cells fabricated by NREL, either a rear- junction solar cells will converge towards its practical limit hetero-junction GaInP top cell or a GaAs top cell. The between 26% and 27% under one-sun operation. This fabricated top and bottom cells were then mechanically stacked performance limit can then be overcome by adding one or more to form 4-terminals III-V/Si tandem cells, with each of the sub- cells with bandgap energy larger than 1.1 eV to the silicon cell. cell being operated independently at its own maximum power Thereby, short-wavelength photons are converted in the point. higher-bandgap top cells, reducing thermalisation losses in the solar cell and leading to the generation of an additional voltage. Simulations, based on the detailed balance limit, have shown that dual-junction cells with Si bottom cells can achieve theoretical efficiencies over 45%. The optimum top cell must feature a bandgap energy in the range of 1.6 to 1.8 eV and a high external radiative efficiency. In this context, large efforts New world record are set worldwide in the development of such tandem solar III-V/Si tandem cell cells using a silicon bottom cell, and in particular at CSEM to 30.63 % prepare the next generation solar cells. certified efficiency

Figure 2: Picture of 1 cm2 III-V/Si tandem cells mechanically stacked, Silicon based PV demonstrating up to 30.63% record efficiency. devices roadmap TANDEM IBC - HETEROJONCTION: Record 25.6 % Main electrical characteristics of the devices are summarized in IBC: 26 % 23 - 25 % 25 % Theoretical limit c-Si Table 1. The accurate analysis of the cumulative tandem cell 24 % single cell: 29.4 % 23 % Cell 1 High Eg efficiency requires the bottom cell JV-curve to be measured 22 % Cell 2 Low Eg 21 % while the top cell is kept at its maximum power point. Under 20 % these conditions, resulting cumulative tandem cells efficiencies 19 % HETEROJONCTION: 22 – 23 % of 30.45% and of 30.63% are achieved. 18 % CZ PERC: Record 25. 1 % 20 – 21 % Record 22.1 % mc: Table 1: Characteristic parameters of the NREL certified JV-curves of 19 – 20 % Record 21.2 % the GaInP/SHJ and GaAs/SHJ tandem cells fabricated.

cell Figure 1: Schematic representation of silicon based PV devices V J FF tandem cell OC SC efficiency [mV] [mA/cm2] [%] efficiency [%] roadmap for enhanced efficiency, with potential to overcome the 30% [%] efficiency limit with tandem cells structures. GaInP/Si tandem, A= 1.005 cm2 GaInP top cell 1448.3 15.30 85.1 18.83 30.45 The Silicon heterojunction solar cells (SHJ) developed at Si bottom cell 691.9 21.49 78.2 11.62 CSEM are well suited for integration in tandem cells. SHJ cells GaAs/Si tandem, A= 1.006 cm2 GaAs top cell 1090.9 28.98 81.5 25.61 have passivating contacts enabling for its key advantage of 30.63 Si bottom cell 669.1 9.53 78.8 5.02 high operating voltages, and further provide excellent response in the long wavelength range, contributing to record 1-sun To the best of our knowledge, these are the III-V/Si multi- efficiencies (up to 23.44% achieved on CSEM fabrication junction solar cells with the highest one-sun efficiency ever platform). A challenge for SHJ cells remains the parasitic light reported. This efficiency exceeds the theoretical efficiency limit absorption in the thin a-Si:H and TCO films especially for short (29.4%) and the record experimental efficiency value (26.33%) wavelengths at the front side of the cell. The integration of a of a Si single-junction, 1-sun solar cell and is also higher than SHJ cell in a tandem device therefore cancels this intrinsic the record efficiency 1-sun GaAs device (28.8%). It is also close limitation of SHJ cells: excellent conversion of short-wavelength to the record 1-sun efficiency for dual-junction solar cells of radiations up to typically about 700 nm is realized in the top cell 31.1%, which was achieved with monolithic GaInP/GaAs and efficient conversion of the longer-wavelength radiations is devices. It therefore demonstrates the high potential of such achieved in the SHJ bottom cell. To demonstrate the potential tandem solar cells using SHJ bottom cell. This work opens the of such tandem solar cells structures implementing a SHJ route for testing/developing new processes, materials and bottom cell, two different structures were tested in collaboration concepts enabling to further boost, establish PV as performant with NREL and EPFL. The CSEM SHJ bottom cells were energy source.

● NREL, USA

72 Development of Indium-free TCOs for Silicon Heterojunction Solar Cells G. Christmann, D. Sacchetto, L. Sansonnens, G. Wahli ●, L. Barraud, A. Descoeudres, B. Paviet-Salomon, A. Faes, J. Champliaud, N. Badel, B. Srahm ●, M. Despeisse, S. Nicolay, C. Ballif In the framework of the H2020 project INREP and the CTI project TACOS, CSEM develops in collaboration with the Meyer Burger Research (MBR) indium free transparent conducting oxides as a replacement for indium tin oxide. High efficiency silicon heterojunction technology (HJT) solar constraints on the AZO. Contacts were initially developed on cells are a very promising solution for the development of clean CSEM cells, and then the process was tested on Meyer Burger renewable energy sources. Indeed, this technology holds the 6 inch production cells. Figure 2 shows that replacing ITO by highest record efficiency for single junction silicon solar cells AZO can be done with minimal power conversion efficiency (>26%). Furthermore, it is cost competitive thanks to a (PCE) losses (-0.2 point). fabrication process involving a limited number of fabrication steps compared to other wafer based technologies. In this context, CSEM has developed a strong expertise in this technology, and in parallel, the Meyer Burger group is proposing a full platform for silicon HJT solar cell production. Despite its established advantages, it remains necessary to further increase the competitiveness of this technology to promote its adoption within a very conservative industry. With this in mind CSEM has been developing indium-free transparent conducting oxide (TCO) to replace the costly Figure 2: Comparison between AZO and ITO contacts on Meyer indium tin oxide (ITO) which is currently the standard material Burger HJT solar cells efficiency (F, B: front and back contact). used in HJT as front and back electrodes (Figure 1). These very encouraging results were transferred on MBR tools with active support from CSEM, first on a semi-production scale tool and their full scale production scale allowing processing of 42 cells in one go. Initial runs on the large scale tool exhibited efficiencies 0.6 points below ITO reference but more recent results exhibited efficiencies 0.2-0.3 point below references, showing the results obtained at CSEM can be transferred on the production tools. Continuous process improvement is expected to further reduce the gap. Finally the reliability of cells using AZO was assessed and compared to ITO by testing 1 cell mini-modules for damp heat degradation (85°C; 85% humidity).

It was observed that there is no significant difference between Figure 1: Typical semiconductor HJT solar cell structure. the two types of cells and the mini modules using AZO cells The chosen alternative for ITO is aluminum-doped zinc oxide pass the IEC standard degradation test (<5% relative (AZO) as it has a high bandgap (3.3 eV), ensuring good degradation for 1000 hours). transparency and can be doped to high carrier concentrations (~10²¹ cmˉ³), allowing high conductivity. AZO can be sputtered, therefore targets can be installed into the existing ITO PVD tools. However, the properties of AZO are not on par with ITO: for typical layers used in solar cells the AZO mobility is ~15 cm²/(V.s) against 30 cm²/(V.s) for ITO. Hence, to achieve the same sheet resistance a higher carrier concentration is required, thus increasing losses by free carrier absorption. AZO is also more sensitive to residual moisture, which raises concerns about process sensitivity and controllability but also about the reliability of finished devices incorporating these layers. Therefore, CSEM should demonstrate that it is possible Figure 3: 16 indium-free cells demo module. to achieve similar cell efficiencies with AZO contacts than with In summary AZO transparent electrodes as replacement for ITO ones and that cells with AZO can be made in a production ITO is at a stage close to production with very similar PCEs and environment with good reliability. reliability and an industrial scale compatible process. The design of the solar cells was carefully considered in order This work is supported by the Commission for Technology and to circumvent the intrinsic limitations of AZO with respect to ITO. Innovation (CTI) through the TACOS project and by the For example a rear emitter design where the p a-Si is at the European Union’s Horizon 2020 research and innovation back and the n at the front has been chosen. This way wafer program under grant agreement No 641864 (INREP). conduction helps current spreading thus relaxing conductivity

● Meyer Burger Research

73

Upscaling of Perovskite-based PV Devices within Project CHEOPS A. Walter, S.-J. Moon, D. Sacchetto, B. Niesen, S. Nicolay By leading the European Horizon 2020 project CHEOPS (low Cost and Highly Efficient phOtovoltaic Perovskite Solar cells), CSEM is committed to bring the promising emerging PV material perovskite closer to the market. To do so, the project focuses on three main axes: development of perovskite/silicon tandem cells, encapsulation and stability, and upscaling. Energy conversion efficiency of organo-halide perovskite These early results already set the necessary base for based photovoltaic devices has rapidly advanced over the achieving the ambitious goal of the CHEOPS project, namely course of the past few years, reaching values in excess of 20%. manufacturing high efficiency (above 14%) stable modules of However, most of the reported efficiencies are still on small lab- at least 15 × 15 cm2 in a pre-production environment. scale devices below 0.3 cm2. Therefore, an important effort to As previously stated, one of the main interest of perovskite upscale such results is needed to demonstrate the commercial absorber is that it can provide a low-cost efficiency boost to viability of this technology. In this sense, CSEM has existing crystalline silicon solar cells, such as the high efficiency demonstrated a minimodule with 11.5% efficiency on 12 cm2 silicon heterojunction cells developed at the CSEM PV-center. aperture area [1]. Within the frame of CHEOPS, CSEM and EPFL's PV-lab have Moreover, perovskite possesses a wide bandgap that fills the already successfully demonstrated record breaking tandem requirements as a top absorber in tandem devices in cells. Two different tandem architecture are currently combination with crystalline silicon, offering the potential for investigated: a monolithic integration, where the perovskite top very high efficiencies, a route that is also explored by CSEM absorber is directly grown on the silicon bottom cell, thus and its partners in the project. limiting the need for interconnections, and the so-called 4-terminal tandem, where two independently fabricated sub- In terms of scalability, efforts have been made recently at cells are mechanically stacked together. Recent published CSEM to go from 0.49 cm2 cells to 1.015 cm2 cells without a results have shown a 20.5% efficiency for the monolithic drop in efficiency. In fact, owing to a careful choice of materials tandem cell and a 4-terminal-like measurement demonstrating and deposition processes, it has been possible to produce 25.2% [2]. 1.015 cm2 cells with an efficiency as high as 17% (measured with maximum power point tracking), a record for the simple Finally, on the material synthesis level, work is being conducted CH3NH3PbI3 absorber. In particular, CSEM's expertise in the to implement a perovskite composition that would lead to cells deposition of TiOx functional layers by magnetron sputtering both more stable and more efficient. Namely, a triple cations has led to the synthesis of very efficient Electron selective mixed-halide composition combining Pb, Cs and Br atoms is contacts. tested.

Table 1: Comparison between triple-cations mixed halide & "standard" cell. Eff. Voc Jsc FF [%] MPP [%] [mV] [mA/cm2] tracked P density [W/m2]

3-cations 18.74 1175.12 21.54 73.24 171.88

standard 16.88 1094.02 20.81 74.15 167.80

In the coming time, focus will be put on further upscaling these results while improving the stability of the cells. In that respect, specific encapsulation schemes are also under development at

CSEM. Figure 1: JV characteristics of a perovskite solar cell exhibiting a stabilized power output of 17%. The cell shows the typical hysteresis This work has received funding from the European Union’s associated to perovskite solar cells. Horizon 2020 research and innovation program under Grant Agreement No. 653296.

[1] S.-J. Moon, J.-H. Yum, L. Löfgren, A. Walter, L. Sansonnens, [2] J. Werner, L. Barraud, A. Walter, M. Bräuninger, F. Sahli, M. Benkhaira, S. Nicolay, J. Bailat, C. Ballif, "Laser-Scribing D. Sacchetto, N. Tétreault, B. Paviet-Salomon, S.-J. Moon, Patterning for the Production of Organometallic Halide C. Allebé, M. Despeisse, S. Nicolay, S. De Wolf, B. Niesen, Perovskite Solar Modules", JPV, 5 (2015), 1087-1092 C. Ballif, "Efficient Near-Infrared Transparent Perovskite Solar Cells Enabling Direct Comparison of 4-Terminal and Monolithic Perovskite/Silicon Tandem Cells", ACS Energy Letters, 1 (2016), 474-480

74 Silicon Photovoltaics for Hydrogen Production J.-W. Schüttauf, D. Dominé, A. Faes, M. Despeisse, C. Ballif, J. Bailat The SHINE Nano-Tera.ch project aims at the realization of a fully integrated solar-to-hydrogen system using thin-film silicon solar cells. Compared to a standard approach with discrete elements – with separate solar panels and electrolyzer – an improved efficiency might be expected thanks to the recycling of the dissipated heat from the solar cell using the same components. Due to the intermittent nature of renewable energy technologies More straightforward solutions using silicon solar cells have such as wind and solar, their large-scale implementation also been studied, such as a serial interconnection of silicon requires solving current challenges related to energy storage. heterojunction solar cells (see Figure 1). With this approach, we have obtained a stable (>100 h) solar-to-hydrogen conversion A possible solution to elegantly store energy from sunlight in efficiency of 14.2% without DC/DC conversion, fully relying chemical bonds is the direct production of hydrogen using solar silicon-based solar cells and earth-abundant electrocatalysts [4]. cells and water. Hydrogen can for instance be compressed, This value currently represents the highest reported efficiency transported and stored; alternatively it can be injected in the worldwide for solar-driven water splitting based on silicon gas distribution system as it is done, e.g., in a demonstration photovoltaics [3-5]. project in Germany. The solar cells that have been applied in this study are of the The multidisciplinary Nano-Tera.ch project SHINE involves same type and manufacturing procedure as the ones used in several research groups within the fields of optics for solar the façade covering the south side of the CSEM building in energy, optics and fluidics, semiconductor solar cells, Neuchâtel (see Figure 2). Moreover, contrary to many other electrochemical materials and system simulation [1]. CSEM is in approaches presented in the literature, this solution provides an charge of developing the photovoltaic components of the excellent stability. Efficiencies slightly above 16% should be system. practically possible on the short term following this approach. Thin-film silicon-based triple-junction devices have been developed to be integrated with electrolysis units. Such cells consist of a stack of two amorphous silicon subcells and one microcrystalline silicon subcell, and are optimized to achieve a sufficient voltage for water splitting when coupled to an electrolyzer. At one sun illumination, an open-circuit voltage of 2.14 V and a power density produced at the maximum power point of 11.3 mW/cm2 have been obtained, leading to a potential water splitting efficiency of 8.1% [2]. This device has been successfully integrated in laboratory hydrogen production setups, so far leading to a stable solar-to-hydrogen conversion efficiency above 6% for over 20 hours. Figure 2: Solar façade on the CSEM building in Neuchâtel. As the components in the presented system are commercially viable, easily scalable and have long lifetimes, these devices have the potential to open a fast avenue towards the industrialization and deployment of cost effective solar-fuel production systems. As an example, a 12-14 m2 system installed in Switzerland would allow the generation and storage of enough hydrogen to power a fuel cell car over 10’000 km every year. CSEM thanks Nano-Tera.ch for its financial support received in

the framework of the RTD project SHINE. Figure 1: Artistic impression of our record solar-driven water splitting system [3].

[1] http://www.nano-tera.ch/projects/367.php [4] J.-W. Schüttauf, M.A. Modestino, E. Chinello, D. Lambelet, A. [2] D. Dominé, et al., Proc. of WC PEC 6, Kyoto, Japan (2014). Delfino, D. Dominé, A. Faes, M. Despeisse, J. Bailat, D. Psaltis, C. Moser and C. Ballif, J. Electrochem. Soc. 163, F1177 (2016). [3] https://actu.epfl.ch/news/an-effective-and-low-cost-solution-for- [5] storing-sol/ J. W. Ager, M. Shaner, K. Walczak, I. D. Sharp, S. Ardo, Energy Environ. Sci. 8, 2811 (2015).

75

Plastics Compounding Platform for Reliable and Dedicated Packaging Materials for PV Modules H.-Y. Li, J. Escarré, G. Cattaneo, U. Fuerholz, C. Ballif, L.-E. Perret-Aebi The compounding platform at CSEM has been expanded to better serve the needs for developing resistant and customized packaging materials for PV modules encapsulation. At the moment, cast film with width up to 20 cm can be extruded with capacity of 10 kg/h. Several ongoing projects have been benefitting from the platform and obtaining key R&D results. The expanded platform further strengthens the competitiveness of CSEM as a major R&D partner in the field of PV module technology. The reliability of PV modules is critical for the further reduction • Dr.COLLIN single-screw extruder 25 mm Φ x 25 D, Type E of the levelized cost of PV electricity. A typical PV module 25E. Its max. throughput is 10 kg/h. mainly consists of front cover, stringed cells and back cover. • Dr. COLLIN flat film line consists of Chill roll with width of For the structural integrity, those components are bonded with 350 mm, 2 flat-film die slot with width of 200 mm, winder two layers of adhesive film, commonly referred as the and tempering unit. encapsulant. These thin encapsulants, normally 0.4-0.5 mm thick, bare multiple important functionalities within the module, • Chemical analysis facility (e.g. DMDR, DSC, FTIR, GC/LC- like the mechanical bonding, optical in-coupling, UV blocking, MS, Raman, GPC, NMR,…), partly in collaboration with water/oxygen barrier, etc. Moreover, its stability under the external partners combinational effects of light, heat and moisture has a • Extensive accelerating lifetime testing facilities: climate significant impact on the reliability of the PV modules [1]. The chambers for damp heat, thermal cycling, humidity freeze, mostly used encapsulant in the past decades has been based UV+ damp heat; UV chamber; Ovens; highly accelerated on poly (ethylene-vinyl acetate) (EVA). It has been observed damp heat testing setup (high-pressure cooker test). that EVA encapsulants of various grades from different manufacturers exhibit distinct outdoor reliability. The With the expanded infrastructure, the platform can extrude composition of the EVA base resins used therein is often similar packaging foil of 0.1 to 2.5 mm thick with the maximum width of with 26-32% of Vinyl Acetate. The key factor causing the 20 cm and maximum throughput of 10 kg/h. The platform has different reliability is the formulation. This is also true for the been supporting a few projects (internal, industrial and CTI). polyolefin (PO)-based encapsulant, which is considered as a The highlights of the R&D results are listed here: major alternative to EVA. • EVA and TPO-based encapsulant with red-shifted UV absorption have been developed. The cut-off wavelength can be adjusted from 350 to 400 nm. The conventional approach with cut off at 400 nm results in slight yellowing. With the innovative approach, the yellowness is reduced. • A technique has been developed and tested extensively to fine-tune the viscoelastic properties of the EVA or PO base material during the module lamination cycle. This approach is shown to be capable of producing EVA/PO-based packaging materials with customized processabilities to meet the specific needs of the novel module design or module lamination process. • Conventional white EVA reflects rather uniformly in the UV, visible and near IR. By adjusting the formulation, a white EVA encapsulant with improved transmittance in the NIR

range has been developed. Figure 1: The compounding platform in CSEM. a) Extruder; b) Flat film line; c) Compounder; d) Pelletizer. • For a specific project, a PO-based packaging material with In the past year, CSEM has expanded the infrastructure improved adhesion to the surface of metal and Si solar cell available in the plastics compounding platform, to meet the is developed. The adhesion to the studied surface is growing needs of various projects on performing and enhanced by at least 3 times compared to the reference customized PV packaging materials. Now the platform includes solution. mainly the following facilities (see Figure 1): Besides the highlights above, progress has been made on • Dr.COLLIN TEACH-LINE twin-screw compounder developing EVA/PO-based PV encapsulant with superior ZK 25 x 24 L/D. Its max. throughput is 4.0 kg/h. environmental reliability to the commercial competitors. This will serve as the base for the further development of customized • Dr.COLLIN lab strand pelletizer packaging materials.

[1] H.-Y. Li, "Open the black box: understanding the encapsulation process of photovoltaic modules", Ph.D dissertation, EPFL (2013).

76 Solarstratos—a Solar Airplane to the Edge of Space P. Duvoisin, J. Escarré, J. Levrat, R. Oleiwan, C. Ballif, L.-E. Perret-Aebi CSEM, as technological partner of the Solarstratos project, has been responsible for the design, fabrication and integration of customized solar modules on an electrical airplane conceived to reach the stratosphere with the sole means of solar power. With a total weight of 700 g/m2, the developed ultra-light weight solar panels can be integrated on to the Solarstratos airplane by means of an innovative fixation system. The project will move solar aviation one step closer to its use in close to space conditions what can open new possibilities in fields such as telecommunication, observation or earth monitoring. Solarstratos project [1] primary goal is to further demonstrate the energy yield of the PV panels, as their electrical output potential of renewable energies by reaching the stratosphere decreases with temperature at rates of 0.3-0.4% per degree with a manned electrical airplane only powered by solar means, Celsius. An experiment carried out by means of a helium-filled thus, establishing a new altitude world record for this flight balloon has proven that the working temperature of a Sunpower mode. In this project, the role of CSEM has been the design, solar cell can plunge from +40°C to -20°C when it reaches an fabrication, testing and accurate integration of customized solar altitude of 12 km in a sunny summer day. The data collected modules on the airplane structure with ideally no detrimental during this experiment is shown in Figure 1. effect for its aerodynamics. In order to maximize the overall energy density stored in the plane a key point was to minimize its weight. In order to achieve this target, CSEM has developed ultra-light weight solar modules by laminating at room temperature the solar cells in between a composite based back-sheet and a polymeric front cover by means of a pressure sensitive adhesive. The resulting modules have a total weight of 700 g/m2 including the Sunpower silicon solar cells weight of 450 g/m2. These ultra-light weight PV panels are very fragile and easily damageable if mishandled. Thus, a manufacturing process has been designed and implemented allowing the modules to be kept over a rigid plate during all different fabrication steps until its final installation on the airplane structure. Thanks to this process, production yields close to 100% have been achieved, minimizing material waste. Figure 1: Solar cell operating temperature as a function of the height. CSEM has also proposed and delivered an innovative solution which ensures a secure fixing of the modules on the Solarstratos project represents a great opportunity for testing carbon/epoxy structure of the plane. This solution adds solar cells and materials, which are generally used in terrestrial flexibility allowing for an easy replacement of the modules after applications, in close to space conditions gaining and installation. Thus, installed solar panels can be updated in the accumulating an important amount of knowledge to be used in future or, in case of defaults such as solar cell breakage, future prototypes. replaced with no damage on the plane structure. This The energy produced by the plane for different heights and integration scheme can also be applied on existing electrical positions relative to sun is estimated by means of a semi- planes turning them into solar powered ones. empirical model developed by CSEM. This model is helping to Solar modules will be subjected to harsh temperature select the most appropriate date, taking off location, flight conditions of around -70°C during the flight towards the height profile and overall plane path to optimize the solar stratosphere. These extreme temperatures could reduce energy generated during the flight. Moreover, data generated adhesion between materials and create thermal stresses in from this model are also used as input for the design of welds able to deteriorate electrical connections between PV electronic components of the PV system such as the MPPT panels. Such conditions have been simulated on climatic DC-DC converters. chambers where test modules have been submitted to more Solarstratos paves the way to move solar and electric aviation than 200 cycles of temperature varying from -40°C to 85°C with one step closer to its use in space and opens new perspectives no significant degradation. Additionally, adhesion at critical in different areas such as telecommunications, observation or interfaces has also been measured for temperatures ranging earth monitoring. from -70°C to 60°C. On the other hand, low temperatures present in the stratosphere are beneficial to increase the

[1] www.solarstratos.com

77

Embedded Light Management Films for All-season Energy-harvesting in Printed Photovoltaics J. Mayer, T. Offermans, B. Gallinet, I. Zhurminsky, R. Ferrini Applying light management on the device surface is a favorable method for efficiency enhancement, since it avoids complications with the delicate printing of the photovoltaic layers. Previously proposed structures, however, have their functional interface exposed to environmental impacts and stress. Here we demonstrate a photonic nanostructure that is able to increase the total yearly harvested energy by 13%. The nanostructure is embedded in a transparent film, providing a conformal light management device attachment that is protected from environmental exposure and dust.

Figure 1: (a) Photovoltaic test cells are printed on a modified substrate with an attached light-management layer. Through light redirection via elaborated diffraction properties, the absorption in the absorber is enhanced and (b) a 12% increase in the efficiency is obtained. (c) A simulation platform was developed to predict, explain and design the impact of the photonic nanostructures for a given cell architecture and use case. Organic photovoltaics (OPV) have recently reached over 11% the device, is not affected and the absorption enhancement power conversion efficiency (PCE) in single-junction and 13.2% induced by the LM act directly on the efficiency (Figure 2b). in multi-junction cells, continuing their route towards Furthermore, the embedded LM films are protected against commercialization. To further advance this progress, light environmental influences and can be fabricated on large scales, management (LM) for organic photovoltaics has attracted for example integrated in a roll-2-roll production line. increasing interest as an additional route besides material A simulation platform was developed (Figure 1c), which is able development and process and morphology engineering. It has to reproduce the absorption enhancement under STC in been emphasized recently, however, that certain key aspects multiple devices (with an internal quantum efficiency assumed are crucial for a successful implementation of light to be unaffected by the LM). It is observed that an management in printed thin film photovoltaics,[ 1 ] namely i) overestimation of the enhancement arises from the limited avoiding electronic losses or parasitic absorption ii) developing geometrical extent of the charge collecting electrode. An even structures that are cost effective in the integration and more effective collection of trapped light can thus be expected compatible both with mass-manufacturability and outdoor on larger scales. operation and iii) considering the integrated energy harvested throughout a full year, rather than only under standard test Motivated by the good agreement of the spectral enhancement conditions (STC). with the simulations under STC, an estimation of the full year current generation is given. Accounting for hourly spectrum, We therefore developed an elaborated diffractive nanostructure zenith and azimuth angle of a full year (clear sky), an increase which provides a solution to these central points with an in the harvested energy of up to 13% is predicted. Moreover, it economically attractive enhancement-per-cost ratio. Figure 1a is shown how the grating properties can be tailored by several shows the printing of organic solar cells, which is independent parameters to take into account the illumination conditions of from the LM layer that is attached on the light incident side of various application cases (automotive, facade, consumer the substrate. [2] Consequently, the morphology of the active electronics, shading) enabling the customization and layers, which is known to influence the electrical properties of optimization of the yearly energy harvesting capability.

[1] Nat. Nanotechnol., 9, 19-32 (2014); Nat. Mater., 13, 451-460 [2] J. Mayer, et al., Opt. Express 24(2), A358-A373 (2016); (2014; Energy Environ. Sci., 7, 2123 (2014). submitted.

78 Results from Non-intrusive Load Monitoring A. Hutter, S. Arberet, T.-H. Lee, R. Carrillo Rangel Non-intrusive load monitoring (NILM) refers to the determination of the electrical load composition through a single point of measurement, e.g. at the main power feed. In this article we shortly review NILM techniques, position the CSEM approach and provide results from recent work. NILM requires load curve data acquisition at an adequate rate Concerning the second point, it is anticipated that tuning of the so that distinctive load patterns can be identified. As the model parameters combined with some adaptations of the number of exploitable data features increases with the algorithm framework will allow to cope with such situations so sampling frequency, the latter mainly determines the number of that the performance observed in the first point can then be appliances that can be identified, see also Table 1 hereafter. achieved in all situations.

Table 1: Survey derived from approximately 40 studies [1] showing exploitable data features and number of identifiable appliances as function of data acquisition frequency. The disaggregation into individual appliances is based on statistical approaches, which try to identify known appliance Figure 1: Illustration of CSEM's OMP-based disaggregation approach. signatures in the aggregated load curve. In general we can In early 2016 the CSEM NILM approach has also been applied distinguish supervised and unsupervised approaches. to detect heat pumps at household level. Data sampled at 1 Hz Supervised approaches, which include optimization (sparse from three households was analyzed for a duration of two representation, dictionary learning, nonnegative matrix weeks. The results from the benchmarking were confirmed with factorization, etc.) and pattern recognition (support vector an average error of the daily energy consumption of 6.13%. For machines, neuronal networks, hidden Markov models (HMM), the activation events (e.g. turning an appliance ON) a recall [2] Bayesian networks, etc.) techniques, require – often rather of 96.4% and a precision of 99.1% were observed, whereas for large – labelled data bases for training. Unsupervised the deactivation events (turning an appliance OFF) recall and approaches are based on parametric or probabilistic models precision were 96.4% and 98.8%, respectively. The study then that are used by feature detection models (e.g. on/off events) investigated the effect of an increased sampling period (SP). in combination with clustering approaches. For SPs of 30 seconds and 1 minute the average energy error The NILM approach investigated at CSEM is unsupervised and decreased only slightly to 6.63 and 7.53%. A similar behavior exploits sparse signal decomposition based on the Orthogonal was observed for the events statistics (see also Figure 2) which Matching Pursuit (OMP) algorithm with rectangular shaped shows that reduced sampling frequencies down to about boxcar atoms, see also Figure 1. The signal decomposition is 1/60 Hz are possible. based on active and reactive power on all three phases and has been mainly applied to 1 Hz signals. A benchmarking study of the CSEM algorithm conducted last year was based on data from the publicly available data bases ECO (Switzerland) and DALE (UK), which both contain labelled data. The study revealed the following major points: • In general, an average energy detection error of about 6.5% and event detection precisions above 95% have been observed. Figure 2: Heat-pump activation event detection statistics. • The currently used parametric models are not yet well The above example illustrates the performance of the patented suited for all encountered situation, such that the resulting CSEM approach, which is currently continuously extended to energy estimation errors are then above 10%. include new appliances.

[1] K. Carrie Armel, et al., "Is disaggregation the holy grail of Energy [2] “Recall” is defined as the percentage of the number of all Efficiency?", Precourt Energy Efficiency Center PTP-2012-05-1. detected true positive events w.r.t. to all relevant positive events, whereas precision is the number of true positives w.r.t. all detected events.

79

TRIBUTE—Automatic Building Simulation Model Calibration and Diagnostics E. Onillon, M. Boegli, E. Olivero In the frame of the European FP7 project TRIBUTE, CSEM developed novel methods for the automatic calibration of Building Energy Performance Simulation models with the aim of reducing the gap between the building's predicted and measured energy consumption. Such a calibrated model allows real-time monitoring of the building’s energetic evolution and the diagnosis of misbehaviors. Buildings account for more than 40% of energy consumption and 36% of CO2 emissions in the EU. The EU Energy Efficiency Directive [ 1 ] establishes a set of binding measures to be implemented by EU members by the end of 2016 in order to reach a 20% energy-efficiency target by 2020. In order to reach this target, Building Energy Performance Simulation (BEPS) tools are widely used for modern mid-size to especially large buildings and thus throughout the full life cycle of such buildings. These models, if used throughout the building commissioning phase, might become a powerful means of helping building operators and facility managers to assess building energy performance, detect anomalies, and suggest management improvements. Nevertheless, today's BEPS models are largely insufficient, showing significant discrepancies between Figure 2: Impact of the most influential parameters on the total energy measured and computed building energy performances, consumption of La Rochelle building model. limiting their applicability. CSEM developed a calibration method based on a Support In the frame of the TRIBUTE project, based on a set of Vector Regression (SVR) method. A meta-model for the cost deployed sensors, methods that allow for automatic calibration function is created by means of SVR. An example is shown in of the underlying simulation model were developed. By Figure 3 below, where the sensitivity of the cost function is continuously learning from operation, the results from TRIBUTE illustrated in a 3D view for two parameters (on the left) and in show a 15% reduction of the energy gap on test sites. The 2D views for four parameters (on the right). As such, the SVR development of TRIBUTE relies on the IDA Indoor Climate and method offers a visual high-order sensitivity analysis tool. Energy (IDA-ICE) tool developed by EQUA Simulation AB, one Based on such meta-models, the calibration procedure of the TRIBUTE's partners. IDA-ICE is a whole-building subsequently applies optimization, which is either gradient- simulation tool based on dynamic multi-zone calculations and based (such as the Levenberg-Marquardt algorithm) or provides results on thermal indoor climate and energy gradient-free (such as the Nelder-Mead method). So far, the consumption. The proposed TRIBUTE method relies on three optimization aims at minimizing temperature error and total steps. First, the simulation model is established and a first required power, both restricted to a certain zone. Two metrics simulation model calibration is performed. Second, a sensitivity were considered: the mean bias error and the Coefficient of study is performed, allowing determination of the simulation Variation of the Root Mean Square Error (CVRMSE). For the model parameter that has the largest influence on the building La Rochelle building, a 15% error reduction has been achieved.

function estimation using LS-SVMgauss energy performance. Third, these parameters will be γ=386851571333065,h=3.1775 CVRMSE CVRMSE 0.075 0.075 ) continuously learnt, while their evolution will indicate building ) 0.07 0.07 2 1 0.18 (X (X 2 1 m m 0.065 0.065 ageing or faults. These developments are currently validated 0.16

0.14 0.06 0.06 Y 0 0.5 1 1.5 2 0 0.5 1 1.5 2 on a public building in La Rochelle France, namely the 0.12 Envel Cond value Therm Mass value CVRMSE CVRMSE 0.1 0.075 0.075 Vaucanson building, which is depicted in Figure 1. Figure 2 2.5 0.07 ) 2 ) 0.07 4 3 (X 1.5 (X 0.065 4 2 3 m shows the results of the second step with the most critical m 0.065 1 1.5 0.06 1 0.5 0.5 0.055 0.06 X 0 0.5 1 1.5 2 0 0.5 1 1.5 2 parameters that need to be calibrated. 2 0 0 X 1 Envel Leak value Moist Stor value Figure 3: SVR meta-model cost function with two parameters (left) and four parameters (right). The developed calibrated model lays the base for detecting building anomalies. The work has been carried out in the collaborative project TRIBUTE (http://www.tribute-fp7.eu) and is partly funded by the 7th Framework Program of the European Union (grant agreement no. 608790).

Figure 1: La Rochelle building, IDA-ICE 3D view.

[1] Directive 2012/27/EU of the European parliament and of the council of 25 October 2012 on Energy Efficiency.

80 Electrical Energy Storage Optimization based on Predictive Control M. Boegli, Y. Stauffer, S. Arberet, E. Onillon In the framework of the European project AMBASSADOR, an online optimization aims at reducing the operational costs (i.e. global electricity bill) by taking into account all electric power generators and consumption elements of the districts and taking advantage of storage elements. The same framework can also be used to reduce the installation cost of batteries by performing an optimal sizing. To reach that goal, Model Predictive Control (MPC) is applied. MPC relies on adaptive models that predict the energetic behavior (production and/or consumption) of the various elements. Extensive simulations on experimental data were carried out under various real conditions and showed where significant savings can be achieved. Increasing use of intermittent renewable energy sources, such This approach also makes it possible to optimize the battery as photovoltaics (PV) and wind turbines, as well as the size as early as the design stage, as illustrated in Figure 2. availability of versatile storage equipment, offers the possibility of reducing costs by optimizing the energy flow between districts and electricity grid [1]. The optimization is based on a Model Predictive Control (MPC) approach where the energy flow is optimized over a horizon of 24 h and updated every 15 min. In other words, the goal is to find the battery charge/discharge profile that minimizes costs over a 24-h horizon. The optimized control variable is the amount of charge or discharge of the battery. The main input variable is the aggregated energy, defined as the sum of renewable energy minus the sum of consumed energy, Figure 2: Optimization gain vs. battery size. When the battery size is estimated over a 24-h horizon. The second input variable is the halved from 25 to 12.5 kWh, the gain is reduced by only 6%. tariffs for buying from and selling to the grid, called TFG and In the following table, we qualitatively compare a solution TTG respectively. These tariffs can be variable (lower at night without storage (NoSto) to two energy flow management than in the daytime) or flat. Also, TTG can be equal to or strategies: simple storage (SimSto, acting as a buffer without different from (lower than) TFG. Third, the present state of optimization) and optimal storage based on MPC. For the latter charge of the battery storage is used as an input variable. we consider a version with no battery constraint (MPCnC), The optimization minimizes the resulting cost function, shown which allows trading, and a second version that prevents the in Figure 1, taking into account efficiency factors and battery from charging from the grid (MPCwC). constraints. An extra constraint can also be added to avoid Table 1: Synthesis of various storage strategies and use cases. trading, that is, to prevent charging of the battery from the grid. Variable Tariffs Flat Tariffs RoP

Lo TTG Hi TTG Lo TTG Hi TTG

NoSto     

SimSto     

MPCwC     

MPCnC     

Figure 1: The different terms of the objective functions and constraints that are taken into account in the optimization problem. The results shown in Table 1 suggest the following conclusions: Prediction models, based on Support Vector Regression (SVR) • MPC shows significant advantages in the case of variable algorithms, estimate the production and consumption of the tariffs from and to the grid and is even better if trading is considered district elements. Prediction of renewable allowed. production, including PV and wind turbines, depends on the • In the case of flat tariffs, MPC does not offer advantages weather forecast (i.e. solar irradiance and wind speed) and time compared to simple storage. reference. Prediction of consumption elements, including houses, office buildings, and PMEs, depends on the weather • Robustness towards Prediction errors (RoP) is average for forecast (i.e. temperature), working schedule, process planning, MPCwC but rather good for MPCnC. and time reference. Training of the SVR algorithm is done over These conclusions were experimentally confirmed by the the last 4–7 days with all available data. deployment of MPC strategies on a test site in Greece and with experimental data from the Swiss Energy Park.

[1] Y. Stauffer, S. Arberet, M. Boegli, E, Onillon, "Centralized energy optimization at district level", EnergyCon 2016.

81

Battery Performance Evaluation and Modelling for Stationary Applications C. Brivio, V. Musolino, P.-J. Alet, L.-E. Perret-Aebi, C. Ballif CSEM is developing battery models for stationary energy storage systems. These models are dynamic and aim at predicting battery performance based on operating conditions. They can be industrially implemented in energy management systems (EMS) or storage sizing tools for grid and off- grid applications. Battery energy storage systems (BESS) are the ultimate For performance tests we use a combination of procedures flexibility resource in electricity networks. They are attractive from international standards e.g., IEC 62660-1 and our own. both to grid operators ― to reduce congestion and respond to With these tests we can compare technologies with metrics imbalances between production and consumption — and to such as the energy density, as shown on Figure 2 for seven “prosumers” — to reduce their reliance on utilities. Despite a electrochemical cells when fully discharged at different ambient decrease in cell costs with a learning rate of 18% for lithium-ion temperatures. batteries, BESS remain expensive. Optimizing the choice of technology and their size is therefore essential to financial viability. These design choices and the subsequent operation of the systems are difficult because the effective capacity, efficiency and lifetime of BESS strongly depend on operating conditions. To support the design and operation of BESS, CSEM is developing a modular battery model based on the physical processes in electrochemical devices. The first step in this investigation consists in developing and running performance (cycling) tests and electrochemical impedance spectroscopy (EIS) measurements at the joint BFH-CSEM Energy Storage Research Center (ESReC) located in Nidau (BE).

Figure 2: Battery cell energy density as function of ambient temperature. The model is modular: each block represents a single physical phenomenon. Depending on the application, some dynamics can be neglected. In that case the complete model can be reduced to simplified, lower-order models.

Ri Charge Ion Ion transfer diffusion intercalation EIS tester Cell tester

Figure 1: Building and some laboratory equipment at BFH-CSEM Energy Storage Research Center (ESReC). Redistribution Six cell technologies are under investigation, four of them based on lithium-ion: lithium capacitor (LIC), lithium iron phosphate (LiFePO4), nickel manganese cobalt oxide (NMC), Self-discharge and lithium titanate (LTO), as well as lead-acid and nickel metal hydride (NiMH). EIS measurements provide the battery impedance as a function of the frequency, which reflects the main processes involved in Battery terminals voltage the battery operation i.e., the charge transfer due to the redox reactions at the surface of the electrodes, diffusion of ions Figure 3: Structure of the dynamic battery model by CSEM. through the electrolytes, and changes to the crystalline structure of electrodes due to ion intercalation. Measurements are performed at different cell temperatures, states of charge and states of health in order to determine the influence of the operating conditions on the battery response.

82 Set-up and Operation of a Low-voltage DC Micro-grid Demonstrator V. Musolino, P.-J. Alet, C. Rod, L.-E. Perret-Aebi, C. Ballif CSEM is developing system architectures for isolated or grid-connected micro-grids. This project demonstrates how photovoltaic generation and electrical storage can be integrated with loads in a building. All components are interconnected in direct current (DC). A CSEM controller manages this micro-grid. It can be industrially implemented in applications ranging from commercial buildings to rural electrification. Reduced costs of photovoltaic (PV) and storage systems make SoC them attractive for individuals and companies to build micro- Power back to the AC grid grids able to completely or partially satisfy their electrical SoC Upper limit energy needs. On the other hand a reliable and cost-effective system integration is required to guarantee the operation of No power exchange such micro-grids and its possible interface with the public with the AC grid distribution grid. Distributing power in direct current (DC) instead of alternating current (AC) avoids multiple DC/AC SoC Lower limit converters and the associated losses, improves the power Power from the AC grid quality, and reduces the complexity of the whole system Time In this project a robust DC micro-grid control strategy, which requires no communication infrastructure, is being developed. Figure 2: Control strategy of the interface converter. A demonstrator has been realized (Figure 1); it consists in a DC Design rules for the controller have been developed to micro-grid where a PV source, loads and a storage system are guarantee power quality on both the DC micro-grid and the AC directly connected. The whole micro-grid is interfaced with the grid. With the right bandwidth, the controller limits the power AC grid through a single power converter. ramps towards the AC grid independently from the power oscillations in the DC micro-grid. PV Supercapacitor PV source This ramp-rate functionality has been validated with a variable optimizers storage emulator irradiance profile for the PV source derived from the EN 50530 standard for inverter testing. In Figure 3 the oscillations in PV power (due to irradiance variations), and in power exchanged with the AC grid are shown under different settings of the voltage controller. PV power ramps of 3.5 W/s (Figure 3 top) and 35 W/s (Figure 3 bottom) are mitigated down to 2.8 W/s and 10.5 W/s respectively with a fast dynamic control (“setting 1”), and down to 2.2 W/s and 5.9 W/s with a slow dynamic control (“setting 2”). DC loads Control PC AC interface

Figure 1: DC micro-grid demonstrator. 450

The selected storage technology is supercapacitors for two 300 main reasons: first is the high performance in terms of efficiency GridSetting 1 and lifetime (up to one million full cycles) and, second is their 150 GridSetting 2 state of charge (SoC) being simple to estimate. Power (W) PV The controller consists in a Python script running on a laptop, 0 400 600 800 1000 1200 1400 which sets and controls the power exchanged at the AC Time (s) interface. The strategy consists of the following steps: • Requesting power from the AC interface converter when 450 Grid the storage reaches its lower state-of-charge set point; Setting 1 300 GridSetting 2 • Reinjecting back to the AC grid the excess of energy when PV the storage reaches its upper SoC set point; 150 Power (W) • Setting a 0 power reference of the AC interface converter 0 when the storage is between its lower and upper SoC set 6600 6650 6700 6750 6800 points. Time (s) The control strategy is implemented by a voltage controller Figure 3: System response under a variable PV power profile. which sets the current reference of the AC interface converter This work was funded by the municipality of Neuchâtel. CSEM as a function of the storage SoC. thanks them for their support.

83

Towards High Efficiency Flexible Printed Tandem Solar Modules T. Offermans, M. Chrapa, J. Schleuniger, L. Mühlebach, R. Ferrini, G. Nisato The Printable PV activity at CSEM addresses breakthrough, organic and inorganic printable materials and processing technologies that target applications with design added value and reduced environmental impact. The additive technologies developed are aimed at mass customizable manufacturing of PV products with high automation and reduced capital equipment cost. The objective is to provide Switzerland with know-how and technological options at the device design and process development level to support equipment developer, materials researchers and industrial suppliers as well as end users in the emerging field of printed PV. From small hero cell to large area flexible demonstrator resulting in an efficiency of just over 7% using realistic, electrically non-limiting layer thicknesses. Tandem cells were During the past years, CSEM has developed their printing and fabricated accordingly on flexible substrates with a size of device fabrication capability from lab-scale single cells made by 5 × 5 cm2. In order to demonstrate the robustness of the flexible spin-coating in an inert gas on small 2.5 × 2.5 cm glass tandem cells, the cells were encapsulated within ultra-barriers substrates, through Doctor Blade coating in the ambient and electrical contacts were attached (photo in Figure 1). All environment on increasingly larger substrates, to complete cells, except one, remained functional with similar performance modules printed on flexible substrates. In parallel, CSEM as measured before encapsulation. A slight drop in the Voc is developed efficient tandem cells by optimizing the optical stack, observed, and an improvement of the Jsc, resulting in a PCE of the charge transport and light in-coupling structure. Hero the encapsulated device of 6.5%, which is close to the 7% tandem cells were reproduced, blade coated in air from non- considered possible based on optical simulations. chlorinated solvents, with efficiencies ranging between 8 and 10%. A light management structure was designed and Slot-die coated flexible tandem cells and modules fabricated specifically for an already optically and electrically In order to demonstrate the upscalability of the tandem cell optimized homo-tandem cell, which increased the cell efficiency fabrication using an industrially relevant printing method, we set from an average of 9.2% to 10%. out to slot-die coat the cells on the flexible substrate. Note that in order to obtain high efficiency, control of layer thickness within 5-10 nm is needed, over the entire coating area. Thus one challenge to be addressed when coating a large area is the flatness of the substrate during coating. In a R2R setup, this is done by adjusting the tension on the web, in the table top S2S setup, used in this work, this required the use of a rigid, flat carrier substrate. Within project Sunflower, CSEM together with its partners could make significant progress in the development of the fabrication process to make large area flexible tandem cells, resulting in two functional 12 × 17 cm2 homo-tandem modules, slot-die coated in air, using non-chlorinated solvents, encapsulated, with a voltage output of 4-5 V, current output of ~100 mA under solar illumination.

Figure 1: Photograph of the encapsulated flexible tandem solar cells. These "hero" tandem cells were made on rigid ITO coated glass substrates, with an active area up to 1 cm2. In order to fabricate tandem cells on large area flexible substrates with the same high efficiency as on glass, in a first step a fabrication process was adapted for the flexible substrate, and in a second step the printing method was changed from blade coating to slot-die coating in order to obtain high uniformity coatings over a large area. Tandem cells on flexible substrate

The change from rigid to flexible substrate is not trivial. The 1 cm following two factors have a tremendous impact on the cell performance: 1) the optical properties of the substrate in the Figure 2: Photograph of one of the final flexible tandem demonstrator total stack, and 2) the roughness of the substrate. Optical modules, encapsulated and laminated with a light management foil. simulations predict a 30% lower performance than the hero- We gratefully acknowledge support from the EU project tandem on glass. A major reduction in the photocurrent by Sunflower (Nr. 287594), Interreg project Rhin-Solar and the 10-20% compared to ITO-glass is calculated due to a reduced Canton of Baselland. transmission of the flexible substrate. In addition, a thick smoothening coating on the substrate is needed to ensure a good quality coating of the following six layers in the stack. As a result the maximum obtainable photocurrent drops further,

84 SYSTEMS Jens Krauss The Systems research program is an interdisciplinary power electronics, wireless communication and software engineering endeavor that focuses on how to design, engineering, biomedical engineering, and automation. In order to implement, manufacture, maintain, repair, and manage strengthen further CSEM’s position within the three application complex engineering systems over their life cycles. We place domains, the Systems research program today maintains an IP emphasis on innovations with system integration aspects of portfolio of roughly 50 granted patents. During the year 2016 four micro- and nano-subsystems as well as specific application new patent applications have been submitted and more than 20 demands such as resource limitations, miniaturization, scientific papers have been published. precision, reliability, comfort, production cost, and Long-term objectives environmental conditions. System integration requires significant coordination across disciplines and the development Medical Device Technology: The implementation of sensing and of new methods and modeling techniques as systems become processing technologies in embedded, continuous on-body smaller, interconnected, and more complex, approaching the diagnostic systems will provide lifetime continuous access to physical limits. Issues such as engineering requirements, clinical excellence. Such portable health systems have a wide reliability, logistics, coordination, testing and evaluation, and range of applications in the domains of telemedicine, rehabilitation, maintainability, and many other disciplines necessary for security, homecare—in particular for the elderly, and health successful system development, design, implementation, and promotion, as well as sports, wellness, and fitness. Our medical ultimate decommissioning, become more difficult when dealing device technology research activities range from innovative with large or complex projects. Therefore, system engineering sensing technology for monitoring human vital signs to bio-signal also deals with work processes, optimization methods, and risk processing and active medical device technologies. We aim to management and our teams strictly apply project management strengthen our know-how in biomedical engineering to encompass tools and processes—even in the design and early a broad range of areas, including the physics of environmental development phases—that adhere to the management phenomena, sensor behavior, human motion, body size, standards of ISO-9001 and to the medical standards of the ISO- ergonomics, manufacturability, and computer architecture, as well 13485 quality system. as wireless, low-power communication. The activity is ISO-13485 certified, stressing our strong commitment to developing high- The Systems research program is application driven and quality medical devices. strongly user-oriented. This is natural, given the fact that system objectives usually relate to overall performance, which Automation: The Automation research activity develops is what interests the end user. Therefore, special consideration technologies for enhanced manufacturing and process flexibility, is given to such qualities as reliability, comfort, ease of enabling high-quality, small-to-medium-volume products. The maintenance, and convenience in operation. The final step of activity aims to drive the competitiveness of easy-to-use our application-driven research projects is typically an automated solutions with facilitated man–machine interaction and evaluation that attempts to find out how well the system works eco-friendly production processes with an attractive total cost of in the hands of the end user and/or as a subsystem in the ownership compared to remote production sites abroad. We operation of a global process. Our vision for the Systems develop technologies designed around process and measurement research program is to promote innovative microtechnologies solutions that lead to an optimum balance between flexibility, and new product concepts in the three application domains of autonomy, and throughput. We put special emphasis on the (1) Medical Device Technology, (2) Automation, and system efficiencies that result from integrated, advanced sensory (3) Scientific Instrumentation. In Medical Device Technology we feedback. innovate in the field of wearable human vital sign sensors and Scientific Instrumentation: CSEM’s cutting-edge processing under ambulatory conditions and invest in the microtechnologies in the areas of compliant and hybrid precision emerging domain of active medical devices, which includes mechanisms, laser subsystems, and miniature atomic clocks have (infusion) pumps and implants. Within the research activity led to quantum leaps in the performance of scientific Automation, we design and integrate new sensor and actuator instrumentation for demanding applications such as space concepts for (lab-) automation applications, in-process control, exploration, astrophysics, and medical and industrial and in situ quality monitoring. Last but not least we design and instrumentation. The Scientific Instrumentation research activity implement innovative, complex, high-precision mechanisms for focuses on the development of these key technologies and on their industrial applications and large science missions and continue integration into fully operational hybrid systems. To prepare the development activities that focus on Si-based hybrid and future, our main goal is to continue to develop tomorrow’s compliant micro-mechanisms and miniature atomic clock technologies, including flexure mechanisms in silicon (Macro- building blocks, all within our Scientific Instrumentation MEMS), laser sources and stabilization, and miniature atomic research activity. clocks, as well as LiDAR systems. Multi-physics simulations are a The research activities of the Systems research program aim key asset in CSEM’s expertise and are necessary for such at coordinating expertise across multiple CSEM research complex, miniature, and fully integrated systems. The system programs in the fields of sensing and actuating, signal complexity targeted is emphasized by significant miniaturization processing and control engineering, high-precision and limited available resources in terms of energy, computing mechanisms, additive manufacturing and instrumentation, low- power, and space.

85

Highlights Automation: The Automation research activity develops The System research program continues to be a pillar of innovative technologies designed around process and CSEM’s mission of technology transfer and has been measurement solutions that lead to an optimum balance between interacting strongly with all other CSEM research programs flexibility, autonomy, and throughput—that is to say, ease of use, over recent years. Special attention—in terms of strategic including task programming and efficient processes. During 2016 technology development, IP creation, and market analysis—is major efforts have been deployed on the topic of Industry 4.0 and given to CSEM’s Multidisciplinary Integrated Projects (MIPs) we would like to highlight the development of the so-called Work initiative, which promotes collaboration across all CSEM Place Companion. An intelligent, camera-based support system research programs. In the frame of this MIPs initiative, the has been developed that monitors manual assembly process steps System research program has further intensified its and interacts with the operator. It tracks the motions of the operator, collaboration with the ULP Integrated System research work pieces, boxes, etc. in the working space in 4-D (position and program to strengthen CSEM’s position in wearable orientation). The system learns correct assembly sequences in a technologies. The MIP “MiniNOB”, which has been executed teaching phase, can handle variances in individual execution, and according the ISO-13485 medical standard, aims to reliably interprets assembly quality. CSEM, together with its demonstrate CSEM’s novel, continuous, non-invasive blood industrial partner, will further enhance the Work Place Companion pressure monitor, which is based on a dedicated, customized system concept, seeking an operational industrial solution. ASIC that helps to further reduce cost, dimensions, and power Scientific Instrumentation: The major part of our scientific consumption. Another good example of multidisciplinary instrumentation research activities is framed within space projects, collaboration across CSEM’s research programs is the MIP large science missions, and/or international astrophysics “HybSi”, for which our experts in precision mechanisms joined programs. Thanks to its track record of more than 30 years in the forces with experts—in industrialization, packaging, and design, manufacturing, integration, and testing of active, high- manufacturing capabilities—from our MEMS research program precision opto-mechatronics systems, CSEM acts as a prime to position CSEM as the world’s leading design house for contractor for these large science mission projects, with Swiss compliant hybrid micro-mechanisms, so-called Macro-MEMS. SMEs, manufacturing entities, and local machine workshops The Genequand escapement, announced at the beginning of benefiting through sub-contracts and purchase orders. For the 2016 by the brand Parmigiani, is an outstanding result of reporting period, we would like to highlight the outcome of a CTI CSEM’s Macro-MEMS expertise. Last but not least, project accomplished with Witschi Electronics AG. Only six months collaboration with the PV-center and Energy Management after the termination of the project, and thanks to CSEM’s expertise research program has been further intensified with a special in opto-mechanical instrumentation, Witschi announced the launch focus on topics such as energy efficiency and storage, the of its product “WisiScope”, a professional measurement system for power management of renewables, and the integration of PV the characterization of, and after-sales service for, mechanical cells into wearables. The MIP “Wear-a-Watt” aims at integrating watches. The scientific basis of this instrument was presented by PV cells that are highly efficient even under low illumination into Witschi on the occasion of the SSC conference 2016 in Montreux. dials and straps to power the necessary sensing, processing, Moreover, during the last year a special focus was given to laser and communication tasks of a smartwatch with solar cells alone. development. Concerning laser sources, CSEM offers a very Medical Device Technology: CSEM’s medical-grade broad range of competencies allowing simulations and the wearables are ready to revolutionize chronic disease realization of sources based on semiconductor lasers, on diode- management and will provide the caregiver and the patient with pumped solid-state lasers, and on (fiber-based) amplifiers. These unprecedented insights, enabling precision medicine thanks to systems can be narrow-linewidth and continuous-wave or pulsed predictive personalized analytics. Smart wearables enriched with pulse durations in the range of tens of femtoseconds to with personalized coaching capacities will empower healthcare, picoseconds, and an increased market demand for short-pulse moving people toward healthier lifestyles and the prevention of high-power laser systems for micromachining applications can be disease. Future monitoring systems will rely on higher observed today. Amplified systems using chirp-pulse amplification miniaturization techniques in terms of sensor electronics, techniques have been realized to meet the requirements of bio- integrating customized, low-power components and innovative imaging and machining applications. During recent years, CSEM energy harvesting means. At CSEM, we have a track record of has placed an emphasis on stabilized lasers, and state-of-the-art 20 years in building wearables and personal health solutions cavity-stabilized continuous-wave lasers and optical frequency with leading customers and partners worldwide. We have combs (stabilized, mode-locked femtosecond lasers) have been grown, and are now a large and internationally recognized realized. The quintessence of these developments is the research team, and our ambition is to expand our wearable demonstration of a record-low phase-noise microwave generator. health solutions activities further. With this in mind, we would CSEM’s advanced metrology and environmental testing like to highlight the development of a novel, portable, and non- capabilities have also proven to be a key asset, resulting in the invasive continuous blood pressure monitoring device. This launch of several space projects for the development of future achievement is the result of the synergies we deploy with the telecommunication satellite hardware. In the near future, CSEM activities of the ULP Integrated System research program, and will orient its laser developments toward more environmentally a real-time demonstrator was presented on the occasion of the robust systems; dedicated, high-performance, hands-free, low- MEDICA fair in Düsseldorf this year. Moreover, during 2016 we power electronics; and fully integrated systems. were able to strengthen our position in the wearables domain by licensing our algorithm portfolio for human vital sign monitoring to half a dozen commercial partners.

86

A Wearable Dietary Monitor using a PPG-based Chewing Sensor L. Zhou, S. Pernecker, M. Crettaz, M. Frosio, A. De Sousa, M. Proença, P. Theurillat, G. Dudnik CSEM has developed a novel wearable dietary monitoring system using a photo-plethysmography-based chewing sensor. Non-invasive, compact, and unobtrusive, it is dedicated to monitoring eating behavior and daily activity of teenagers and young adults with the aim of providing personalized guidance to tackle the ongoing obesity epidemic or eating disorders. Obesity and eating disorders are a major health concern in the related to the ears. There is indeed strong experimental Western world: obesity has reached epidemic proportions evidence that chewing activity significantly affects the PPG globally, with 400 million obese adults worldwide and one billion signal measured at the ear. The proposed PPG sensor is who are overweight. Meanwhile, the prevalence of eating positioned in the ear concha with a Light-Emitting Diode (LED) disorders, such as bulimia and anorexia, has essentially placed behind the ear and a photo-diode inserted in the ear remained at about 3% across the population over the years.[1] canal. Traditionally, measurement of heart rate or blood oxygen Most treatments are marginally effective, having minor clinical saturation by PPG is highly sensitive to movement artefacts; in or sociological impact. Monitoring and modification of dietary our application, the signal of interest is in fact the signal behavior has been shown to be a significantly more promising captured due to movement caused by mastication. approach for the treatment of obesity and eating disorders. The acquired optical signal is pre-amplified, filtered, and Within the framework of the European project SPLENDID,[2] sampled at a fixed sampling frequency of 21.3 Hz. In order to CSEM has developed a novel wearable sensor for dietary avoid signal saturation due to high density of ambient light, a monitoring, namely a photo-plethysmography (PPG)-based proprietary compensation technique is applied. Figure 2 depicts chewing sensor. the signal-processing block diagram. The system is composed of two parts: a PPG-based chewing Trans- S& Low-pass LED PD impedance sensor to detect chewing events and a dedicated data logger H filter to store, process, and transmit the acquired optical data via Amplifier

Bluetooth. In addition, an activity sensor based on a triaxial Ambient light ADC accelerometer has been embedded in the data logger to rejecion monitor the movements and physical activity of the user. An associated smartphone application running specific algorithms allows personalized guidance to train users to improve their Microcontroller & Signal processing eating and activity behavior through the data transferred by the Figure 2: Signal-processing block diagram of the PPG sensor. data logger. In order to have an unobtrusive and cost-efficient system as well as to promote its diffusion among teenagers and This in-ear PPG sensor is small and highly non-intrusive. As it young adults accustomed to listening to music, the chewing does not capture sound, it is not affected by ambient noise, sensor has been successfully embedded into a custom- talking, or other types of parasitic signals. designed in-ear headset. The chewing sensor is connected via The monitoring system has been evaluated on a dataset a cable to the data logger (45 × 78 × 14 mm), as shown in recorded at Wageningen University (the Netherlands). It Figure 1. contains recordings of 21 individuals wearing the PPG sensor. A segment of signal related to chewing events is shown in Figure 3. The sensor has yielded satisfactory results, especially for snack detection, where values of over 91% are achieved for both precision and recall.[3] We thus believe that it can be used for robust, objective dietary monitoring in real-life conditions.

Figure 3: DC-free signal from the PPG chewing sensor. The red box Figure 1: Wearable sensory eating tracker developed by CSEM. indicates chewing activity. (Left) PPG chewing sensor connected to the data logger; (Right) PPG sensor placement. This work has been supported by the European Community’s ICT Program SPLENDID under grant agreement no. 610746, Physiologically, the jaw and the ear are closely related. The 01/10/2013–30/09/2016. mastication and the muscles which control the jaw are also

[1] http://www.world-heart-federation.org/cardiovascular-health [3] V. Papapanagiotou, C. Diou, L. Zhou, et al., A novel approach /cardiovascular-disease-risk-factors/obesity/ for chewing detection based on a wearable PPG sensor, in Proc. [2] http://splendid-program.eu/ EMBC 2016, 2016.

87

Wrist-located Optical Monitoring Device for Atrial Fibrillation Screening M. Lemay, P. Renevey, M. Bertschi Worldwide, there is a need to reduce healthcare costs. In this context, wearable technologies are being targeted as one of the major tools of value- based self-monitoring and large screening healthcare systems. Portable Holter monitoring systems, which represent a global market of more than 150 million U.S. dollars, [1] are used to monitor patients suffering from cardiovascular diseases. The most common form of cardiac arrhythmia, affecting more than 10% of the population aged over 80 years, [2] is Atrial Fibrillation (AF). Years of research in system design and signal processing have been necessary to bring wrist-located optical devices to a state able to accurately monitor cardiac activity. [3] During the Nano-Tera project miniHOLTER, the feasibility of using such devices for the detection of AF was investigated. The present study constitutes the first clinical evidence of reliable AF detection using a wrist-located optical device. Years of research on system design and on the enhancement Classification accuracies of 94 and 99% were obtained from of photoplethysmographic (PPG) signals have been necessary PPG- and ECG-based features, respectively. It is interesting to to bring PPG technology into wearable wrist-located devices compare this performance to a recently published study that able to accurately monitor cardiac activity during daily compared AF detection performance between permanent activities [ 3 ]. Some questions remain unanswered: Is the pacemakers (accuracy of 99%) and implantable cardiac monitoring of cardiac activity accurate enough for an monitors (accuracy of 72%) [4].The detection of AF embedded ambulatory application? If so, to what extent can it substitute in these two implementable medical devices was also based on for ambulatory electrocardiographic (ECG) devices for large- features extracted from the time series of RR intervals. scale screening of populations? This study aims at evaluating the performance of a wrist-located device based on PPG technology in terms of Atrial Fibrillation (AF) detection using features based on cardiac interbeat (RR) intervals. In order to validate the detection of AF, signals were recorded at the University Hospital in Lausanne (CHUV) from patients admitted for AF or ventricular tachycardia ablation. Twenty PPG and 12-lead ECG signals were recorded simultaneously from patients in whom episodes of sinus rhythm (SR) and AF coexisted. From the PPG signals, RR intervals were estimated by detecting the systolic downstrokes. From the ECG signals, RR intervals were computed from detected R-waves provided by an electrophysiology system (Siemens Sensis). The resulting PPG- and ECG-based RR intervals were used to Figure 2: Example of post-processed ECG and PPG signals and post- derive feature values in 10-s time windows. These features processing results during AF. were the mean, median, minimum, and interquartile range. A total of 2213 (1927 of AF, 286 of SR) 10-s epochs were Based on these encouraging results, the proposed wrist- considered for AF versus SR classification using a support located AF screening device challenges implantable cardiac vector machine with a linear kernel trained using a leave-one- monitors in controlled conditions. Several advantages over out procedure to avoid overfitting. ECG-based devices must be mentioned, including the non- invasiveness, limited cumbersomeness, long-term monitoring, cost, and size. Furthermore, the possibility of integrating this technology in currently distributed smart watches and bracelets makes it very attractive for large population screening. To achieve a highly robust and accurate 24/7 AF screening device, further work is required regarding the improvement of cardiac beat detection during AF, the addition of a signal quality index in the classification procedure, and the investigation of additional features based on variation of PPG waveform morphology and magnitude.

Figure 1: Example of ECG and PPG signals and post-processing results during SR.

[1] Global Industry Analyst, Holter monitoring Systems – A Global [3] J. Parak, et al., Evaluation of the beat-to-beat detection accuracy Strategic Business Report, MCP-3334, 2012. of PulseOn wearable optical heart rate monitor, Proc. IEEE Eng. [2] A.S. Go, et al., Prevalence of diagnosed atrial fibrillation in Med. Biol. Soc., Milano, 2015, 8099-102. adults: National implications for rhythm management and stroke [4] S. Podd, et al., Are implantable cardiac monitors the "gold prevention: the AnTicoagulation and Risks Factors in Atrial standard" for atrial fibrillation detection?, Europace, 18 (2016) Fibrillation (ATRIA) Study, JAMA, 285 (2001) 2370–2375. 1000–1005. 88

Novel Ambient Light Rejection System for PPG-based Measurement Devices P. Theurillat, E. Rincon-Gil, R. Gentsch, M. Correvon, P. Persechini, P.-F. Ruedi, J. Solà Photoplethysmography (PPG) is becoming the standard technology for heart rate measurements in wearables because of its ease of use. Nowadays, numerous commercial off-the-shelf (COTS) analog front end (AFE) components dedicated to PPG are available. However, in everyday use cases, insufficient ambient light rejection (ALR) leads to poor AFE performances and subsequent inaccurate heart rate estimations. The results of a novel approach that solves ALR issues in daily life use cases are presented herein. CSEM has been active in the domain of PPG sensing and processing for more than 15 years, covering R&D activities ranging from optical design, AFE development, and embedded algorithms to application-specific integrated circuit (ASIC) implementation. Current trends in photoplethysmography (PPG) measurement Figs. 2 and 3 illustrate the performance of the novel ALR rely on the use of integrated analog front end (AFE) approach in a realistic use scenario. components. Advanced COTS AFEs have been designed to automatically cope with all known PPG variables and make them easy for the system architect to embed. Unfortunately, the performance of existing AFEs is not optimal in several real use cases, in particular when referring to ambient light rejection (ALR). PPG is based on the use of light emitting diodes (LEDs) and photodiode (PD) sensors. The output signal modulation results from variations of light absorption of subcutaneous tissues due to the change of blood volumes originating from heart strokes and therefore enables heart rate (HR) assessment. The weak point of PPG is that the PD is actually mixed with two other components, [1] namely a motion artefact signal (which depends Figure 2: Performance of the novel ALR in a typical outdoor use case on body movements and system fixation) and an ambient light scenario; note the influence of ambient light on both the temporal and perturbation (which varies in intensity and pattern depending on frequency signals during the 60-s disconnection of the ALR system. indoor and outdoor environments, completely masking the The user's pulse rate is 1 Hz and, during a walking exercise, the arm signal of interest most of the time). shadows the sun and produces a 2-Hz artefact.

Figure 1: Simplified schematic of the novel ALR approach for PPG Figure 3: Detailed illustration of the performance of the novel ALR. AFEs. Note that ambient light is directly removed at the first amplification stage, providing optimal PPG measurement In order to set the novel ALR according to the benchmark of performances in realistic indoor and outdoor scenarios. COTS AFEs, Table 1 provides a high-level benchmark The ALR state of the art for COTS AFEs is based on high-pass comparison of performances, including: 1) the amount of filtering of the output signal after a first amplification stage. saturation in the input stage, 2) the dynamic range accepted by More advanced implementations rely on sampling ambient light the ALR, and 3) the power consumption. signals when the PPG-light source is switched off. A Table 1: Performance of the novel ALR approach when compared to combination of both approaches is also known. Such three competitor AFEs. implementations suffer from saturation of the first amplification Feature AFE 1 AFE 2 AFE 3 CSEM AFE stages when exposed to intense ambient light conditions. Saturation at input stage GOOD GOOD MEDIUM GOOD Accepted light dynamic range MEDIUM BAD GOOD GOOD CSEM has developed a new method that overcomes the Power consumption GOOD BAD MEDIUM GOOD aforementioned limitations by removing ambient light directly at the input of the first amplification stage, that is, on the PD itself. The novel ALR is now available at CSEM for demonstration In addition to the advantages of 1) never saturating the analog purposes and is a main building block of the new generation of chain and 2) avoiding the need for the microcontroller to sample proprietary PPG-based wearables, measuring HR, SpO2, NIBP, ambient light, the major advantage is that the method allows for and other vital signs. The ALR described herein has been significantly higher analog signal gains. A simplified schematic implemented into CSEM's proprietary PPG-dedicated ASIC of the implemented ALR is depicted in Figure 1. Additionally, (application-specific integrated circuit), called PulseMon, whose datasheet is available on request.

[1] M. Lemay, et al., Wearable Sensors, Elsevier, 2014, 105-129 ISBN 9780124186620.

89

Cuffless Blood Pressure Monitoring: CSEM's catalog of applications J. Solà, M. Proença, F. Braun, A. Vybornova, C. Verjus, J.-M. Koller, A. Moreira De Sousa, M. Bertschi The quest for sensors that can provide accurate beat-to-beat blood pressure measurements without inflating pneumatic cuffs has started around the globe. For more than 10 years, CSEM has been a pioneer in the development, implementation, and validation of novel solutions based on either pulse wave velocity or pulse wave analysis principles. CSEM's blood pressure technologies open the door to a catalogue of disruptive applications ranging from new paradigms in routine blood pressure measurement in the operating room to the first ever cuffless monitors for wearable 24/7 applications. Clinical validations of CSEM's technologies are ongoing in different Swiss university hospitals. CSEM’s strategy in the measurement of blood pressure (BP) is Preliminary results of an ongoing clinical study (NCT02651558) based on two measurement principles, depending on the at CHUV, Lausanne University Hospital, are illustrated in targeted BP application (see Table 1): Figure 1. Ground-truth values of systolic, diastolic, and mean BP are obtained from an arterial line inserted at the radial artery. a) Pulse wave velocity (PWV) principle: estimates of BP are In this example, PWV measurements at the chest provide obtained from measurements of the transit time of arterial estimates of mean BP, and PWA measurements at the fingertip pulses travelling from the ascending aorta towards the provide estimates of systolic BP. vasculature of the upper-thoracic skin;[1] b) Pulse wave analysis (PWA) principle: estimates of BP are obtained via a CSEM-proprietary analysis of arterial pulsatility patterns at body locations such as the wrist or the fingertip.[2] While both principles require a user-dependent calibration manoeuvre to provide absolute BP estimates, calibration-free estimates have been shown to provide an accurate indication of the BP trend over time, which is sufficient for most clinical scenarios. Based on these principles, the results obtained from different ongoing clinical studies in Swiss university hospitals prove the PWV at chest feasibility of a catalogue of disruptive BP applications (Table 1). ECG-ICG-PPG signals

Table 1: CSEM catalogue of cuffless BP applications. PWA at fingertip BP application CSEM cuffless solution PulseOx signals Continuous PWV measurements at the chest via ambulatory BP CSEM's cooperative sensor technology monitoring 24/7 (combined ECG, bioimpedance, and PPG sensors) [3] Continuous BP trend PWA measurements at the fingertip via re- monitoring during analysis of transmission PPG signals from anaesthesia routinely used PulseOx sensors.[4] Continuous PWA measurements at the wrist via ambulatory BP reflective PPG sensors integrated in a Figure 1: Example of good performance of CSEM cuffless [5] monitoring during wrist watch device. technologies to estimate: a) mean BP via a chest sensor (upper panel), sleep and b) systolic BP via a PulseOx sensor (lower panel), when compared Spot ambulatory BP PWA measurements at the wrist via to arterial line measurements on an anesthetized patient. Grey regions monitoring reflective PPG sensors integrated in a in plots depict reference BP ±8 mmHg. watch device. While CSEM technologies for cuffless BP are drawing the Spot ambulatory BP PWA measurements at the fingertip via interest of numerous key players in the medical and wearable monitoring reflective optical measurements from a market, licensing of the dedicated patent portfolio for particular smartphone camera. use cases is available upon request.

[1] J. Solà, Continuous non-invasive blood pressure estimation, [4] J. Solà, et al., Continuous non-invasive monitoring of blood ETHZ PhD dissertation No. 20093, Dr. Sc., 30 November 2011. pressure in the operating room: a cuffless optical technology at [2] M. Proença, et al., Method, apparatus and computer program for the fingertip, Proc. BMT2016, Basel, 2016. determining a blood pressure value, WO2016138965. [5] J. Solà, et al., Cuffless blood pressure monitoring: experimental [3] J. Solà, et al., Non-invasive and non-occlusive blood pressure evidences of a beat-to-beat PPG technique, Proc. EMBC2016, estimation via a chest sensor, IEEE TBME, 60(12), 2013. Orlando.

90

WELCOME—Wearable Sensors for Patients Suffering from Cardiopulmonary Diseases J. Wacker, O. Chételat, M. Rapin, E. Haenni, J.-A. Porchet, A. Falhi, C. Meier, F. Braun, A. De Sousa, R. Rusconi WELCOME is a project on a vest equipped with more than 20 sensors for measuring body signals with a high spatial resolution. Being fully wearable and intuitive to use, the vest makes home monitoring of patients suffering from, for instance, chronic obstructive pulmonary disease (COPD) with comorbidities such as chronic heart failure feasible. An important area of progress in the modern treatment of chronic cardiopulmonary diseases is the personalization of therapy. Personalized therapy requires continuous monitoring of a patient's health status (e.g., to undertake preventive actions before an exacerbation). The most objective assessment of health status is done by measuring significant physiological signals and comparing them to a healthy population and/or by following them over time. Chronic diseases affecting multiple organs need parallel monitoring of several physiological parameters. Current devices for multi- signal recording are often bulky and do not allow the patients' state of health to be followed during their daily lives. Figure 1: The WELCOME sensor vest (here shown half open) is In the frame of the project WELCOME, CSEM has developed, equipped with 21 sensors (diameter: 38 mm; height: 10 mm), which together with a European consortium, a wearable system to are in direct contact with the skin and measure a wide spectrum of continuously monitor a multitude of physiological parameters of body signals with a high spatial and temporal resolution. patients suffering from chronic obstructive pulmonary disease (COPD) and comorbidities. The system consists of a vest with 21 so-called cooperative sensors based on a new technology patented by CSEM. The sensors are embedded in a vest (see Figure 1), where they measure and record multi-lead ECGs (electrocardiograms), heart rate and breath rate, arterial oxygen saturation (SpO2), physical activity, chest sounds, and trans-thoracic impedance for electrical impedance tomography (EIT) of the lungs. The use of many sensors allows the acquisition of body signals with a high spatial density, paving the way to wearable imaging devices and giving access to enough information to process new secondary signals such as cuffless continuous arterial blood pressure[1] or even pulmonary Figure 2: Some of the signals measured with the vest sensors: a) multi-lead ECG; b) SpO2; c) activity; d) time series of some of the blood pressure [2]. 80-Hz EIT images of the lungs during one respiration cycle (blue = low While existing multi-sensor systems usually require complex conductivity; red = high conductivity) cabling between the sensors, the WELCOME vest contains In conclusion, we have developed and presented a sensor only two simple wires, which facilitates production of the vest, system for measuring, in a continuous manner, multiple health- allows a decrease in the size of the sensors, and increases the relevant physiological signals of patients suffering from [3] wearing comfort of the vest. Besides, the WELCOME sensor cardiopulmonary diseases in their home environment. Thanks vest is standalone; that is, no external cabling is needed, and it to patented CSEM technology, the vest is comfortable to wear can therefore be put on like a conventional undergarment, and intuitive to use. All these factors together make the which makes it extremely simple to use in everyday life. WELCOME vest a new device, unique in its kind yet Figure 2 shows signals measured with the WELCOME vest in competitive with respect to traditional non-wearable and the first experiments. A multi-lead ECG is shown in Figure 2a. wearable medical measuring devices in many applications. Moreover, SpO2 and activity-related measurements are shown The work has been carried out within the collaborative project in Figure 2b and c. Figure 2d shows a time series of EIT images WELCOME (www.welcome-project.eu) and is partly funded by during one respiratory cycle, depicting the intra-thoracic the 7th Framework Program of the European Union (grant impedance change mainly influenced by the ventilated lungs. agreement no. 611223).

[1] J. Solà, et al., Non-invasive and non-occlusive blood pressure during induced hypoxia", IOP Publishing, Physiol. Meas. 37 estimation via a chest sensor, IEEE Trans. Biomed. Eng., 60 (2016) 713–726. (2013) 3505. [3] M. Rapin, et al., "Cooperative dry-electrode sensors for multi- [2] M. Proença, et al., "Non-invasive monitoring of pulmonary artery lead biopotential and bioimpedance monitoring", IOP Publishing, pressure from timing information by EIT: experimental evaluation Physiol. Meas. 36 (2015) 767–783.

91

Autonomous Medical Monitoring and Diagnostics A. Lemkaddem, M. Lemay, M. Proença, P. Renevey, R. Delgado-Gonzalo, M. Bertschi CSEM has developed a platform that evaluates how data mining and machine learning can pave the way towards autonomous medical monitoring and diagnostics. Even though the original conception was oriented towards space applications, CSEM’s autonomous diagnosis platform can play an important role in many remote locations where telecommunications systems are not reliable. Global plans for exploratory missions aim at extending the classification performance is assessed in the “performance distances travelled by humans well beyond low-Earth orbit and evaluation” block. establishing permanent bases on the surface of the Moon and Mars [ 1 ].This will inevitably lead to an increase of mission duration, radiation intensity, and degree of confinement and isolation to which the crews will be exposed. In this extended context, the astronauts should have the means to collect medical/physiological data in order to understand whether their health conditions are within nominal levels. The astronauts Figure 1: Overview of the developed evaluation platform. should be informed about possible diagnoses and should Table 1 shows some of the results based on the MIT-BIH receive practical recommendations about treatment options in arrhythmia database (47 subjects). These results were order to deal with medical issues with limited or no interaction obtained by using various models for the detection of a with the Earth. The mentioned scenario is possible if and only particular type of anomaly, in this case cardiac arrhythmias. if the astronauts and medical crewmembers have access to an Each individual heartbeat was classified by the anomaly autonomous medical monitoring system with embedded detection models as either normal (sinus rhythms) or abnormal diagnostic algorithms. (cardiac arrhythmias). Comparing the detected anomalies to The systems developed today very often target one type of the ground truth (annotated anomalies from the databases), arrhythmia detection. [2] The results obtained from these studies sensitivity and specificity scores were computed to assess their are highly comparable with the outcome of the CSEM platform. performance. The harmonic mean of sensitivity and specificity In addition, the CSEM platform detects several types of cardiac was also computed as a global performance measure. arrhythmias simultaneously. Table 1: Performance scores from the normal (sinus rhythms) versus Figutre 1 briefly describes the evaluation platform developed by abnormal (cardiac arrhythmias) classification. CSEM. The general working principle of this pipeline can be explained as follows. It starts out with a selection of available medical databases, depending on the medical use case considered and the validation mode. All selected signals and associated annotated anomalies obtained from the databases While Table 1 illustrates the results of the normal versus (ground truth) are loaded into the algorithm pipeline. The abnormal separation (anomaly detection), Table 2 shows the “feature extraction & alignment” block is in charge of extracting performance of the models for anomaly classification. The generic and signal-specific features from the signals and CSEM pipeline manages to classify the normal beats with an thereafter aligning them with annotated anomalies used to train accuracy of 94% when using SVM Linear. The atrial fibrillation several “anomaly detection” models. These models (e.g. k-NN, classification work best with k-NN (78%), while the highest SVM) aim at classifying the values of the features extracted as classification score for premature ventricular contraction was either “normal” or “abnormal”. When at least one abnormal obtained with SVM Nonlinear (78%). feature value is encountered, an anomaly is said to be detected. Being able to classify which type of anomaly has been detected Table 2: Classification scores of the different cardiac rhythms. PVC = based on which types of features have been classified as Premature Ventricular Contraction, AF = Atrial Fibrillation. abnormal is the task of the “anomaly classification” models. Moreover, some models (anomaly detection and classification models) will perform both tasks jointly (anomaly detection and classification). The trained “anomaly detection”, “anomaly classification”, and “anomaly detection and classification” models are then applied to new data (validation dataset) during With the results obtained so far, the CSEM autonomous the validation phase of the algorithm pipeline (lower row of the diagnosis platform demonstrates a promising capacity to be block diagram) in the “apply trained models” block. Lastly, the extended to other signals and pathologies. performance of the models in terms of detection and

[1] International Space Exploration Coordination Group, the Global [2] P. Ziegler, J. Koehler, R. Mehra, Comparison of continuous Exploration Roadmap, 2013. versus intermittent monitoring of atrial arrhythmias, Heart Rhythm, 3(12) (2006) 1445–52.

92

Light Management for Treatment of Chronic Wounds D. Kallweit, J. Mayer, O. Fernandez, N. Glaser, A. Luecke, A. Mustaccio, R. Ferrini Chronic wounds represent a significant burden to patients, health care professionals, and health care systems, affecting over 40 million patients and creating costs of approximately 40 billion € annually. In the European project "MEDILIGHT" CSEM and its partners work on the development and fabrication of a medical device for professional wound care. The device will use recently proven therapeutic effects of visible light to enhance the self- healing process and monitor the status and history of the wound during therapy. Exposure of the chronic wound with certain colors and durations can induce the growth of keratinocytes and fibroblasts in deeper layers of the skin and is also known to have antibacterial effects predominantly at the surface layers of the skin. In order to be compliant with hygiene requirements the system consists of two main parts: 1) the wound dressing with the illumination system, and 2) the electronics for the controlling and the wireless communication. The disposable wound dressing hermetically seals the infectious wound whereas the illumination system can be reused during the treatment of one and the same patient. The illumination system is based on a LED foil and thin light management layers which makes it thin and mechanically flexible. It also comprises heat management structures and provides the required degree of breathability. In the European project MEDILIGHT, CSEM developed an illumination system for the treatment of chronic wounds by means of elaborated illumination schemes. As shown in Figure 1, the MEDILIGHT systems consists of the wound dressing provided by the industrial partner Laboratoires URGO, the flexible illumination system, and the electronics for the controlling, the data acquisition and the wireless communication.

Figure 1: Illustration of the MEDILIGHT wound healing system. It consists of a disposable wound dressing, an exterior flexible illumination system with sensors and the controlling electronics. The illumination system is positioned on top of the disposable wound dressing and consists of a flexible LED foil with homogenizing micro-optical layers on top, which provide a high level of illumination homogeneity in combination with high illumination efficiency; even when in direct contact with the flexible LED foil. The flexible LED foil is additionally fitted with a light harvesting layer collecting and redirecting misdirected light back to the wound.

The thin portable and mechanically flexible illumination system Figure 2: First demo of the thin 16 cm2 MEDILIGHT illumination developed by CSEM provides an adjustable intensity density of system. The demo consists of 32 LEDs, diverse light management 2 up to 25 mW/cm . It also provides enough breathability to allow elements for light redirecting and homogenization as well as the the patient's exudates to be lead away as well as it provides complete wound dressing from the industrial partner URGO on top. integrated heat management structures to dissipate the heat The illumination system is able to provide high intensities up to generated by the LEDs. 25 mW/cm2. Figure 2 shows the developed illumination system and the The project MEDILIGHT is funded by the European attained homogeneity. Commission (grant agreement no. 644267) and builds on the competencies of the following partners: Technical University of Figure 2a shows the measurement of the homogeneity as well Berlin (Germany), Laboratoires URGO (France), Ruprecht- as the cross-section on the right. The tiny bright spots that can Karls-University Heidelberg (Germany), CSEM (Switzerland), be seen (the peaks in the cross-section view) are not the LEDs SignalGeneriX Ltd (Cyprus), Microsemi Semiconductor Limited but the tiny openings which belong to the URGO wound (United Kingdom), and AMIRES s.r.o. (Czech Republic). dressing. A homogeneity (Imin / Imax) of 62% was achieved. Figure 2b shows a photograph of the demonstration of the illumination system with one of the wound dressings developed at URGO for best optical efficiency. The size of the demo is 16 cm2. Larger patches of up to 72 cm2 based on flexible LED foils providing exactly the same power densities and homogeneity have also been built. 93

X-ray Phase Contrast Imaging: from High Resolution to High Speed V. Revol, P. Schutz ●, T. Stadelmann, I. Kastanis, E. Schaller CSEM's X-ray phase contrast imaging (XPCI) facility was extended in 2016 and now covers non-destructive imaging from high resolution to high speed. Non-destructive inspection (NDI) is increasingly used in prototyping, manufacturing and repair of critical components such as aeronautical structures, automotive components, medical implants and opto-electronic packages. Beyond ensuring safety and reliability, the benefits of NDI include shorter development and validation cycles, improved production yield, reduced waste, lower part weight and better understanding of failure modes. CSEM's XPCI facility is accessible for external users; the technology is available for licensing to NDI equipment manufacturers. Compared to conventional X-ray systems, X-ray phase contrast imaging (XPCI) brings the following advantages • Higher contrast for lightweight materials • Sensitive to micro and macrostructures These advantages translate into better detectability of critical defects within the structure under inspection. In the project ZEFIPACK—performed jointly with the Lucerne University of Applied Sciences and Arts—a new XPCI system was designed and realized at CSEM (see Figure 1). This system can achieve high resolution (down to 4 µm voxel size) by using a micro-focus X-ray source from Hamamatsu and a Figure 2: Example of cross-section images obtained with the high- dedicated geometry. resolution XPCI system. Defects can be observed in the bonding line (yellow-framed inset). The new system complements the existing XPCI systems listed in Table 1. With this addition, the XPCI facility can now provide solutions to industrial and academic partners for a broad range of applications in lightweight materials NDI.

Table 1: Summary of XPCI systems available at CSEM

Figure 1: The high-resolution XPCI system. The system has been characterized on opto-electronic packages and polymer parts made by micro-injection. Figure 2 shows the results obtained on a hermetic sapphire package with embedded electronics. Defects can be observed in the bonding line (see yellow framed inset). This information allows optimizing the process parameters to maximize yield and hermetic sealing quality. Typical XPCI applications are:

• Detection of defects in composites, polymers, aluminium We thank MCCS, the Cantons of Central Switzerland and the and ceramic / CMC components (typical defects include Swiss Confederation for supporting this work. porosity, cracks, fibre waviness, and moisture) [1] • Analysis of crack propagation • In-situ monitoring of wetting in porous materials or textiles • Characterization of precious stones and pearls [2] • Quality control of opto-electronic packages

● Lucerne University of Applied Sciences and Arts [1] C. Hannesschläger, V. Revol, B. Plank, D. Salaberger, [2] V. Revol, C. Hanser, M. Krzemnicki, "Characterization of pearls J. Kastner, "Fibre structure characterisation of injection moulded by X-ray phase contrast imaging with a grating interferometer", short fibre-reinforced polymers by X-ray scatter dark field Case Studies in Nondestructive Testing & Evaluation 6 (2016) 1. tomography", Case Studies in Nondestructive Testing & Evaluation 3 (2015) 34.

94

VISARD—Vision Automation Robotics Designer M. Höchemer, I. Kastanis, P. Schmid CSEM's VISARD offers a PC-based one-stop software solution for complex, special-purpose machines. Industrial automation faces many challenges: improving quality, increasing process reproducibility and lowering costs while fulfilling high customer expectations for flexibility and user friendliness. These demands are part of the Industry 4.0 trend, which aims at integrating traditional production with information and communication technologies. By applying state-of-the-art software design and introducing advanced control architectures VISARD enables efficient deployment of hardware- independent automation systems. CSEM has created the Vision Automation Robotics Designer (VISARD) to drive special-purpose machines with minimum effort and maximum flexibility. The breakthrough was achieved by merging CSEM's industry-proven robotics and vision frameworks into a single tool. VISARD can be used in complex special-purpose machines as well as in simple measuring devices. It offers a smart PC-based solution for hybrid-control systems with heterogeneous hardware elements free of any Programmable Logic Controller (PLC).

VISARD uses a graphical, module-based approach that makes Figure 2: Blackboard data exchange mechanisms. it flexible, extendable and easy to use by machine integrators, Source modules may push data to the Blackboard. Receiver developers and end-users (Figure 1). Typical modules include modules may register to read this data by choosing whether to cameras, robots, I/Os, logging, data management and get the data by event, by polling or by a proprietary zero-miss algorithms for image or data processing (e.g. neural networks). polling that guarantees no data will be lost even when polled at low-frequencies. Memory is managed automatically by the Blackboard and the VISARD GUI allows monitoring its content live. The Blackboard implementation has been tested on a representative industrial PC and can handle more than 1.5 million transactions per second (Figure 3). A transaction may be an I/O change, an image or a measurement.

Figure 1: VISARD user interface. The application's core is the control logic of a special purpose machine. Pure graphical descriptions of complex systems often result in confusing diagrams that are hard to maintain (e.g. Figure 3: Blackboard transaction performance results. LabVIEW). On the other hand, a framework that only offers low- level programming interfaces requires trained programmers SQL/NoSQL modules are available that store relevant system and is not usable by machine integrators. VISARD provides and process data. In combination with reporting modules, this multiple ways of linking modules. Simple and sequential allows VISARD's vertical integration into existing ERP systems processes can be connected graphically on the VISARD's user and automatic generation of weekly management reports. interface. More complex logic can be implemented using high- VISARD is a fast growing toolbox. The latest implementation level scripting languages like Lua (similar to IEC 61131) also provides full support for Raytrix [1] 3D light-field cameras with directly on the VISARD's user interface. Experts can write code multi-GPU support and load scheduling. The system can in the underlying .NET framework (e.g. C# or Visual Basic). handle three 3D quality gates in a high-speed production line, The main challenge of a modern production system is to deal processing 6 parts per second per gate. with slow, heavy data (e.g. images) and fast, light data (e.g. We thank MCCS, the Cantons of Central Switzerland and the contact switch) in parallel. VISARD offers a novel data handling Swiss Confederation for supporting this work. mechanism called the Blackboard (Figure 2).

[1] www.raytrix.de

95

Visualization Tool to Understand the Learning of a Deep Network J. Sun, I. Kastanis Visualizing the learning process of a deep network is of great value to interpret this novel technique in industrial applications. The t-Stochastic Neighbor Embedding (t-SNE) technique is utilized to display graphically the learning data as well as the activity of the hidden layers of a trained deep network. The visualization shows how the deep network learns the natural cluster characteristics of the training data. Deep learning has achieved success in various application Figure 1 shows an example visualization of the raw data and domains, such as image classification and speech recognition. hidden activity vectors of different hidden layers of a well- As a pioneer in applying deep learning algorithms in industrial trained Tilear network based on t-SNE. The blue circles automation, CSEM developed Tileye for image recognition and represent good samples while the red crosses represent surface inspection tasks as well as Tilear for precision- defective samples. Visualizations of raw data and hidden layer machinery quality inspection. While these systems performed activities of a well-trained network are ordered from a to e. outstandingly, it remained challenging to explain their working principles concisely to new customers. A deep network assembles diverse non-linear functions with millions of parameters, which are difficult to interpret, remaining a “black box” for the customer. Visualization of the learning process and learned features are thus necessary to assist the interpretation of this new technique. Tilear is a precision-machinery quality-inspection software a) input data system based on a deep auto-encoder. The acquired signals from product samples are always high-dimensional. t-Stochastic Neighbor Embedding (t-SNE) [1] was selected to create 2D visualization maps due to its ability to embed high- dimensional data into a low-dimensional space while preserving local relations between data points (i.e. data points close to each other in the original high-dimensional space remain close to each other in the embedded low-dimensional b) 1st hidden layer c) 2nd hidden layer space). The algorithm can be summarized in two steps (refer to [1] for a thorough mathematical description): • Model the neighborhood relations between data points by using joint probability distributions over pairs of data in both the original high-dimensional and the mapped low- dimensional space. Data points close to each other in the

space have thus a high probability of being picked up as d) 3rd hidden layer e) bottleneck layer neighbors, whilst data points far away from each other have a low probability of being categorized as neighbors. Figure 1: t-SNE 2D maps of layer activity vectors of a well-trained deep auto-encoder network based on raw audio signals. • Minimize the Kullback-Leibler divergence between the probabilities in high-dimensional and low-dimensional Figure 1 shows how raw sample data in the dataset are mixed spaces with respect to the locations of the points. up and not separable. However, clusters of good and defective samples emerge as the learning progresses from low-level to In order to visualize the learning process of a well-trained deep high-level hidden layers (b to e). The learned clusters in the auto-encoder, the following steps were performed: bottleneck layer form the basis to perform fault detection. • Retrieve the well-trained deep auto-encoder. The visualization of the learning process clearly shows how the • Propagate samples in the test dataset through the well- natural clusters buried in the raw high-dimensional space are trained auto-encoder, obtaining the hidden layer activity learned by the network. This type of visualization is an vectors of each sample. invaluable tool to demonstrate the working principles of Tileye and Tilear to potential customers, facilitating the • Apply t-SNE to these hidden layer activity vectors and the industrialization of the technique. raw samples. We thank MCCS, the Cantons of Central Switzerland and the • Display the t-SNE mapped low-dimensional data in 2D. Swiss Confederation for supporting this work.

[1] M.Laurens van der, G.Hinton, "Visualizing data using t-SNE", Journal of Machine Learning Research (2008) 2579.

96

Novel System for Pressure Measurements on Yacht Sails T. Burch, H. Dong, S. Bitterli, K. Krasnopolski, E. Schaller, I. Bayati ●, S. Muggiasca ●, M. Malandra ●● CSEM has developed a novel pressure-measurement system with Politecnico di Milano and North Sails based on MEMS sensors and pressure-strip technology. The system was developed for the Lecco Innovation Hub Sailing Yacht Lab [1] and has been tested in an extensive wind tunnel campaign [2]. Pressure measurements on thin shapes like sails are very The performance of the measurement system was evaluated in challenging. Knowing the effective pressure distribution over static and dynamic tests as well as in wind tunnel tests in the sail plan is of great interest for its aerodynamic and upwind configuration on a 1:10 scale model of a 48 foot cruiser- structural design. The system developed enables such racer. A set of pressure strips with a total number of 144 measurements and is therefore a valuable tool for the selection pressure taps was mounted (Figure 2). The strips were and the optimal use of materials and sail production techniques. attached on both sides of the main sail and the jib in order to measure differential pressure between the leeward and The system is based on 9 pressure scanners (Figure 1) each windward sides. The scanners were placed in the hull of the providing 16 sensors connected to pressure strips distributed model. In addition, flying shape measurements based on time on different sections of the main sail and the jib of the test yacht. of flight (TOF) technology were performed. The MEMS pressure dies integrated in the scanner are a new generation of piezo-resistive differential low-pressure sensor membranes that reach very low full-scale ranges below 1000 Pa. The MEMS sensors are cost efficiently bonded to a FR4 substrate using innovative die bonding techniques based on elastic adhesives.

② Figure 2: Pressure-strips (in white) applied to the main sail of the 1:10 scale model during wind tunnel test. The wind-tunnel test results gave relevant insight explaining the ① dependency of sail-plan trimming on sail pressure, driving forces and flying shape measurements (Figure 3). Further measurements on the full-scale sailing yacht lab on Lake Como are planned for the end of 2016. Figure 1: Pressure scanner with CAN cable (1) and strip adapter (2).

Scanner key specifications FS pressure range ±1000 Pa Measurement resolution 0.01 % FS Static accuracy after zeroing 0.25 % FS

Sample rate 1 - 100 Hz Figure 3: Visualization example of pressure distribution based on wind CAN Interface 1 Mbit/s tunnel measurements at an apparent wind angle of 20°. Size 65×55×6 mm The system is a major improvement compared to state-of-the- Weight 50 g art pressure measurements on flexible sails. It is expected that in the future such measurements on scale models and full-scale The pressure strips are made of thin polymer films with yachts will provide a reference database for validating CFD integrated micro-channels, which enables pressure simulation models and further optimize the structural design propagation from the tap to the respective sensor of the and aerodynamics of sails. connected pressure scanner. The main advantage of the strips We thank MCCS, the Cantons of Central Switzerland and the is their low weight and the flexibility of this foil, which allows fast Swiss Confederation for supporting this work. and non-invasive application to the sails.

● Politecnico di Milano [1] Fossati, et al., “A Novel Full Scale Laboratory for Yacht ●● North Sails Engineering Research”, Ocean Engineering 104 (2015) 219-237. [2] Fossati, et al., “Pressure Measurements on Yacht Sails: Development of a New System for Wind Tunnel and Full Scale Testing”, 22nd CSYS (2016) 84-96.

97

Ultra-low Phase Noise Microwave Generated with Photonics E. Portuondo-Campa, G. Buchs, S. Kundermann, L. Balet, S. Lecomte A record-low phase noise floor for photonics-generated microwaves obtained from commercial PIN InGaAs photodiodes has been achieved. At a carrier frequency of 9.6 GHz, the microwaves were generated using optical frequency combs based on diode-pumped solid-state lasers emitting at telecom wavelength and referenced to a cavity-stabilized continuous-wave laser. Using a novel fibered polarization-maintaining pulse interleaver, a single-oscillator phase-noise floor of -171 dBc/Hz has been measured. The generation of microwave signals with very low phase-noise optical wavelength (eye-safe, telecom band). When the and frequencies typically in the range of 10 GHz is essential in spectrum of the OFC is stabilized, the train of femtosecond applications such as telecommunications, radar technologies, optical pulses emitted by the laser exhibits ultra-low timing jitter, synchronization of scientific facilities, and time and frequency corresponding to the same relative stability of the cw frequency metrology. In recent years, photonics-based approaches have reference. The pulses can then be detected with a photodiode opened new routes towards the generation of ultra-low phase- to produce a periodic electronic signal with ultralow-phase noise microwave signals, in particular the approach described noise. Unfortunately, the pulse repetition rate of a femtosecond here, based on the use of optical frequency combs (OFC) [1]. oscillator is typically in the range of tens of MHz to 1 GHz. In Compared to other types of microwave sources, this method, order to generate a signal with 10 GHz carrier frequency, a high illustrated in Figure 1, results in the lowest close-to-carrier harmonic of the electronic signal can be selected by filtering; phase noise and short term instability that can be achieved but in general, due to the small amplitude of high harmonics, today, while reaching very low far-from-carrier noise floors. the quantum shot-noise effect will severely limit the relative phase noise floor of such microwave. To circumvent this problem, the pulse repetition rate of the laser can be multiplied by splitting, delaying and recombining the optical pulses in a pulse interleaver scheme [2] as shown in Figure 2.

Figure 2: 1-stage optical pulse interleaver scheme resulting in duplication of pulse repetition rate. A novel design of fiber pulse interleaver conceived and Figure 1: System diagram for photonics-based source of ultra-low fabricated at CSEM allowed selectively enhancing the 9.6 GHz phase-noise microwaves. harmonic of the photo-detected signal from an original optical At the origin of the stability of this oscillator there is an optical pulse train of 100 MHz rate. The protocol for fiber interleaver frequency reference consisting in a continuous wave (cw) laser, fabrication developed at CSEM, allows control of the inter-pulse stabilized on a high-finesse cavity. At CSEM, this type of optical delay to a precision of 1 ps, and permits recovering the whole frequency references have demonstrated Hz-level stability at input optical power at a single output channel, with only minor 1s time-scale, corresponding to a fractional frequency stability losses at the interface between the 6 implemented stages. This in the order of 10-15. To put it in perspective, this corresponds strategy, combined with the high performance of in-house to the ratio of 1 s to 30 million years. developed control electronics for laser stabilization enabled the generation of ultra-low phase noise microwaves, demonstrating Using this type of laser as frequency reference it is possible to the lowest noise floor far-from-the-carrier obtained so far with stabilize the whole spectrum of an optical frequency comb commercial PIN InGaAs photodiodes, at a level of -171 dBc/Hz (OFC) by means of several feedback mechanisms. The OFC in for a single oscillator [3]. our case consisted in a passively mode-locked diode-pumped solid state laser, emitting femtosecond pulses at 1550-1560

[1] A. Bartels, S. A. Diddams, C. W. Oates, G. Wilpers, [3] E. Portuondo-Campa, G. Buchs, S. Kundermann, L. Balet, J. C. Bergquist, W. H. Oskay, L. Hollberg, “Femtosecond-laser- S. Lecomte, "Ultra-low phase-noise microwave generation using based synthesis of ultrastable microwave signals from optical a diode-pumped solid-state laser based frequency comb and a frequency references,” Opt. Lett. 30(6), 667–669 (2005). polarization-maintaining pulse interleaver", Opt. Expr. 23(25), [2] A. Haboucha, W. Zhang, T. Li, M. Lours, A. N. Luiten, Y. Le Coq, 32441-32451 (2015). G. Santarelli, “Optical-fibre pulse rate multiplier for ultralow phase-noise signal generation,” Opt. Lett. 36(18), 3654–3656 (2011).

98

A Vision-based LiDAR Sensor Technology for Space Debris Removal A. Pollini, C. Pache, S. Pernecker, M. Tomil, L. Giriens, G. Perruchoud, F. Droz, J.-L. Nagel, P.A. Beuchat, J. Bennes, A. de Souza. The vision-based navigation (VBN) sensor is the most technically demanding payload of a 100-kg class satellite to be launched in May 2017 by the Nanoracks Kaber deployment system installed on the International Space Station. The VBN sensor has been developed in view of space rendezvous and active debris removal applications. The implemented sensor architecture can also be considered for future automotive, unmanned vehicle (e.g. drone), cattle, and forestry management applications. The activities of human beings in space have progressively The VBN sensor is made of two main sub-systems: a flash generated a huge amount of garbage, to such an extent that imaging LiDAR and a color camera. The innovation stands in today it is a concern for spacefaring nations. Satellites and the LiDAR as the camera is an off-the-shelf product. As it is a orbiting debris collisions happened on several occasions. The low-cost mission, most of the components are not space- International Space Station (ISS) modifies its orbit almost daily qualified components. The whole system has been designed to avoid threatening debris. and realized by CSEM.

Laser head LiDAR receiver

Color camera

Figure 1: Launcher tank recovered in South Africa. (Argus/Enver Figure 2: VBN sensor with laser head, LiDAR receiver, and camera. Essop). The sensor's mass is 2 kg and its size is 10×10×15 cm3. It is In 2014, around 600 pieces of debris totaling 100,000 kg re- specified to take 3D images at a distance between 1 and entered the Earth's atmosphere. In a little more than half a 20−40 m. century, more than 4800 launches have placed some 6000 satellites into orbit. Less than 1000 are still operational today. Currently, the VBN sensor proto-flight model is functionally The US Space Surveillance Network tracks and maintains a tested. Figure 3 shows the target used for these tests and catalogue of more than 12,000 orbiting items. Objects larger Figure 4 shows a raw image generated by the LiDAR. than approximately 5 to 10 cm in low Earth orbit and 30 cm to 1 m at geostationary altitudes are monitored. Only 6% of the catalogued objects are active!

The most effective means of stabilizing the amount of orbiting 2.8 [m] 4.5 [m] debris is by mass reduction within regions with high densities of space debris. A credible solution has emerged over the recent years, which is to actively remove inactive objects. The EC FP7 RemoveDEBRIS project/mission aims at 3.8 [m] 4.1 [m] 4.5 [m] performing in-orbit Active Debris Removal (ADR). The scenario of this low-cost mission (€11.3M) involves a microsatellite of Figure 3: Target for the functional tests. 100 Kg, called RemoveSAT. It will eject and then capture and deorbit two space debris targets, called DebriSATs. Various rendezvous, capture, and deorbiting key technologies such as net and harpoon and LiDAR-based vision sensors will be evaluated in-orbit. Vision-based sensors are paramount for the success of ADR missions. They allow identification of the debris' geometrical features and main tumbling axis. No proximity navigation and capture can be envisaged without this Figure 4: Images generated by the LiDAR (intensity on the left and distance on the right). information. The LiDAR generates two images: one intensity image, like any RemoveDEBRIS is one of the world’s first and perhaps the camera, and a distance or depth-map image that provides the most important in-orbit ADR demonstration. It is a vital 3D information. Environmental tests and delivery to SSTL for prerequisite to achieving the ultimate goal of a cleaner Earth integration on the satellite are planned for October and orbital environment. CSEM is part of a consortium led by the November 2016, respectively. The launch is planned for May space department of Surrey University, with SSTL and Airbus 2017. as the main space industries. CSEM is responsible for the vision-based navigation (VBN) sensor. This work has been supported by the European Community’s Space Research Program under grant agreement no. 607099. 99

Efficient Femtosecond Laser Stabilization via Stimulated Emission G. Buchs, E. Portuondo-Campa, S. Lecomte A novel scheme for intra-cavity control of the carrier-envelope offset frequency of a 100-MHz mode-locked Er:Yb:glass diode-pumped solid state laser based on the modulation of the laser gain via stimulated emission of the excited Er3+ ions is demonstrated. This method makes it possible to bypass the ytterbium-system few-kHz low-pass filter in the fCEO stabilization loop and thus to push the phase lock bandwidth up to a limit close to the frequency of the relaxation oscillations of the erbium system. A phase lock bandwidth above 70 kHz has been achieved with the fully stabilized laser, leading to a low noise stabilized frequency comb.

Optical frequency combs (OFCs) constitute an essential tool for extend the fCEO feedback bandwidth via a bypass of the few- time and frequency metrology and optical spectroscopy kHz cut-off frequency low-pass filter induced by the excited applications today.[ 1 ] This requires fully stabilized combs, Yb3+ ion decay rate. This was achieved through stimulated implying that both the repetition rate (frep) and the Carrier- emission induced by an external laser source emitting at a Envelope-Offset (CEO) frequency (fCEO) are stabilized. frep wavelength lying within the transition linewidth in order to stabilization is usually implemented by acting on the laser cavity depopulate the excited Er3+ state. length via different techniques, enabling large stabilization bandwidths. Feeding back the phase error signal from an f-to- 0 2f interferometer to the laser pump power is a standard way to -40 dB/decade stabilize fCEO. However, here the phase lock bandwidth is limited by the stimulated lifetime of the gain medium. Achieving a large phase fCEO lock bandwidth is of high importance for Er:Yb:glass diode-pumped solid state lasers (DPSSLs), since -20 they have demonstrated very low timing jitter figures [2], making them particularly interesting for reference frequency distribution Amplitude (dB) Amplitude through telecom fibers and ultra-low phase noise microwave 1560 nm [3] 1590 nm generation . -40 1539 nm 1560 nm close Energy transfer to QML threshold 2 4 F5/2 I11/2 4I 13/2 10k 100k pump auxiliary laser Frequency (Hz) 2 4 F7/2 I15/2 Yb3+ Er3+ fast (optional) Figure 2: Transfer function of the auxiliary laser power to femtosecond Stabilization Er:Yb:glass slow Pump electronics 976 nm laser output power with 100% modulation depth, rescaled. For RF (fCEO) reference 1560 nm, the transfer function (arbitrarily offset) close to the QML

f GTI CEO OC GTI threshold shows a typical oscillation relaxation resonance at about GTI 57 kHz. f-to-2f interferometer PZT SESAM This gain modulation principle was tested by measuring the

Stabilization transfer function of the auxiliary laser power to the femtosecond Cavity-stabilized electronics CW laser f laser output power (fCEO is directly linked to intra-cavity power ( rep) Figure 1: Schematics of the femtosecond DPSSL architecture with the fluctuations). The features of the results displayed in Figure 3 external modulation laser source acting on the laser Er3+ transition of indicate that the energy transfer low pass filter has been the Er:Yb:glass gain medium for stabilization of fCEO. λemission = 1554 suppressed and that the global transfer function is now reduced nm, frep = 100.05 MHz, pulse duration: 165 fs (transform-limited). to a second-order low-pass filter corresponding to the three- (Inset) Energy diagram of the Er:Yb:glass system with stimulated level-laser transfer function of the Er3+ system. emission modulation of the gain from the auxiliary laser. Using the full stabilization scheme (frep and fCEO) described in Here,[4] a new approach to intra-cavity CEO stabilization in an Figure 1 (elements outside the grey rectangle), a phase lock Er:Yb:glass DPSSL emitting at telecom wavelength (Figure 1) bandwidth above 70 kHz has been demonstrated, leading to a has been demonstrated. A direct action on the population state-of-the-art in-loop integrated phase noise [1 Hz – 1 MHz] inversion between the lower and upper states of the Er3+ ions of 120 mrad. (energy diagram in the inset of Figure 1) makes it possible to

[1] N. R. Newbury, "Searching for applications with a fine-tooth [3] E. Portuondo-Campa, G. Buchs, S. Kundermann, L. Balet, S. comb", Nat. Photonics 5 (2011) 186. Lecomte, "Ultra-low phase-noise microwave generation using a [2] E. Portuondo-Campa, R. Paschotta, S. Lecomte, "Sub-100 diode-pumped solid-state laser based frequency comb and a attosecond timing jitter from low-noise passively mode-locked polarization-maintaining pulse interleaver", Opt. Express 23 solid-state laser at telecom wavelength", Opt. Lett. 38 (2013) (2015) 32441. 2650. [4] L. Karlen, G. Buchs, E. Portuondo-Campa, S. Lecomte, "Efficient carrier envelope offset frequency stabilization through gain modulation via stimulated emission", Opt. Lett. 41 (2016) 376.

100

Additive Manufactured Metallic Compliant Mechanisms and Flexible Structures H. Saudan, L. Kiener, E. Dominé, K. Vaideeswaran, Y. Zangui, M. Dadras With its highly promising technology, the application of additive manufacturing (AM) processes for space applications is a constantly growing topic of interest among the main actors in the space industry. While most of the research and development work performed presently is focused on reproducing and optimizing designs of what could be described as “structural or massive parts”, little work related to the manufacturing of thin, flexible structures has been published up to now. CSEM is developing a production method based on AM-SLM (selective laser melting) to be applied to the development of its FLEXTEC (flexure structure technology)-based precision mechanisms, a core competency of CSEM that has been widely used in the past 30 years in the framework of space, the watch industry, and scientific instrumentation projects. FLEXTEC-based mechanisms, also known as compliant The tensile testing of the various groups of samples produced mechanisms, can achieve macroscopic linear or rotary motion highlighted the tremendous improvement associated with the without friction, wear, or backlash and with extremely high Hot Isostatic Pressing (HIP) treatment, with the conclusion that fatigue performances thanks to the elastic deformation of the SLM built material can offer tensile performances similar to flexible structures arranged in a special manner. In spacecraft, those of a commercial grade 17-4 PH stainless steel. The they cover various functions such as launch locking, linear or micrograph fracture analysis confirmed the positive influence of rotary scanning for ultra-high precision optical instruments, the HIP treatment, as shown by Figure 2. pointing mechanisms for antennas, and more. A notable example is CSEM's corner cube mechanism flying onboard the MetOp satellites, with more than 700 million cycles to date. Up to now, the complexity of compliant structures has required highly sophisticated and expensive manufacturing methods, with the gold standard being wire electro-discharge machining from a bulk material block with consecutive large material losses and very long and delicate machining procedures. Today, this paradigm is questioned due to the new possibilities Figure 2: Alternate bending fatigue test results for AM-SLM samples. offered by additive manufacturing (AM) technologies. The fatigue performances of flexure test samples were After showing, in 2014, the feasibility of building an elementary investigated in detail through an alternate bending fatigue test compliant structure made of 316L stainless steel (see Figure 1) campaign covering four different sample groups (the test bench with AM-SLM (Selective Laser Melting), CSEM successfully principle is illustrated in Figure 3). The fatigue test data developed – in the framework of an internal research project collected helped understand the contribution of key material carried out with the company 3D PRECISION – an end-to-end and surface defects to the final fatigue performances and SLM-based manufacturing and post-processing production highlighted the beneficial effect of the HIP treatment on the method for a high-strength stainless steel chemically fatigue performances. comparable to the widely known and used 17-4PH.

Figure 3: Alternate bending fatigue test principle. A major conclusion is that despite a 23% loss in fatigue performances observed with respect to flexure elements built from commercial grade material, it is possible to design and produce – provided that a well-adapted sizing, in-depth SLM process mastering, and wise post-processing strategy are used – a compliant structure offering a lifetime of over 15 million cycles. This confirms the high enabling potential of AM-SLM in the domain of FLEXTEC-based precision mechanisms. This Figure 1: 316L stainless steel AM-SLM built linear stage. potential will be further assessed through a detailed study of the The optimization of the SLM process was carried out as an new flexure topologies enabled by SLM. The present work will iterative task that consisted of producing test samples through be presented at the 14th European Conference on Spacecraft a set of well-defined parameters and analyzing them with the Structures, Materials and Environmental Testing. aim of minimizing the porosity and optimizing the microstructure and surface roughness. A last optimization phase was carried out to determine the best thermal post-processing strategy, and the best variant was identified through experimental testing of the mechanical performances of several sample groups.

101

Qualification Test Program for CCM and Prototype Flight Model P. Spanoudakis, J. Bennes, M. Gumy, L. Kiener, I. Kjelberg, E. Onillon, G. Perruchoud, Y.-J. Regamey, H. Saudan, P. Schwab, V. Teodoridis The corner cube mechanism (CCM) engineering qualification model has completed its test program. The flight models have been assembled and will commence their flight acceptance test campaign before their integration in the interferometer assembly for the Meteosat Third Generation satellites. The corner cube mechanism (CCM) of the infrared sounder to ensure that it will survive the vibration loads. The EQM (IRS) for the Meteosat Third Generation (MTG) satellites survived the random profile vibration tests and shock tests in all completed an extensive qualification test campaign to show three directions. Following the environmental tests, the that the high-precision mechanism can meet stringent performance tests were repeated and a close inspection of the requirements for operation in the harsh environment of space launch locking device critical surfaces was made. No in geostationary orbit. degradation was noticed. The qualification test program was performed at both component/sub-system level and mechanism level. One of the critical components at sub-system level is the voice-coil actuator supplied by Cedrat Technologies (F). A lengthy qualification program was undertaken with the supplier to qualify the new magnets and coatings to meet specific MTG requirements for long-term storage conditions of 20 years. Typical tests included thermal vacuum cycling (100 cycles, -40°/+80°C), thermal humidity tests (95% RH, 1 bar, 45°C, 240 h), and epoxy resin adherence to validate the robustness of the various processes used. The engineering qualification model (EQM), which is identical to the flight model (FM) version, is considered as a prototype. Performance level tests with this mechanism were performed, for example: Figure 2: EQM instrumented and mounted on vibration shaker table. • trajectory generation and motion control, The last series of tests were performed on a micro-vibration test bench that is used to measure the exported forces of the CCM • lateral deviation of the corner cube from a true straight line, while in operation and to inject a simulated spacecraft • dynamic exported forces. disturbance noise profile. While the mechanism was displacing the corner cube at a speed of 1 mm/s, during the reversal stroke, The objective of the test campaign was to validate the design, the forces exported to the instrument were measured as 7.2 mN. manufacture, and assembly processes of a mechanism that is as representative as possible of the final flight version. With the injected micro-vibration disturbance profile, two speed stability parameters were measured: • the absolute value of speed error during the dwell time measured at 0.73 mm/s (spec: 0.25 mm/s) • the standard deviation of speed error during dwell time measured at 0.29 mm/s (spec: 0.06 mm/s) Even though these values are out of specification, the results were expected since they are directly proportional to the injected disturbance levels. The injected disturbance is a sum of various satellite sub-system contributions, such as cryo- coolers, thrusters, and reaction wheels, which are being reviewed at satellite level to determine budgets and margins. In parallel with the EQM qualification, the manufacture and Figure 1: EQM mounted on performance test bench with assembly of the two FMs began and they are now at CSEM interferometer in ISO5 (Class 100) cleanroom conditions. premises for the integration of sub-systems prior to the start of The critical performance parameters measured during these the FM acceptance test campaign. tests were the mobile mirror lateral shifts and the speed stability. This work is performed in partnership with Thales Alenia Space The maximum lateral deviation (parabolic shift) for a stroke of in Cannes (TAS-F) and is funded by the European Space 18 mm (±9 mm) was measured at 1.7 µm in Z and 1.2 µm in Y Agency. CSEM thanks them for their support. compared to the 2-µm specification (±5 mm stroke). Mechanical vibration tests simulate the extreme noise and vibration environment generated during the rocket launch. The delicate mechanism is in a launch locked configuration in order

102

ULTRA-LOW-POWER INTEGRATED SYSTEMS Alain-Serge Porret The Ultra-Low-Power (ULP) Integrated Systems program • Sensing interfaces: a great many different types of physical addresses the key challenges faced and the technologies quantities may need to be sensed by the broad range of required when building very-low-power, (often) wirelessly applications covered by the program. These monitored interconnected, embedded smart systems or remote sensing quantities are getting more varied and include environmental nodes. The availability of such components is central to several parameters (such as the monitoring of harmful gases) and non- global technological trends, such as the Internet of Things (IoT) invasive vital sign monitoring (for instance, heart rate at the revolution, the advent of wearable technologies for wellness wrist or with the help of advanced vision systems). These and medical applications (in line with the needs of an aging measurements require sophisticated electronic interfaces and population), or the generalization of machine-to-machine (M2M) processing, which are even harder to design within the communications required by Industry 4.0. additional constraints of the ULP context. It is generally recognized that the number of interconnected • Processing and extraction of relevant data: in many cases, devices will continue to increase exponentially (wearable items transmitting the raw information from the sensors is not in our clothes or on our wrists, for implantable health monitors, efficient or secure. Local pre-processing, event classification, at home in our appliances, to improve the security of our and the encoding of the data enable faster, much safer, and transport infrastructure, to track goods, etc.), to the point where overall energy-efficient solutions that—moreover—will not they become essentially invisible to the end user (pervasive generate unnecessary data “pollution” and will thus prevent the technology). clogging of the precious, and shared, airwaves. These trends are largely made possible by today's mature IC • Transmission of extracted information, remote management, technologies, which allow the packing of an incredible number and coordination of distributed operations: wireless of interfaces and remarkable amounts of computational power communication requires a significant amount of energy. in an ever-smaller volume, with constantly shrinking costs and Optimized solutions need to be carefully devised, balancing lower power consumption. Therefore, the development of various trade-offs for each specific application, at both the ASICs (application-specific ICs) is a significant element of the hardware and software levels. This also includes specific program's activities, although COTS (commercial, off-the-shelf) solutions for the integration and fusion of data from solutions are used when suitable devices are available. heterogeneous sensor networks, and the growing challenge of network security management. The overall technological realm of the program encompasses mixed-signal devices, embedded processing, sensor interfaces, The markets covered by the program are very diverse, as the wireless systems, and vision technologies with a mixed generic nature of Figure 1 suggests, and are growing in scope with hardware and software approach. It is clearly aligned with the the development of the Internet of Things (IoT) paradigm, which is “More than Moore” paradigm, which is in line with the general also aligned with the global trends of “wireless everywhere” and directions being taken by the Swiss economy, its inventiveness “smart everything". Applications include: (doing more with less), and its capabilities (investment • Consumer electronics (Bluetooth Smart devices, GPS-enabled requirements within the scope of SMEs’ available resources). devices, home automation and security systems, and image classification); • Industrial systems (high-performance sensor interfaces, sensor networks for harsh environments, and optical quality control); • Metrology (integrated measurement microsystems or optical encoders for various purposes); Figure 1: Basic structure and function of a remote sensor node. • Medical and wellness (implants, vital sign monitoring, and Figure 1 shows the basic structure of a generic sensing node, electronic prostheses). which (1) collects and manages electrical energy to (2) acquire The long-term objective of the program is to provide the unique physical stimuli and (3) process them locally—in both the technologies required to build commercially successful, state-of- analog and digital domains—in order to extract the relevant the-art sensing platforms and remote sensing nodes, with a focus information and (4) communicate this information to a central on very-low-power (even battery-less), low-voltage, miniaturized unit, often wirelessly. The focus of the program is to provide devices. solutions to these four key technical challenges as follows— This objective is pursued not only by the continuous improvement • Energy management: this includes the smart management of component performance, but also by system-level optimizations. of batteries, but also energy harvesting from photovoltaic All relevant hardware and software aspects—from signal cells, from thermo-electric generators, or from wireless acquisition to signal processing, embedded software, and power transmission, moving devices toward maintenance- communication—are covered (in close conjunction with other free, environmentally friendly, zero-battery operation. CSEM programs).

103

A significant emphasis is placed on ensuring that the result is processor was integrated into a 0.18 µm process and suitable for future industrialization without a major redesign or demonstrated full functionality for a supply voltage ranging from many compromises. Sometimes, ultimate performance is 0.37 V to 1.8 V, over process and temperature corners. This type therefore less central than the stability and reproducibility of the of design opens the door to adaptive dynamic voltage frequency results in a real environment. scaling (ADVFS) over multiple frequency decades and a wide range of operating conditions. CSEM has also designed a standard Many of the applications concerned cannot benefit from wired cell library using deeply depleted channel (DDC) 55 nm technology power, either because the device must be mobile or worn or and operating at 0.5 V. The article “Library Design in a DDC because it is implanted or is not located near a suitable power technology optimized for sub-T” (page 127) shows how minimum source, or simply because the wiring necessary to connect a speed degradation can be guaranteed through a technique that large number of remote nodes is impractical. Therefore, radically tightens the process corner spread. reducing power consumption to increase battery life, ultimately to the point where energy harvesting enables zero-battery These advances in the reduction of energy per operation enable solutions, is paramount to the whole program. new kinds of “zero-battery” devices that rely only on ambient energy. In “A Fully Integrated Adaptive Energy Harvesting System The ULP Integrated Systems program is subdivided into three for Ultra-low Power Applications” (page 129), a fully integrated research activities, as follows: platform is presented where a photovoltaic cell is coupled with a • The Vision activity provides complete, embedded vision sub-threshold microprocessor. It can harvest ambient light both solutions that not only capture images, but also locally indoors and outdoors, and manages power levels ranging from µW extract relevant features. It covers the complete acquisition to mW with optimal efficiency. chain from pixel sensing and electronics (components), via Wireless communication is at the center of the Internet-of-Things optics and processing hardware (system), to image but is still one of the most energy-intensive and challenging processing and recognition tools (algorithms). In this area, technologies. In “Secure Wireless Link for Ultra-low Power WSN” better IC technologies also enable local processing and (page 118), the timely topics of data protection, entity accurate pattern recognition, in an energy-efficient way, of authentication, and key management are discussed in relation to the large amount of data generated. It is foreseen that the specific constraints of embedded platforms. On a related topic, imagers sensitive to much more than just visible light will “Making Self-healing Wireless Networks Power Efficient” (page eventually become mainstream—with applications in 115) explores ways to provide high reliability in multi-hop data infrared, X-rays, or THz bands—and will be mixed with new collection applications, where the network requires adaptive multi-spectral capabilities and innovative optics. routing, while limiting the energy and traffic overhead. • The Wireless activity similarly aims at providing complete Bluetooth Smart is a pervasive communication standard, and solutions, which wirelessly transmit information through a CSEM has been, for many years, a leading provider of compatible network at a low energy cost for the remote nodes and are silicon IPs. “Implementing Bluetooth Low-Energy 5 on icyTRX” tailored to specific applications. It includes the development (page 123) describes the latest improvement of our solution. of narrow- and wideband ULP radios and antennas (components), of dedicated protocols taking advantage of RF waves can not only be used to send information; they can also the specifics of these components and applications be a powerful way of probing the environment. For instance, the (algorithms), and of complete wireless sensing nodes and study “RF Sensing of Human Physical Condition in the mm-Wave network architectures (system). The main markets targeted / THz Frequency Range” (page 112) investigates the detection of are wireless sensor networks (WSN and IoT) and wireless mental and light physical stress via measurement of the skin’s body area networks (WBAN). Emerging technologies reflectance. Inexpensive 3-D printing technology can be used to supported include robust, flexible, and software-defined build antennas for such RF-sensing applications and are explored radios, as well as RF sensing in the radar and sub-THz in “3D Printed Antennas for mmWave (100 GHz – 500 GHz) bands. applications” (page 113). • Finally, the System-on-Chip activity provides the missing Like RF-sensing, hyperspectral imaging allows the collection of elements with which to complete a fully integrated solution. radically new information from a simple camera snapshot, thus It includes ULP sensor interfaces; power management and enabling a wealth of new applications. “Hyperspectral Imaging energy-optimized digital processing (components); using a Commercial Light-field Camera” (page 111) proposes a embedded, real-time control and processing software solution to get up to 81 spectral channels (or fundamental “colors”) (algorithms); and power-efficient design methodologies and from a single exposure, using off-the-shelf components. design flow (system). Notable novel directions include vital Finally, the Vision-in-Package (VIP) is a sugar-cube-sized camera signs monitoring, brain/neural system interfaces, and sub- with a low-power processor, a high dynamic range imager, optics, /near-threshold logic circuits. and a communication interface. In “Real-time Face Detection and Our efforts in the field of near- and sub-threshold digital circuit Recognition on the VIP System” (page 106), an efficient algorithm design have been stepped up in 2016. Lowering the supply has been built on this platform, requiring significantly less power voltage of a digital circuit to close to the value of the transistor and resources than existing solutions. It is envisioned that many threshold voltage has demonstrated the ability to provide a other machine-vision applications could be similarly embedded in major energy-efficiency improvement over mainstream design this tiny, low-cost, smart camera. techniques. In “Sub-threshold Latch-based icyflex2 32-Bit Processor with Wide Supply Range Operation” (page 125), a

104

Illumination for a Laser Profilometry System Targeting Fast Moving Objects C. Gimkiewicz, F. Kaess Laser profilometry is suitable for in-situ measurements of fast moving objects as required by many applications of robotics and automation, mobile vehicles as well as in the field of transportation and autonomous circulation. Accurate measurements of items moving at very high speed are necessary for the observation and control of positioning tasks, abrasion processes, or pressure induced profile changes, for example to ensure safety and quality in a cost-effective way. The presented illumination method for a laser profilometry system allows the measurement of a profile precision in the order of 0.1 mm at speeds up to 100 km/h. Laser profilometry is a contactless technique to measure the The "line-spreading-beam-path" consists of a collimating relief of a distant surface. It makes use of a projected laser cylindrical lens and a line-generating lens, i.e. a Powell like a pattern onto the target object. The deformation of the known sphere [1], which is in principle a combination of an axicon and laser pattern allows to evaluate the objects surface profile by a short focal length lens. Such line-generating lenses are triangulation. In order to capture object features within the pixel designed to achieve a homogeneous power distribution along resolution of the camera, the time of image acquisition is short. the laser line. However, in a profilometry system with a camera, For example, the desired resolution is in the order of 0.1 mm, a flat top power distribution might not be the optimum, since meaning that an object detail of a size of 1 mm should be usually the imaging optics of the camera transfers less power imaged onto 10 pixels. For a speed of 100 km/h (27778 mm/s), from the edges of the field of view than from the center. (The the sensor shutter speed and/or the illumination time has to be effect is known as “Cosine Fourth” law and describes the falloff shorter than 3.6 µs. The object reflectivity in most cases is very of the illuminance across a camera image.) A homogenous low, since the surface of interest is not a mirror but has illuminated laser line would appear darker at the image edges scattering and absorbing properties. Experiments for a field of on the camera's sensor. The advantage of our design is that it view of around 200 mm and an object distance of around allows to counterbalance the falloff: By changing the position of 400 mm have shown that the laser power has to be in the order the collimation lens, different power distributions can be of several Watts and focused to a line width of less than 1 mm achieved and the mentioned relative darkening of the image to achieve profile accuracies in the order of 0.1 mm in real world toward its borders can be compensated. conditions (e.g. sunlight). However, increasing the laser power increases the minimum feature size of the laser pattern so that profile features are less resolved, since high-power lasers come with larger beam diameters. This is especially true when limitations on robustness, size and handling demand a connection of the laser light to the measurement set-up via an optical fiber: In this case, a small fiber core is not capable to endure high laser power on the long term. A laser beam coupled into a single mode fiber, for example, offers a maximum power of around 100 mW, a multimode laser however can offer up to 4 W when coupled into a multimode fiber with a 50 µm core size, and up to 8 W for a 100 µm multimode fiber.

Typical laser line-generating optics are based on a single lens Figure 1: Scheme of the laser line-generator optics. The upper image design to be compatible with a compact and cost effective illustrates the "line-spreading-beam-path" as a scheme in the xz-plane, product. Typical line width is <1 mm and the line-generating the lower image shows the line-focusing-beam-path" as a scheme in optics are designed for single mode lasers or single mode fibers. the yz-plane. Here, we present an optic that is designed for multimode lasers and generates one or multiple laser lines with off-the-shelf In our current design with an object distance of 400 mm, the components. We have employed cylindrical lenses. As a size of the optics is 70 mm in length, excluding the fiber consequence the beam shaping in the xz-plane (with the z connector, and 25 mm in diameter; the large diameter is coordinate as the beam direction) is nearly independent of the necessary to reduce the effect of the diffraction on the laser line optical functions in the yz-plane. Only the length of these "line- width. We have calculated a total line focus size of FWHM = spreading-beam-path" in the xz-plane (Figure 1) has to be 0.35 mm for a 50 micron fiber output and FWHM = 0.75 mm for adapted to the length of the "line-focusing-beam-path" in the a 100 micron fiber. With this optical design, a profile resolution yz-plane. of less than 0.1 mm can be achieved with a 4 W laser coupled to a 50 micron fiber in real world conditions. In order to generate multiple lines, a diffraction grating can be placed at the output surface of the laser line-generator.

[1] I. Powell, "Linear diverging lens", Patent No. US4826299 A (1989).

105

Real-time Face Detection and Recognition on the Vision-In-Package System E. Türetken, E. Franzi, P.-A. Beuchat Automated facial analytics has a broad range of applications in many industries such as biometrics and market research. Existing solutions require significant hardware resources that consume substantial amounts of power and are costly. To address these limitations, we developed a complete real-time face detection and recognition system that is low-power, compact and inexpensive. Facial analytics and recognition is a rapidly growing market regression trees and LBP algorithms, which we trained on expected to reach $ 20 billion by 2025 [1]. From surveillance and millions of examples with ground truth annotations. The access control systems in smart buildings to retail stores that resulting classifiers typically take a few hundred kilobytes of automatically collect viewership and demographics, there is an space and are fast to run even on low-end mobile processors. ever growing need for compact and reliable solutions. One of the major barriers to rapid adaptation of this technology, especially in applications with low-power, small-size and low-cost requirements, is the computationally demanding nature of the algorithms involved. As a result, existing solutions on the market rely on GHz-clocked multi-core processors that are neither power-efficient nor cost-effective. Figure 1: The VIP system (left). The facial analysis pipeline (right). The Vision-In-Package (VIP) system is designed to address these challenges. It is a compact camera system with a The combination of compact design offered by the VIP system low-power processor (ARM Cortex M4/M7 with 8 MB RAM), a and the efficiency of the face processing pipeline allows for high-dynamic range imager, optics, and a communication applications with low-power consumption, small-size & weight, interface. The system occupies only around 4 cm3 and weighs or low-cost requirements. We believe the following industries less than 20 g including a battery cell. It features a complete offer numerous opportunities for a widespread use of our facial analysis pipeline running in real time and fully embedded technology: within the VIP system. • Wearables: Fast and compact facial analytics on The software is compact and stand-alone with no external smartwatches or eyewear can give users a secure and dependencies. It is comprised of a minimal version of the uKOS more personalized experience, and possibly provide new operating system [2] and a face analysis package running on it. health screening tools for children and elderly; Unlike existing systems that run on powerful hardware • Marketing & advertisement industry: Collecting viewership architectures, our system requires several orders of magnitude and demographics data, such as age and gender that less CPU time and memory. The analysis pipeline runs at require prior facial analysis, allows advertisers to around 4-5 frames per second at QVGA resolution on the VIP objectively assess the effectiveness of their campaigns. and consists of the following steps, also depicted in Figure 1. The data can be collected from cameras installed in digital • Face detection: All the faces in an acquired frame are advertising displays in retail outlets, or billboards on streets; detected, which typically takes less than a hundred ms to • Robotic/toy industry: Facial recognition and analysis can run and requires only a few hundred KB of RAM memory; provide more personalized interactions for robotic pets • Facial landmark localization: Facial attributes, such as allowing them to recognize their owners, play with them, corners of the eyes and nose, are located within each read their moods and emotions; detected face region; • TV manufacturers: Combined with hand gesture • Normalization: Involves a rough geometric transformation recognition, facial analytics can provide a unique and that aligns the eyes horizontally and scales the face to a personalized interaction experience with TV sets; standard size, and a photometric normalization that • Automotive industry: Facial expression analysis can be re-moves non-linear intensity variations caused by used to help improve driver safety by monitoring driver shadows and non-uniform illumination; drowsiness and distraction. Furthermore, recognition of the • Face recognition: Descriptive features are extracted at driver allows driver customization such as automatically landmark locations and used for uniquely identifying people adjusting vehicle settings to his/her personal preferences; in a database of registered faces. New individuals can be • Security: Using low-power or solar-powered facial registered to this database instantly at any time with just a recognition systems opens up new possibilities in the single click and without requiring any re-training. security domain such as monitoring remote locations These steps are made possible by using efficient machine without electricity, or easing the deployment of camera learning algorithms including the Adaboost, ensemble of networks in populated public areas such as airports.

[1] Research and Markets, "Global facial recognition market analysis & trends - Industry forecast to 2025", (2016).

[2] E. Franzi, "The μKernel project", www.ukos.ch (2016).

106

A Contactless Vision-based Technology for Heart and Respiration Rates Estimation V. Moser, F. Braun, A. Lemkaddem, S. Dasen, O. Grossenbacher, A. Chebira A contactless vision solution has been developed to estimate heart and respiratory rates. This solution is based on the real-time processing of a sequence of images taken with a camera at one meter from the subject. The face and chest are recorded at 20 frames/second. A region of interest is selected on the forehead or the cheek to estimate the heart rate while the chest is used to estimate the respiratory rate. This technology will be tested in the neonatal intensive care unit and its performance compared to current solutions, based on gel electrodes, which are sensitive to body movements and thus responsible for a high rate of false alarms. An increasing number of parameters are monitored in the • Movement sequences, 2 minutes recording: to train and neonatal intensive care units. This results in additional sensors evaluate the tracking algorithm placed on the body of the babies generating discomfort and Besides the video data (investigational device), various stress. Moreover these sensors are often sensitive to body physiological parameters were synchronously recorded using movements. In view of reducing the sensitivity to such motion the BIOPAC system (reference device): ECG, respiration, artefacts and increasing the patient's comfort, contactless SpO2 and accelerometer. solutions for vital signs monitoring are needed. CSEM combined the three algorithms in an application that CSEM's technology uses two highly sensitive cameras: allows to acquire and analyze images in real-time or to load • Day vision: UI-3240CP-C-HQ with e2v Sapphire 1.3 Mpixel existing ones from the database (Figure 1). Our application sensor (color sensor) plays the sequence and displays the estimated heart and respiratory rates. It can superpose the ground truth curves • Night vision: UI-3240CP-NIR-GL with e2v Ruby 1.3 Mpixel (BIOPAC) if available. sensor (monochrome sensor with enhanced sensitivity in near-infrared) combined with a near-infrared light source Three algorithms have been developed to process the images taken with these two cameras and thus estimate the heart and respiratory rates (HR / RR). Each of them works as well in day condition as in night condition with near-infrared illumination. The algorithm for the estimation of the RR is based on the detection of chest movements. The developed approach uses a simple projection-based motion estimation, allowing for a real-time implementation [ 1 ]. The HR estimation algorithm detects the fluctuations of the skin color in a region of interest (ROI), which are due to blood volume changes. On each frame, the mean value of pixels is computed over the ROI. A real-time adaptive band-pass filter [2] is applied on the fluctuation of this mean value to estimate the dominating frequency, corresponding to the HR. The aforementioned HR algorithm is very sensitive to movements. Therefore, CSEM had to develop Figure 1: Application that estimates HR and RR in real-time or a third algorithm, which allows to compensate the movements compares the estimated HR and RR from a video sequence with the by tracking the skin region. This algorithm uses support vector corresponding ground truth. The tracked ROI for HR estimation is machine to track the selected ROI. displayed in red. The mismatches that can be seen at the beginning of the sequence are due to the initialization time. CSEM acquired a database with ground truth synchronization to evaluate the developed algorithms. A total of 16 subjects The performance of the algorithms was evaluated on the (11 male / 5 female) underwent the protocol described 16 adult subjects tested: The HR could be estimated with an hereafter. The protocol consists of the following three average absolute error of 3.14/4.21 beat per minute on the sequences which were performed twice, once with artificial light visible/dark sequences, the RR could be estimated with an (using the color camera) and once in darkness (using a error of +/- 2.4 breathes per minute. These promising results - if near-infrared light source and the monochrome camera): confirmed in larger clinical datasets - could open the way for a new generation of contactless vital signs monitoring. • Respiration sequence, 4 minutes recording: to train and evaluate RR algorithm This work has been done in collaboration with STI-JMV and LTS2 laboratories from EPFL. • Handgrip sequences leading to changes in heart rate, 4 minutes recording: to train and evaluate HR algorithm

[1] F. Braun, A. Lemkaddem, V. Moser, S. Dasen, [2] S. Fallet, et al., ''Real-time approaches for heart rate monitoring O. Grossenbacher, ''Camera-based respiration monitoring'', BMT using imaging photoplethysmography'', CinC Computing in (2016). Cardiology (2016).

107

Compact and Flexible Tracking System for Total Knee Replacement Surgery P. Volet, D. Hasler, P. Nussbaum, F. Kaess, E. Grenet, E. Franzi, A. Chebira, S. Cloix, B. Perrin, C. Hennemann, Y. Brunet, P.-A. Beuchat, Y. Liechti, R. Jose James, M. Fretz, N. Schmid, T. Stadelmann, L. Beynon In the context of total knee replacement surgery, a wireless and battery powered tracking system using shadow imaging technology has been developed for measuring the 6D position of a surgical tool relatively to the patient's bones. The overall goal of the project is to develop a smart, patient image sensor, from which one can compute the direction of the customizable instrumentation to assist surgeons during a total light source and then reconstruct the 6D position of the target. knee replacement. To this end, CSEM developed a The use of an optical mask instead of traditional optics results miniatureized tracking system based on shadow imaging in a very compact system in particular given the wide-angle technology [ 1 , 2 ] that can be directly integrated with constraint. Moreover, shadow imaging offers better precision patient-specific templates and surgical instruments. and better depth of focus. Before the surgery, the patient undergoes a scanning procedure (CT-scan), from which a 3D model of the patient’s anatomy and landmarks are computed to form a preliminary plan for the implantation. During surgery, the patient's anatomy is registered using a specific template (negative form) that fits the bone, to match the 3D models and initial plan with the surgical situation. With the tracking system integrated into the surgical templates, the application can directly compute and render the exact position of a surgical tool relatively to the patient's bone. The system also allows to intra-operatively plan and adapt the implant position.

Figure 2: Sensor (left) and tracker (right) prototypes mounted on optical posts (front covers removed). In the context of such a knee surgery application, the target device must be able to move up to a distance of a dozen of centimeters in front of the tracker device and to rotate with an angle of more than ±45 degrees in all direction. The typical positioning accuracy is much less than ±1.0 mm and the typical angular accuracy is less than ±1.0 degrees. The operating temperature ranges from 10 to 30°C. The target and tracker devices are both designed to be single use, battery powered and fully wireless. The size of the tracker prototype is 26 × 44 × 24 mm including the space required by a CR123 battery. The overall size of the target prototype is 26 × 32 × 14 mm. The tracking system can operate at more Figure 1: Simulation of the target and tracker devices (yellow) than ten 6D measurements per second with an autonomy of mounted with CAD-designed patient-specific tools (Medivation AG). several hours. The addressing and synchronization of the LEDs The tracking system is made of two separate devices. The is done by the tracker device using a dedicated IR link. The tracker device includes an image sensor, a microcontroller control and data communication between the tracking system running a micro kernel operating system and a Bluetooth and the surgical navigation system relies on Bluetooth low-energy communication system-on-chip. The target device Low-Energy services. includes an IR receiver and five LEDs that are fired sequentially This project has been done in collaboration with Medivation AG under the control of a small microcontroller. in Brugg and has been funded by the Swiss Commission for Shadow imaging is a technology that uses an image sensor Technology and Innovation (CTI project 17244.2 PFLS_LS). with an optical mask placed on top of it. By synchronizing the CSEM thanks them for their support. LEDs and the image sensor, each LED casts a shadow on the

[1] E. Grenet, et al., "spaceCoder: a nanometric 3D position sensing [2] E. Grenet, et al., "Embedded sun tracker with extreme device", CSEM Scientific and Technical Report (2011) 89. precision", CSEM Scientific and Technical Report (2013) 100.

108

An Integrated Circuit for Future X-ray Imaging Detectors based on a Ge Pillars Absorption Layer R. Quaglia, P.-F. Rüedi, Y. Zha, A. Bischof, M. Despont, P. Niedermann We have developed an integrated circuit for single X-ray photon counting. The circuit will be coupled with a Ge layer which acts as an X-ray absorber material for a new generation of X-ray imaging detectors for applications in various fields from medical imaging to physics experiments. The proposed solution has potential advantages in terms of cost, reliability and modularity compared to the state of the art. This work has been developed in the framework of the Recently, CSEM has developed a photon counting chip for a SNFS-NOVIPIX project. The goal of the project is the similar project [2]. Starting from that result, a new design has realization of a novel topology of X-ray imaging detector based been carried out to reduce the pixel size (reducing the leakage on a germanium absorption layer intended to be readout by a current per pixel) while concurrently enhancing the single photon counting circuit. In the NOVIPIX concept, a functionalities by adding a second threshold discrimination hetero-junction diode (sensing element of the pixel) is formed capability (dual energy discrimination). The new circuit is between the wafer substrate (n-type) and a germanium layer implemented on a 16 × 16 pixels array (each pixel is (p-type) deposited on the backside of a thinned CMOS wafer. 100 × 100 µm) and has been designed in a 0.15 µm CMOS The germanium layer is deposited as structured pillars [1], taking technology. Figure 2 shows the structure of a single pixel. also advantage of the CSEM MEMS capabilities. The diode config C thp_1 F i_th 1 formed in this way allows for the design of a monolithic detector thn_1 gm OUT 1 + 12 column with advantages in terms of cost, reliability and modularity i_signal + AMP Shaper COUNTER bus - compared to single photon detectors (e.g. HPD, Hybrid-Pixel - DAC TH 1 R CAL deep E FSM Detectors) where a silicon detector has to be bump-bonded to n-well DAC G FEED TH 2 OUT 2 v_ref + COUNTER 12 the CMOS readout IC. Applying a sufficiently high negative /REG thp_2 - gm voltage on this diode, a depletion region is formed between the analog thn_2 i_th 2 digital Ge pillars and the wafer substrate. Figure 1 shows a lateral thp_2 thn_2 thp_1 thn_1 view of the pixel under development within this project. When Figure 2. Building blocks of the single pixel. an X-ray photon is absorbed, electron-hole pairs are created and, while holes are collected at the common cathode, The pixel architecture can be logically split in two parts: An electrons are collected by a pixel anode. Since the total charge analog front-end (green dashed box in Figure 2) and a digital collected at the anode is proportional to the energy released by back end (blue in Figure 2). The analog front-end is composed the incident photon, a proper circuit can be placed inside each of a charge sensitive amplifier with a feedback circuit to pixel in order to count (photon counting) the number of compensate for the detector leakage current. This feedback incoming photons exceeding one or more given thresholds. block has been designed to guarantee stability with a leakage current up to 1 µA per pixel. After a shaping stage (bandpass CR-RC), the signal is converted into the digital domain by two continuous-time comparators designed to achieve good performances with a small area and low-power consumption. The thresholds are set by global references common to all pixels. Two 6-bits DACs (one per comparator) and a finite state machine are added to calibrate the thresholds mismatch. The outputs of the discriminators are then counted (each counter is incremented at every discriminator commutation) by two 12-bit ripple counters that store the number of photons exceeding Figure 1: Lateral view of the NOVIPIX pixel. each respective threshold during an acquisition. The output of the pixel is purely digital. An alternative configuration uses the As germanium has a higher absorption coefficient than silicon, second counter as a register and allows a simultaneous a detector made of germanium has the great advantage of a acquisition and reading (single threshold detection). The chip wider energy range of applications (e.g. 20-80 keV) whereas peripheral circuits include some bias generators and digital traditional silicon detectors have very low efficiency. The higher logic, providing row synchronization and serialization of the efficiency of such a detector is also advantageous for low column outputs. The pixel power consumption is 18 µW. energy X-ray medical imaging (e.g. mammography) because a smaller total ionizing dose is required. However, the The chip is currently under test and will be submitted soon for unconventional diode formation and smaller bandgap material the final integration with the germanium layer. (0.67 eV of Ge vs 1.12 eV of Si) result in a higher leakage The project partners are EMPA and ETH Zurich. This work was current from the detector (expected in the mA/cm2 range) that partly funded by the Swiss National Science Foundation has to be compensated by the analog front end. (SNSF). CSEM thanks them for their support.

[1] C. V. Falub, et al., "Scaling hetero-epitaxy from layers to three [2] Y. Zha, et al., "Pulse counting energy-sensitive X-ray detector dimensional crystals", Science 335 (2012) 1330. IC", CSEM Scientific and Technical Report (2013) 102.

109

Vision-based Monitoring of Manual Assembly Processes I. Kastanis, M. Höchemer, S. Widmer, P. Schmid A system was implemented for monitoring manual assembly processes using specially developed visual markers in conjunction with machine learning methods that recognize the actions of the assembly worker. The system learns by instruction and provides online information to the user about the assembly tasks within the process. In line with Industry 4.0 concepts, this monitoring system is highly configurable offering a great degree of flexibility that makes it is an excellent tool for customized small-batch production runs. Manual assembly processes are still very common in many developed solution offers for this case an additional level of industrial shop floors. The repetitive nature of these tasks quality assurance by having test and measurement devices makes them error prone, effectively reducing the overall quality connected to the system. In the learning phase, the system of the manufactured parts. Current methods for quality control learns that a defective product goes in the reject box, and a typically combine a human supervisor and an automated test non-defective goes in the "good" parts box. station. The proposed system offers an automated solution for Another important aspect for error reduction is the ability of the quality assurance, which is especially important for medical system to display live information to the worker during devices as well as other sensitive areas where manufacturing assembly. Since the system is aware at all times which steps quality must be 100 %. of the process have been completed and which should follow, The goal of this project was to develop a machine vision and it can inform the worker about the next steps that need to be learning system that monitors the manual production of parts executed. Using past information, the system can dynamically and offers assistance to the worker in order to recognize their assess which variation of the process the worker is currently gestures and avoid errors. This reduces the costs and the performing, if a valid one exists. In the case of an error, the resources and guarantees consistency in production. The system notifies the user and can allow error correction and developed solution is based on a PC system with a connected continuation of the process. camera that overlooks the work area.

Figure 2: 6D-pose visual markers used for tracking (developed by CSEM). The tracking of the visual markers was a challenging task due to the many markers that need to be detected and tracked simultaneously in high-resolution images. The required tracking speed for a trained worker makes standard marker technology ineffective. Further, complete pose is desired for analyzing the assembly motions. Appropriate markers were developed in conjunction with detection and tracking algorithms that are capable of complete 6D-pose detection with 60 fps in high-resolution images in a robust manner where short occlusions do not cause problems. Figure 1: System-camera view. A picking action is recognized. A complete solution for monitoring manual assembly processes Tools, component boxes and both hands of the worker can be was developed offering visual assistance to the worker. A short continuously detected and tracked by using visual markers video can be found on the CSEM YouTube channel [1]. By using developed specifically for this project. The system learns with machine learning, the processes can be learned by instruction the use of machine vision how the correct execution of the in an efficient manner without requiring expert personnel assembly is performed. This is achieved in the learning phase reducing therefore the ramp up time and the initial setup costs. where the process steps from a human worker are observed, The developed markers offer robust detection and tracking in analyzed and recorded as "correct" steps. For the robustness high-frame rates, capable of capturing human-hand motion. of the training, the system must be capable of tolerating The dynamic and configurable nature of the system has the variations from different individuals, it is often the case that the potential to reduce the amount of errors in manual assembly positions of component boxes is not fixed. In most cases, a test lines and offer an automated tool for quality assurance. device is used at the end of the assembly to verify different aspects of the finished product, for e.g. weighting, electrical The work has been supported by the Swiss Commission for tests, visual measurements. During these monotonous Technology and Innovation CTI (project Nr. 16025.1 PFIW-IW) assembly tasks, it is frequent that after hundreds of correctly as a part of the Approbate project in collaboration with assembled products, the test device detects a defect but the Credimex AG [2] and Maxon Motor AG [3]. worker places it out of habit in the box of "good" parts. The

[1] youtu.be/zBao3QunnGY [3] Maxon Motor AG, Switzerland [2] Credimex AG, Switzerland

110

Hyperspectral Imaging using a Commercial Light-field Camera R. P. Stanley, A. Chebira, A. Ghasemi, L. A. Dunbar, E. Franzi Snapshot hyperspectral imaging allows the collection of both spectral and spatial information in a single exposure. A common solution is to create a huge array of filters on the detector. We apply the approach of Levoy & Horstmeyer to build a hyperspectral camera based on a Lytro™ commercial light field camera. We show reconstructed hyperspectral images with 9 spectral channels and show how this can be increased to achieve 81 spectral channels in a single snapshot. Hyperspectral imaging allows the collection of spectral Adapting the Lytro camera was not trivial and required a careful information from across the EM spectrum while still retaining disassembly. The data was extracted using an open source the spatial information. Typically, a hyperspectral camera will Matlab toolbox [5] that was adapted for our needs. create a 3D cube of images, wherein the spectral information The system was tested using a colour checker card and a white for each location or pixel on the image is depicted. This modality light source. The results of the data before and after analysis is naturally fitted for objects/materials identification or detection can be seen in Figures 1 & 2. Figure 1, is the raw data as read processes, and has encountered a large success in the from the Lytro camera, processed with a demosaic filter. After agriculture and food industries to name a few. using the Matlab toolbox the multispectral mosaic can be In snapshot spectral imaging, the 3D cube of images is taken displayed (see Figure 2). in one shot, with the advantage that dynamic scenes can be analyzed. The simplest way to make a hyperspectral camera is to put an array of wavelength filters on the detector and then integrate this detector with standard camera objectives [1]. The technical challenge is to make arrays of N wavelength filters and repeat this sequence up to 100’000 times across the detector array, where each individual filter is matched to the pixel size and can be as small as a few microns. In this work, we generate the same effect with just one N wavelength filter array, which is then multiplied and imaged optically onto the detector to achieve the same effective filter Figure 1: An image of a colour checker car, normally used for color [2,3] array. This was first outlined by Levoy and Horstmeyer using calibration, in the hyperspectral imaging system. This shows the raw microlens arrays in a light-field camera (Plenoptics 1.0). Instead image extracted from the camera. of building our own light-field camera, we used an existing commercial camera, Lytro™ [4]. There were three major challenges in this work. The first was to design an optical system that would be compatible with the Lytro camera. Second, to override the camera hardware and firmware to get access to the raw data, and third, to reorganise the information into different spectral channels. The design we developed is based on a telecentric system. This is a system without parallax so that the size of an object does not depend on its distance (as long as it falls in the depth of field of the camera). Telecentric systems are well suited to Figure 2: A multicolour image reconstructed from the raw data of the type of light-field system we want to build. Figure 1. The non-uniformity in the individual images is due to experimental artifact. Our design was implemented in the lab, using a linear variable wavelength filter as the core wavelength selecting element. The In conclusion we designed, built and tested a snapshot wavelength transmitted by the filter depends on the position. hyperspectral imaging system using a commercial light-field The uniqueness of the light-filed camera system is that it camera. The camera is polyvalent and can be adapted to many converts this spatial variation into angle information, which is needs. The next step is to replace the Lytro camera with a then extracted at the detector using a microlens array. CSEM module which can allow real-time spectral image extraction.

[1] http://www2.imec.be/content/user/File/Brochures/cmos imagers [3] R. Horstmeyer, et al., "Flexible multimodal camera using a light brochure-april26.pdf field architecture", ICCP IEEE Int. Conf. on Comp. Phot. [2] M. Levoy, et al., "Light field microscopy", ACM TOG (2009) 1. Transactions on Graphics 25 (2006) 924. [4] Lytro, Inc., USA [5] https://ch.mathworks.com/matlabcentral/fileexchange/49683- light-field-toolbox-v0-4

111

RF Sensing of Human Physical Condition in the mm-Wave / THz Frequency Range O. Vorobyov, E. Daskalaki, C. Hennemann, J.-D. Decotignie The objective of this study is to investigate the possibility of detecting mental and light physical stress via measurement of the reflectance of the skin in the mm-wave/sub-THz band. The combination of the spatial and temporal response of the reflectance offers the potential to enable a generic method for non-contact sensing of the physical and emotional state of human beings. Various sources in literature have reported the possibility to Figure 3 depicts the averaged results of measurements detect stress based on changes in the galvanic skin response performed between 440 GHz and 480 GHz in frequency band II (GSR) [1]. It has also been shown that the GSR is correlated for at least five persons. It can be seen that the shape of the with the reflection coefficient of the skin and that it is possible measured amplitude (i.e. the shape of the spectrum) over this to assess it via changes in the skin’s reflectance at frequencies frequency range is effectively the same in the case of stress as in the mm-wave / sub-THz band (e.g. 75-170 GHz). it is in the case of rest. However, there is a clear difference between the results at rest and under stress. The measured Currently, these frequency bands can be reached with CMOS amplitude response is stronger in the case of stress than at rest technology, which is capable of operation up to about 500 GHz. (i.e. about 7 dB across the frequency range for measurements This, coupled with the fact that mm-wave / sub-THz radiation is performed on a hand (top) and 3.5 dB in the case of non-ionizing, opens the door for realization of miniature, low- measurements performed on a finger (bottom). Similar results power, safe and low-cost solutions for potential applications in were obtained in the case of frequency band 1, but the the domains of health (e.g. contactless scanner for stress differential between case of stress and rest was found to be sensing) and security (e.g. remote lie detector). much smaller (i.e. 1 dB). In the present study, the detection of physical and mental stress is investigated through measurements of the skin reflectance in 0 two frequency bands: 75-110 GHz (Band-I) and 325-500 GHz Hand (Band-II). The skin reflectance was measured during rest and -4 after mental and physical stress. Physical stress was induced 7dB by grabbing a dynamometer with 15 N of force for 5 minutes. Mental stress was achieved with the use of the Stroop Test -8

(online test(s) to test capacity to direct attention) for 15 minutes. Rest -12 The measurement setup his shown in Figure 1 below. Norm. Amplitude [dB] Stress (mental)

-16 440 445 450 455 460 465 470 475 480 Frequency [GHz]

0 Finger -3 3.5dB

-6

Figure 1: Measurement setup. -9 Rest

Three measurement conditions were considered: (1) Rest; Norm. Amplitude [dB] -12 Stress (2) Mental stress: After stroop test for 15 minutes and (3) Physical stress: After dynamometer under 15 N of force for -15 440 445 450 455 460 465 470 475 480 5 minutes. Frequency [GHz] Stress measurements were always preceded by a rest period Figure 3: Mental stress based on measurement of the skin reflectance of at least 15 minutes. Three locations on the hand were on a hand (top figure) and on a finger (bottom finger). The considered for the purposes of the measurements (Figure 2): measurements were performed in frequency band II (440-480 GHz). arm, hand and finger. The results, in particular in frequency band II, demonstrate the potential for remote, non-contact sensing of stress [1]. This research has been supported by funding from an internal CSEM Grant and EU H2020 Framework program (M3TERA, grant no. 644039). Figure 2: Measurement locations.

[1] M. V. Villarejo, et al., "A stress sensor based on galvanic skin response (GSR) controlled by ZigBee", Sensors 5 (2012) 6075.

112

3D Printed Antennas for mmW / Sub-THz (100GHz−500GHz) Applications O. Vorobyov, A. Bischof, J. R. Farserotu Inexpensive 3D printing technology can be used to build antennas for RF sensing solutions for food safety, health, security, industrial and many more applications. By using a 3D printer, we can rapidly prototype inexpensive plastic based antennas; providing the required radiation system performance. Until recently, it remained difficult to realize low cost and different applications (gain, directivity, frequency of operation) miniature solutions in the mmW / sub-THz band; the lower end can be rapidly prototyped. In the future, such antennas are of this frequency band was difficult to reach with CMOS envisioned for use as part of a versatile RF sensing platform, technology, while relatively large and expensive sources and where the antennas may be readily removed and changed for detectors were required at the higher frequency end of the band. another suited the application in a manner analogous to the Today, though, given recent advances in integrated circuit changing of the "head" on a probe, and where the sensing technology (IC), the mmW / sub-THz band is at the forefront of technique may be also be adapted and optimized as required sensing and imaging. At CSEM, the M3TERA [ 1 ] and for the application (as well as to control the antenna beam) via TeraExplore [2] projects address the subject of THz sensing. real-time algorithms running on a software defined radio (SDR). They share a common objective: to develop heterogeneous integration platforms that enable manufacturing of compact, reliable and advanced-performance mmW and THz systems at reasonably low cost. Possible applications of the M3TERA and TeraExplore platforms include:

• Food safety – remote sensing of impurities in dry food: Figure 2: Prototyped 3D plastic antennas (left) and corresponding metal, plastic, glass HFSS computational models with theoretical radiation patterns (right). • Health / security – stress sensing via skin reflectance, The TeraExplore active multi-color imaging THz detector remote sensing of respiration and heart rate operates in the 0.3 THz−0.5 THz frequency range and is used • Industrial – radar for level measurements for inspection of composite materials and solar cells. The THz detector to be developed is based on the “direct detection • 3D imaging method”, i.e. it consists of a UWB antenna and a CMOS based The focus of the discussion that follows is on the design and RF receiver. An UWB antenna (0.3 THz−0.5 THz) is being implementation of low-cost antennas implemented using 3D prototyped at CSEM with resolution of about 80 µm (Figure 3). printing technology for the M3TERA and TeraExplore platforms. The antenna will be co-integrated with the IC to meet system requirements.

Figure 1: General drawing of the M3TERA miniature platform module. A conceptual illustration of the miniature M3TERA platform is Figure 3: Helix antenna (from left to right): antenna model, realized 3D solution with helix inside and helix wire outside (TeraExplore project). provided by Figure 1 (telecom and sensing applications). The M3TERA sensing prototype is focused on operation in the In summary, low-cost 3D printing technology is able to fulfil the 122-123 GHz ISM band. The sensor relies on the use of either needs of the RF sensing applications in the mmw / sub-THz FMCW radar or RF signal reflectance techniques. In order to frequency band. Through the use of 3D printing technology, we help meet the M3TERA target of miniature, low-cost solutions, can rapidly prototype different, miniature, plastic antenna heads and improve the manufacturability of the antennas, while (e.g. lens antenna for an industrial application). The prototyped maintaining acceptable performance, printed plastic (dielectric) antenna "heads" can be readily attached to the test platform antennas have been designed and developed (Figure 2). The boards, providing the required radiation pattern needed in size of the antenna is nominally around 8 λ and the gain is support of tailoring and optimization of the performance of the about 20 dBi. The antenna is well matched to the target RF sensor to the target application. 122-123 GHz band, as well as to the 145 GHz M3TERA telecom band. New antennas, designed to meet the needs of

[1] M3TERA (EU project under GA No 644039) www.m3tera.eu [2] E. Le Roux, at al., “TeraXplore–Single Detector for Multi color Terahertz (THz) Imaging”, in this report, page 20.

113

WiseSkin for the Restoration of Natural Sense of Touch E. Daskalaki, J. R. Farserotu, J.-D. Decotignie, D. C. Rojas Quiros, V. Kopta Today, there is no solution for the restoration of a natural sense of touch to persons using prosthetic limbs. WiseSkin combines ultra-low power (ULP) wireless sensor networks, smart materials and sensory feedback to develop sensing skin that can be attached to artificial limbs. The solution has a high potential impact in the field of hand and arm prostheses, as well as rehabilitation of nerve injuries, stroke recovery and in the field of cognitive neuroscience. WiseSkin technology also has industrial applications in the domains of robotics (tactile robots), health and safety (e.g. Smart Gloves). As the population of the world continues to grow and age, the number of people suffering amputations is expected to increase, placing a strong demand for solutions to improve their quality of life. The target of the WiseSkin project is to provide a non-invasive, ULP and scalable solution for the restoration of natural sense of touch to persons who have lost a limb and are using prosthetics [1]. The first functional WiseSkin prototype (prototype 1) has been developed and tested. The core elements of the system are:

• A miniature sensor-communication module (SCM) Figure 2: WiseSkin with sensor nodes integrated into the substrate. integrating an ST-Microelectronics pressure sensor, an icyTRx radio [2] and a Planar Inverted-F Antenna matched at 2.45 GHz. Wireless communication is based on the Bluetooth Low Energy (BTLE) protocol. The SCM size is 12×17×1.73 mm3. • A flexible and stretchable silicone scaffold substrate in which the SCMs are integrated to form the sensing artificial Figure 3: WiseSkin prototype 1 test configuration. skin. The substrate is encapsulated on both sides with a The "skin" is nominally 2-3 mm thick, including the sensor thin and flexible metallized foil in order to provide power and nodes, but it is thinned down to 0.3 mm in regions without shielding to the SCMs and additionally to function as a sensor nodes in order to improve the mechanical flexibility waveguide for data propagation (Figure 1). (i.e. where the fingers bend).The metallic layers are overlayed • A non-invasive sensory feedback based on the stimulation on the scaffold and connected to a 3V DC power supply (in the of the patient’s phantom map achieved through a future, the power supply of the myoelectric prosthesis would be vibro-/electro-tactile actuation display attached to the utilized). patient’s residual limb The sensor data propagates between the metallization layers to a relay antenna on the palm and then to an iPAD. The iPAD functions as the master node to which the SCMs are connected via BTLE in a star topology. The sensor data is then transmitted via WiFi from the iPAD to a PC, which drives the tactile feedback display attached to the patient’s residual arm. In the future, it is envisioned to replace the antenna relay node by a bridge-gateway that is integrated into the skin, and the skin depicted in Figure 2 would be replaced by a new soft skin designed by EPFL with integrated metalization layer. WiseSkin is a Swiss nano-tera funded project carried out by Figure 1: 3D rendering of WiseSkin prototype 1. CSEM, EPFL and BFH. CSEM would like to thank all of the For the purposes of the WiseSkin prototype 1, five SCMs (one involved parties for their support. The first tests with real per fingertip) have been integrated in the silicone substrate, patients were performed in April-May 2016. Testing is ongoing which covers the palm of the MyoHand prosthesis (Figure 2). and the building blocks for prototype 2 are in development. The test configuration and communication flow are illustrated by Figure 3.

[1] J. R. Farserotu, C. Anfolk, J.-D. Decotignie, D. C. Rojas Quiros, [2] V. Peiris, M. Kucera, N. Scolari, A. Vouilloz, E. Le Roux, “An V. Kopta, “Wiseskin for tactile prosthetics”, CSEM Scientific and ultra-low power bluetooth smart integrated solution”, CSEM Technical Report (2014) 135. Scientific and Technical Report (2012) 97.

114

REP—Making Self-healing Wireless Networks Efficient D. C. Rojas Quiros, D. Piguet, J.-D. Decotignie Wireless Sensor Networks are a keystone of the Internet-of-Things. In order to recover from environmental disturbances and provide high reliability in multi-hop data collection applications, networks require adaptive routing (self-healing). However, the implementation of adaptive routing imposes a cost in terms of energy and traffic overhead and can result in packet losses while the network recovers. CSEM’s answer to this challenge is REP, a method to significantly improve the speed and reduce the overhead required to implement self-healing. REP can also improve the performance of mobile networks, indoor localization and Radio Tomographic Imaging, among other fields of application. Wireless sensor networks (WSNs) promise an Internet of routing layer necessitates the transmission of multiple Things with thousands of reliably connected low cost devices repetitions of the same packet in the LPL-MAC (Figure 1 - Top). that can operate autonomously for years. However, real life With REP, it is possible to send a single broadcast packet, but deployments have shown that WSNs are subject to multiple to overhear several repetitions, enabling us to extract the perturbations (such as road traffic, weather, hardware required LE information (Figure 1 - Bottom). malfunctions, etc.) that, if not handled correctly, can adversely Results impact their reliability and battery-life. An evaluation of REP was performed in a real WSN deployment. The state-of-the-art solution today is a protocol stack that The evaluation system consisted of 10 TelosB nodes with combines a low-power listening medium access control ContikiMAC and ContikiOS (v. 2.7) arranged in a star topology, (LPL-MAC) with a routing protocol. The LPL-MAC duty cycles where a single node (N2, center of the star) broadcasted the radio to prolong the battery-life, while the routing protocol beacons and 9 other nodes independently estimated the quality autonomously repairs (self-heals) the topology. For example, of the link (N1 in Figure 1) [2]. The results show that the use of Contiki OS (a dominant operating system for WSNs) has two REP consistently reduces the overhead to perform a LE by two default stacks that follow the previous scheme: RPL (a routing orders of magnitude (from 80 beacons to 1 beacon), while protocol proposed by the IETF) + ContikiMAC and keeping an equivalent accuracy. Contiki-Collect (another routing protocol) + ContikiMAC. Each self-healing procedure requires sending packet probes in order to estimate the quality of the neighboring links (Link Estimation, LE) and to evaluate alternative routes. This process presents a significant energy and traffic overhead. Moreover, a lengthy recovery impacts the reliability of the network, since the nodes might not have valid routes to convey the data until the healing is completed. These factors limit the application and effectiveness of network self-healing techniques by forcing Figure 1: Typical LE schemes listen to 1 repetition per beacon, thus protocol designers to reserve it only for disruptions deemed as requiring multiple beacons in order to obtain several samples (top). critical. REP overhears multiple repetitions enabling it to perform LE with a single beacon (bottom). At CSEM, we created REP, a novel mechanism that leverages LPL-MACs in order to significantly improve the utility of Application domains self-healing networks. REP does so by reducing the energy Due to its ability to extend the battery-life, enable a more consumption and the traffic overhead associated with efficient use of the available bandwidth (less overhead) and self-healing, making self-healing a more attractive solution for reduce packet losses in the presence of channel perturbations, reducing packet losses in networks. REP is convenient for large-scale deployments in general Operating principle environments with hundreds of nodes, which may be difficult or expensive to access. Potential applications include REP performs LE by exploiting a common resource in environmental, industrial and infrastructure monitoring, as well LPL-MACs: the packet repetitions used to wake up neighboring as, home automation and body area networks. nodes during a transmission [ 1 ]. LPL-MACs achieve high-energy efficiency in the presence of low-traffic volume by The fast LE enabled by REP can also be exploited to improve keeping the radios in sleep mode, but require a transmitting the reliability of mobile networks by quickly adapting the node to send the same packet several times (i.e. the repetitions) topology to the displacement of the nodes. Moreover, REP in order to wake up the neighbors. increases the rate of information acquisition, which can improve the accuracy of radio tomographic imaging and indoor LE is a ubiquitous primitive in WSNs and typically requires localization, among other applications. broadcasting multiple beacons. Each beacon sent by the

[1] D. C. Rojas Quiros, D. Piguet, J.-D. Decotignie, “Poster: Single [2] D. C. Rojas Quiros, J.-D. Decotignie, “Poster abstract: Enabling packet link estimation”, EWSN Int. Conference on Embedded a new resource for WSN radio tomographic imaging: LQI in Wireless Systems and Networks (2016). transitional links”, ACM SenSys Conference on Embedded Networked Sensor Systems (2016).

115

Publish-Subscribe Communication for Swarms of Unmanned Vehicles P. Dallemagne, D. Piguet, J.-D. Decotignie CSEM has combined Publish-Subscribe communication, filtering techniques and time constraints in an ultra-low power wireless embedded platform to reduce data traffic and power consumption. This platform will be used in the context of swarms of unmanned flying vehicles. The Publish-Subscribe communication model (P/S) is a In order to reduce the traffic, the P/S services support filters on powerful and power-efficient concept that allows for both content and context, as proposed in CCBR [2]. Filters on data-centric exchanges in an uncoordinated network of nodes. content data enable the publication of data only when the It is particularly well suited for the data communication across values are within a range of interest. Context filters are applied swarms of mobile unmanned vehicles (UxV). In the RAWFIE on some system properties for a fine selection of which UxVs EC project [1], CSEM and other partners are using this concept can respond to a subscription. For instance, a content and for several purposes and in different environments (e.g. Apache context based subscription can request UxVs of type “aerial” to Kafka over Internet protocols). CSEM is developing a publish their battery level if it is below 20%. The way that "Proximity" component that provides P/S mechanisms in content and context are filtered and the parties involved in that low-power, low-resource controllers present on UxVs process are keys to the optimization of the P/S protocol. participating to large-scale experiments. The portion of the transmitted data that is not received by any The Proximity component aims at discovering the identity of legitimate subscriber, the protocol headers and the control neighboring UxV nodes in real-time and to possibly interact with messages, constitute the overhead. The Proximity component them, without depending on any other external middleware, carries the filters in specific subscription messages, performs infrastructure or equipment. Each UxV uses the P/S services to filtering primarily at the source on the out-going traffic to reduce exchange data that feeds its flight coordination controller, such bandwidth usage and energy consumption, and supports as the identification of other UxV, speed, estimates of relative incoming traffic filtering in order to handle publications made distances, perceived neighborhood (notification of UxV from merged filters at the source appearance and disappearance), status of the internal The content and context filters proposed by CCBR are versatile components of the UxV and, finally, sensor readings. It bears enough for many applications because they allow subscriptions similarities to the transponders used on commercial airplanes. to specify the kind of data and source nodes as well as provide The Proximity component is based on a dedicated, low-power, some in-network calculation that help reducing the amount of radio communication platform running the "Head" element of data carried. To further reduce the traffic, CSEM added the the Proximity component (Figure 1), which implements the P/S mechanisms for taking into account timing attributes, such as protocols and services as well as the interface with the other subscription validity (aka. lease time) and information life-time. vehicle components. The "Delegate" element implements the Subscriptions include, for example, data validity and delivery interface running on the vehicle controller. timing information, so that data that has expired before reaching the destination can be discarded. Combined with the ultra-low power communication protocol WiseMAC, the solution enables operation for days even after a UxV's main battery is depleted. It offers convenient bricks for increasing the safety of the RAWFIE elements and their environment by providing increased autonomy and a better Figure 1: the RAWFIE UxV Node architecture including the Proximity means for reaction to single and multiple UxVs (e.g. collision component. avoidance). Typically, the UxVs communicate with the RAWFIE system through a primary communication interface, which the The Proximity component abstracts the mechanisms needed to Proximity component can replace in case of failure, for store the acquired data waiting for subsequent transmission, transferring data and notifying the RAWFIE managers about either as a whole, or in parts. The Delegate allows the UxV to the situation and the location of the UxV (useful e.g. for finding publish some of its attributes, which may be of interest for the a UxV when it is lost). Further, the Proximity component can be other UxVs, such as identifier, status, etc. The Head transmits used to relay topics published by UxVs, which are disconnected the corresponding data using the dedicated radio interface. from the primary network, as well as, to gather data stored by Typically, the Delegate allows the UxV software for subscribing sensors deployed on the ground, or in the water. to Proximity component topics published by other UxVs. The Head forwards data received from the dedicated radio interface to the Delegate.

[1] The RAWFIE project is partly funded by the European [2] G. Cugola, M. Migliavacca, “A context and content-based routing Commission (FIRE+ challenge, Horizon 2020) under Contract protocol for mobile sensor networks”, Proc. EWSN European Number 645220. Conference Wireless Sensor Networks (2009) 69.

116

Autonomous Sensor Network for Smart Street Lighting C. Hennemann, A. Restrepo Zea, P. Dallemagne CSEM has developed the Illumaction platform, a smart, autonomous and wirelessly networked platform for smart lighting applications. This platform forms the core of the demonstrator of an autonomous cooperative public-private lighting system, particularly well-suited to deal with complex urban environments. Automatic light management in smart cities, or "smart lighting", The sensor data can optionally be reported to the central is one of the most popular applications with respect to urban management process through an internet gateway (pink box automation. Wireless communication, robustness, reactivity, shown on Figure 1), extending the system, e.g. to the entire city. and autonomy are key features of these applications, in particular for the sensors that are distributed in the surrounding environment to detect the various events. Partnering with the City of Neuchâtel, CSEM has developed a platform, based on the WiseMAC protocol, for interconnecting many such sensors and nodes dedicated to the control of the public and the private lighting elements in a wireless, robust, reactive, and autonomous network. Artificial lighting is important for security, safety, comfort, etc. at night and may be during the day as well. Public and private lighting (e.g. commercial displays) are sometimes redundant. Usually, they are operated independently, which prevents them from cooperating. By fitting networked sensors (able to detect and report events and conditions) and bulb controllers into the lighting systems, it is possible to foster such cooperation, thus providing a better service, preserving security, safety and comfort. Although minimal in financial terms, energy savings are real and represent a great example and motivation to the Figure 1: Example of CSEM smart lighting deployment. public. As an added benefit, companion functions can be The Illumaction demonstrator shown in Figure 2 is made of a provided, such as energy metering, bulb failure detection, bulb controller driving a LED panel through a 0-10 V D/A temperature and noise monitoring, crowd and traffic dimming interface (top right), an ambient light sensor (bottom management, etc. right), and a relay between the sensor and the controller CSEM has developed in the past a number of smart wireless (middle right). autonomous platforms (under the generic term of WiseNET) and devices, which are now used in various application domains, such as environmental monitoring, structural health monitoring, condition monitoring, home automation, health care, transportation, safety, etc. and more generally in the Internet of Things. WiseNET uses WiseMAC, which is one of the most power efficient wireless communication protocols, while offering mesh networking, self-healing, security and low end-to-end delays. WiseNET can operate in various environments, including, indoor, outdoor, urban or rural areas. In addition, it offers robustness with respect to partial communication failures or wireless link degradation by establishing alternative data transmission routes.

WiseNET forms the basis for the Illumaction system, which Figure 2: Example of CSEM smart lighting deployment. measures the light intensity and motion at several geographical points and sends the data to the local bulb controller, which The demonstration has proven the autonomous behavior of the embeds the logic for adjusting its intensity. As such, the system system and its performance in terms of reliability and reactivity. autonomously controls the lighting elements in complete The control loop, triggered by an induced change of the autonomy (blue boxes shown on Figure 1). The mesh capability ambient light, followed by the transmission and the processing of the network allows for fully scalable collaboration over areas of the sensor value, ending with the modification of the D/A of any size, by conveying sensor data to any point in the output takes less than a second. The power consumption of the network (Figure 1 shows one communication configuration, sensors and the relays allows for several years of operations which can change over time). This allows, for example, for the on a set of AA batteries. The mains power supply powers the extension of the lighted area around a person by involving LED panel and the bulb controller. remote bulbs, thus improving his/her comfort, security and safety.

117

Secure Wireless Link for Ultra-low Power Wireless Sensor Networks C. Kassapoglou Faist CSEM provides solutions for secure communication in wireless sensor networks, addressing data protection (authentication, encryption, freshness, and confidentiality), entity authentication and key management. Implementations can be tailored to the needs of the application, to support various standards (IEEE 805.15.4 and 805.15.6, Bluetooth LE) and to run on a variety of embedded platforms. As ultra-low power (ULP) smart wireless devices are becoming to derive session keys and the current time-limited session key ubiquitous, the security of wireless communication links used to protect the data. No other node is able to derive these becomes vital. However, the wireless medium is open to keys. The session key is established using the master key and anyone – or anything, making it easy to overhear and interfere random data that the two parties exchange at session with, thus requiring protective measures. In order to provide our establishment, following a mutual authentication protocol. This customers with secure solutions, CSEM has been active in approach may seem complex, but it is the best way to prevent acquiring state-of-the-art know-how in wireless communication key compromise: the master key is not exposed to attacks. security for resource constrained devices and developing Moreover, it provides a mechanism for session key refresh. approaches to design adequate solutions, in particular in terms Distribution and management of the master keys depends on of key management. The solutions are tailored to the trust assumptions and configuration set-up. The difficulty is to requirements of the application and cover the entire system install each master key on both the device and the sink (or base lifecycle (installation, commissioning, replacement, etc.). The station) without a third party intercepting it. A simple solution is implementations run on various ULP embedded platforms and to have it installed on the node at the time of manufacturing and cover a variety of application domains ranging from load it onto the sink manually. If the network set-up takes place environmental monitoring to safety in transportation. in a controlled environment, the master key can be sent to the The security services provided are: unilateral or mutual entity node by the sink over the air during an initialization phase authentication for protection against man-in-the-middle (MITM) where attacks are considered improbable. However, there are attacks; data authentication and integrity; confidentiality advantages in using a scheme where key distribution involves through end-to-end data encryption for privacy; data freshness, an operator device enabled with wired or close-range for protection against replay of old valid messages; access communication (serial, NFC): an out-of-band channel is control and authorization. Most of these services rely on available to load the key and proximity provides a guarantee on cryptography. As an example, Figure 1 shows CSEM’s node identity. The operator device can either generate a master WiseMAC communication stack integrating security. key for each node-sink pair (and later discard it) or read it on one device and copy it to the other. In case that the operator device is not trusted, the master key can be computed on each device based on a network-wide pre-programmed key (unknown to the operator) and on secret data loaded by the operator. As an alternative, a key transfer scheme can also be used: the two devices establish a temporary key during an authenticated pairing procedure - where, for example, they use the operator device to uniquely identify each other - and then Figure 1: Security in WiseStack. have the master key transferred from one to the other, Due to limited resources (memory, computing power, energy encrypted with the temporary key. consumption), the implementation of cryptography is based on In addition to pairwise keys and in order to authenticate its symmetric cryptographic ciphers, which are less resource broadcast messages, the sink can generate a group key and demanding. Specifically, we use the AES encryption algorithm send it to each node individually, encrypted with the (e.g. AES-128), which is a strong algorithm, with integrated corresponding session key. Moreover, a mechanism allowing a hardware implementations available on a few platforms. AES is node to establish pairwise keys with its neighbors in order to used for encryption (AES-CTR) and message authentication authenticate routing messages can also be provided. (AES-CBC) as well as in keyed hash functions involved in entity authentication and key agreement protocols (AES-CMAC), and CSEM has integrated security in small- and medium-size in the incorporated strong random number generator [1]. wireless sensor networks, in star or mesh topology. Platforms include a MSP430-CC1101 (AES hardware implementation), a The use of symmetric algorithms makes key establishment MSP430-CC1125, as well as the in-house icyCOM SoC. Future more complex and requires some form of initial trust. Using a work consists of proposing a Diffie-Hellman exchange based network-wide key for all links in the network is not on elliptic curve cryptography, enabling the derivation of a recommended, because of the lack of robustness in the event secret key (the master key) over an authenticated channel that a node is compromised. Instead, each node shares its own without requiring a prior shared secret (but being more secret keys with the sink: a master key that is used exclusively demanding on memory and computation resources).

[1] FIPS PUB 140-2, "Security requirements for cryptographic modules", (2001).

118

Long Range Low-power Localization A. Restrepo Zea, M. Sénéclauze, J.-D. Decotignie GPS has long been the reference for outdoor positioning; however, high power consumption and lengthy warm up time make it unsuitable for future low-power IoT applications. The advent of low power, Long Range (LoRa) localization technology, enabling relatively precise positioning of connected objects based on existing hardware, opens the door for future IoT applications, for example, in the domain of smart cities, facility management and supply chain, requiring low power outdoor positioning. The introduction of a precise (<30 ns) time stamping of LoRa positions of the gateways and the computed result are frames by Semtech opened the possibility of using a LoRaWAN presented in Figure 3. infrastructure to permit the accurate localization of objects. Given the fact LoRa was developed for long-range and low- power IoT applications, this new feature is seen by some providers as a potential alternative to the energy-intensive GPS system. The localization solver developed at CSEM as part of a CTI project with Semtech receives the data produced by the infrastructure (Localization data in Figure 1). This data is then used to generate a cloud of particles (intermediate potential positions) that is, in terms, used to generate a position [1]. Figure 2: Variability of the cumulated distribution function. Based on this setup and on the prior knowledge that the object was not moving, a set of estimated positions were computed using CSEM’s solver. The solver was configured not to reinitialize its set of possible locations (belief) between each sequence sent by the object. The results show that the difference between the real position and the calculated position ranged from 30 m to 40 m 50 % of the time, and between 40 m and 50 m 70 % of the time (Figure 3). These results do not reach the accuracy that the GPS is able to achieve. However

the goal of the project was not to compete in accuracy with the Figure 1: Typical LoRa infrastructure for localization. GPS, but to provide a solution that offers a good enough Over the course of numerous measurement campaigns localization for some applications by benefitting from the conducted both within the project (with Semtech) and outside regular communication with the object, thus without additional the project (with potential customers), a large amount of data energy. was collected and analyzed. This data enabled us to validate the algorithm as well as to assess its capabilities with respect to various use cases, ranging from open field to urban areas, with the aid of a set of custom tools that enable monitoring of the quality of the position estimation. One tool, which is quite important for our solver, is called Variability of the Cumulated Distribution Function (VCDF). This function, depicted in Figure 2, allows for the representation of the performance of the algorithm used in terms of the error (i.e., cumulative error in % and error in meters). As particles are distributed and moved randomly, this graph illustrates the range of possible Cumulated Distribution Function calculated on Figure 3: Geographic representation of the test site, CSEM IoTLoc, different runs with the same data set. Mote position estimation (back figure), expanded view (insert). The data from one of the measurement campaigns was The results presented above show that a reasonable accuracy selected in order to illustrate the algorithm. This data set is can already be obtained without additional energy consumption composed of about 100 measurements obtained from a single in the context of communicating objects. The improvements yet location in a typical urban area. The measurement setup is to come in the time stamping and the solver, together with the composed of 9 gateways spaced 800 m to 12 km apart lessons that will be learnt in big scale deployments to come will (average >3 km). The gateways do not have antenna diversity continue to improve the precision. and are communicating with a spreading factor of 12. The

[1] A. Restrepo Zea, M. Sénéclauze, J.-D. Decotignie, "IoT objects localization based on time difference of arrival measurements", in this report, page 120.

119

IoT Objects Localization based on Time Difference of Arrival Measurements A. Restrepo-Zea, M. Sénéclauze, J.-D. Decotignie In the ecosystem of IoT applications, object localization and tracking play a predominant role. Although GPS is a possible solution to determine the position of “things”, its cost and power consumption are not compatible with most IoT applications. CSEM developed a GPS-free localization TDoA-based localization solver for IoT devices using long-range ultra-low power RF technology. Over the past few years, CSEM has developed several probability (i.e. on points over the locus of the nearest branch localization solvers based on the radio Receive Signal Strength on the hyperbolas built based on the first measured Indicator (RSSI), using both deterministic and probabilistic TDoA/∆Distances; see Figure 1). In subsequent iterations, the techniques. Experience has shown that probabilistic particles are randomly moved from their previous positions to techniques, such as Particle Filtering, provide more accurate new positions, following a predefined model. Then, in the and robust location estimation than deterministic techniques. second (Updating) phase, the degree of validity of the predicted positions of the particles are determined based on the new Using RSSI is a very attractive because it does not require TDoA measurements received for the signals transmitted by additional hardware components and (almost) all radios can the target node. The hyperbola formed by each particle and measure the signal strength power of received packets. each pair of anchor nodes is compared with those formed by However, the RSSI distribution is not always symmetric and the measured ∆Distances and each pair of anchor nodes and, identical at all locations, it is also dominated by reflections and depending on the similarity (or dissimilarity) a weight is other sources of noise and it is sensitive to the relative assigned. The process is repeated, with the Resampling and orientation of the transmitting and receiving antennas. Estimation phase, until convergence is achieved. Additionally, for the same transmission conditions (transmission power, position and others), the RSSI measured on radios from various suppliers can be substantially different. By comparison, when clock synchronization and time resolution/granularity are not a problem, time-based approaches, such as Time-of-Arrival (ToA) and Time-Difference-of-Arrival (TDoA), offer alternatives to RSSI techniques. Although sensitive to Non-Line-of-Sight (NLoS) conditions, these approaches have been shown to outperform RSSI techniques. TDoA only requires clock synchronization between anchors. This consideration, coupled with the availability of suitable radio technology for precisely determining the arrival times of the radio signals, drove the Figure 1: Particle initialization. selection of a TDoA approach for the localization solver. Figure 2 shows the Cumulative Distribution Function (CDF) The basic idea behind the TDoA approach is to measure the obtained from a test in an urban environment using 9 anchor arrival time of a signal transmitted by a target node. The nodes spaced by 800 m to 12 km, and 4 static target nodes difference of arrival times is calculated for each pair of anchor placed at different points. Each target node transmitted nodes and converted to a distance difference (∆Distance). This 60 beacons, one per second. 50 % of the beacons had a ∆Distance together with the known positions of each pair of position estimation with an error less than 31.06 m and 70 % anchor nodes (behaving as foci), form a set of hyperbolas. with an error less than 59.24 m. This reflects the propagation Under ideal conditions, the intersection of the different conditions and time stamp measurement variability. The results hyperbolas yields the position of the target node. show that a good position estimation can be achieved using the Particle Filtering combined with TDoA measurements. The Particle Filtering algorithm implemented at CSEM is a particular case of Sequential Bayesian technique using a Monte-Carlo approach. The main objective is to determine the probability density function p(xt|z0…zt) which means “What is the probability of being at position x at time t, given all previous measurements z, for all possible positions x”. This probability density function is known as the Belief and it is represented by a set of N samples (particles). Each particle contains the coordinates of a possible position of the target node, and a weight which defines how near or far the position of the particle is from the position of the target node, given the TDoA measurements at time t. Particle Filtering is composed of 4 phases: Prediction, Updating, Resampling and Estimation. Figure 2: Cumulative error distribution. At the beginning of the localization process, for the first execution of the Prediction phase, the particles are placed randomly in the deployment area. To accelerate the process of convergence, the particles are placed on points of higher

120

Reactive Low-power Software Stack for BTLE Implementation B. Perrin, A. Restrepo Zea CSEM has combined its strengths in ultra-low power transceivers and optimized software protocol stacks to create one of the most power efficient Bluetooth Low Energy platforms. The slave protocol stack meets all of the BTLE timing constraints and is realized entirely in software. Updating to new versions of the protocol may then be performed by software updates without any need to redesign silicon. CSEM has a long tradition of developing ultra-low power RF A typical connection includes the discovery phase (0.8 s), the transceivers that are ahead of the competition in terms of their connection event (CE) and the transmission phase (in our energy efficiency. The same applies to protocols. These two example 20 bytes). Once the discovery has been completed, strengths have been combined to create a BTLE slave node the average current is approximately 75 μA (empty PDU) and that it entirely software upgradable. The platform complies with 90 µA (with the 20 byte payload) with a connection period of the Bluetooth Core Specification for a slave device. It can be 100 ms. The energy cost of a CE is between 21 and 30 µJ. As upgraded to new versions of the standard via simple software such, the autonomy of the platform with a CR2032 battery updates. (240 mA.h) is expected to be more than 1.5 years with an advertisement period of 1 second. Combined with the 2 Mbits/s analog front end, CSEM's IcyTRX-65 chip supports, among others, the Bluetooth LE and Our test board includes several sensors (accelerometer, IEEE 802.15.4 (ZigBee) modulations. A customizable packet magnetometer, barometers, temperature & humidity, etc.) and handler offers the ability to adapt the stack to support various can be powered by a battery or an energy scavenging source. protocols. The circuit also enables the platform to handle the Its tiny size of 16 mm by 16 mm is suitable for applications hard BTLE timing constraint of the Inter Frame Space (T_IFS), where a small volume is required. which must be precisely 150±2 μs. The transceiver is connected to a TI MSP430F5528 microcontroller on which the protocol stack operates. The developed stack includes all the BTLE slave features and functions: the link layer, L2CAP, GATT & GAP and a Security Manager for the “Just Works” scenario. This provides all of the necessary functionalities for a peripheral device to offer an easy interface to the most common smart phones and tablets. Additionally, the software architecture is fully event-based, exploiting the rich pallet of interrupt sources generated by the transceiver. This results in a stack which is highly reactive while very power efficient. As an example, let us consider the case of advertising, which is likely to consume a large share of the energy budget in a typical sensor application. Figure 1 shows Figure 2: CSEM Memsplant V2 test board. the current consumption during an advertising event. The microcontroller exchanges with the radio via SPI, captured by The protocol software implementation provides for the a logic analyzer, are superimposed in green on the picture. coexistence, on the same processor, of the stack with the sensor application, measurement and pre-processing. This leads to an economy of silicon and space. A such coexistence allows the application to be defined, designed and implemented so that it requests the use of resources only when needed. This enables further reduction in the overall power consumption. The comparison with a TI CC2541 during a connection with a CE period of 1 s reveals a clear advantage: TI requires a consumption of 27 µAs while the CSEM test board is around 18 µAs. The results confirm that the optimized co-design approach followed by CSEM yields technical advantages and performance enhancements which ultimately translate into better products in various application domains, such as home automation, gaming, health, care, transportation, safety, etc. Figure 1: Advertisement current on the 3 channels. The measurements reveal an energy consumption per advertisement of 28 µJ on one channel and 65 µJ on three channels. With an advertisement period of 100 ms, the average current is approximately 93 μA and 218 μA respectively (voltage over a 30 Ω resistor serially connected). 121

Indoor Localization using IR-UWB D. Barras Ultra-wideband technology provides an excellent mean for wireless positioning due to its high resolution capability in the time domain. UWB is particularly well suited for localization indoors, where multipath environments make classical narrowband positioning unsuitable. Many commercial and industrial applications rely on positioning, such as retail, logistics and tool/robot/vehicle/people tracking, and could benefit from this technology. The large bandwidth of ultra-wideband (UWB) radio were performed with each of the three anchors. The median (e.g. 500 MHz or more) offers high temporal and spatial position is extracted out of these 10 positions. To improve LMS resolution, which is beneficial for performance in strong accuracy, the previous position has been used as first guess multipath indoor propagation environments. More specifically, for the actual position. UWB enables centimeter accuracy in ranging. This feature is particularly interesting for many applications, from industrial (logistics, retail), consumer (home appliances), robotic, medical and sports (tool/vehicle/people tracking) to safety (access). When coupled with the Global Navigation System, UWB localization is seen as a means for augmenting the global navigation experience by enabling enhanced indoor accuracy. Several techniques have been investigated in the past for indoor positioning, such as Wi-Fi-based or methods using received signal strength (RSS) and the "fusion" of inputs from multiple sensors. Recently, UWB has proven to be the most promising technique for improved indoor positioning, due to a combination of performance, affordable complexity and cost. During the last ISPN Localization Competition [1], 6 out of 10 of the winning localization technologies were based on UWB. Among them, the best were solely based on two-way time-of-flight (TWTOF) ranging and reached a 3D accuracy of 16 cm without calibration, whereas the best Wi-Fi based systems achieved not better than 1.2 m 2D accuracy with Figure 1: 2D indoor localization setup (left), raw results (right) and calibration. histogram of median position error for 10 measurements per position. CSEM has also been focused on TWTOF ranging with UWB for Results illustrated in the Figure 1 (top right) show non-optimum several years. This solution has been identified as offering the situations where the positions are close to anchors, the best trade-offs in terms of integration (small and low-power), concrete wall and the floor. Individual measurements were cost and deployment (no calibration required). Other methods found to have errors of up to 30 cm (grey circles); however, the using angle-of-arrival (AOA) and time difference of arrival median position (Figure 1, bottom histogram) over an average (TDOA) can also be used by TOF-based devices depending on of 10 measurements displayed an outstanding performance, the system and infrastructure requirements. with a mean error of less than 4 cm, and a maximum error of 20 cm (due to first calculated position). Recently, CSEM in cooperation with the startup 3db Access developed a highly integrated ASIC using Impulse Radio UWB Initial experiments demonstrated the robustness and the (IR-UWB) for TWTOF ranging. The successful implementation accuracy of UWB for indoor localization. Future work will focus of this circuit enabled the rapid development of a localization on three topics: 1) the addition of sensor information, such as test setup. First experiments focused on 2D localization over a accelerometers, gyros, RSS or GPS (sensor data fusion) in restricted indoor area as depicted in Figure 1 (top left). order to enhance accuracy; 2) the improvement of the localization using algorithms (such as Kalman or particle filter) For 2D localization, the minimum of three fixed UWB anchors and/or the diversity (channel frequency, antenna polarization); were placed on the corners of a 2 × 4 m area on the floor (blue and 3) self-localization and self-configuration of the anchor dots on the top right figure). Several points were accurately nodes. The latter feature is particularly interesting with respect reported on a track representing a rectangle defined by the to the potential to reduce the complexity of network installation anchors (ground truth position, red crosses). TWTOF ranging and therefore increase user acceptance, as manual measurements were taken between the unknown positions and measurements and configuration methods for obtaining anchor the anchors. Trilateration using a least mean square (LMS) locations are tedious and error-prone. Deployment will be much algorithm was used to extract positions (black dots). The latter easier using self-configuring methods where nodes cooperate points represent raw positions. For each of the 30 measured with each other, estimate local distances to their neighbors, and positions on the rectangular track, 10 ranging measurements converge to a consistent coordinate assignment.

[1] https://www.microsoft.com/en-us/research/event/microsoft- indoor-localization-competition-ipsn-2016/

122

Implementing Bluetooth Low-Energy 5 in icyTRX N. Scolari The Bluetooth Low-Energy 5 specification includes new features, notably a 2 Mbps mode and a Long-Range feature. The versatility of the icyTRX digital baseband, allowed us to implement the 2 Mbps mode without the need for changes. Implementation of the Long-Range feature required some modification of the baseband, but changes were minimized thanks to the modularity of icyTRX. With the new Bluetooth 5 specification, the Bluetooth SIG additional algorithms in the radio in order to assure the intends to expand the number of use cases in which a Bluetooth synchronization. These algorithms use the redundancy present radio can be deployed. In particular, the Low-Energy feature in the preamble and the access address in order to add some improves the data-rate and the range (distance). The first processing gain and hence to be able to detect signals with a feature is realized by adding a 2 Mbps mode (the previous signal-to-noise ratio (SNR) lower than 0 dB. In particular, the version of the Bluetooth Low-Energy (BTLE) supported only a Viterbi decoding algorithm for the access address requires a 1 Mbps mode), while the second feature is implemented by correct initialization, which can be achieved only by adding a coding layer to the 1 Mbps mode. This coding layer pre-synchronizing the demodulator with a rough access enables us to keep the same analog radio front-end, and to add address detection. For these reasons, a series of correlators a simple coding/decoding layer to the digital baseband, have been implemented in order to detect the different parts of extending the range. Two modes of operation are foreseen, one a Bluetooth Long-Range packet. at 500 kbps and one at 125 kbps. Due to the convolutional Figure 2 shows some simulated results of this algorithm. The codes, the gain in these modes is expected to be 4 dB and output of the first correlator looking for the access address of 12 dB respectively. the packet is shown. Such algorithms improve the sensitivity of The icyTRX IP has a versatile digital baseband that already the radio; however, they can be very expensive in terms of gate supports operation at a data rate of 1 Mbps, as well as other count and thus power consumption. Nonetheless, the modular data-rates via different combinations of modulation and coding. architecture of the icyTRX digital baseband enables us to Implementation of the BTLE 5 2 Mbps feature was readily add the additional blocks required to improve the straightforward: there was no need to modify the icyTRX radio, sensitivity with a minimal effort. the analog or the digital parts. As can be seen from Figure 1, bit-error rate (BER) sensitivity measurements performed at 2 Mbps demonstrate the excellent performance of icyTRX, which achieves a BER of 10-3 at about -95 dBm.

Figure 2: Simulation results of the correlation peaks obtained in the receiver: the first plot is the input signal, the second is the access address correlator output. The correlation peak is clearly visible. The Long-Range feature has been tested on a setup composed Figure 1: BER sensitivity at 2 Mbps measured over several samples. by an icyTRX radio and an FPGA. In this configuration, the The Long-Range feature is achieved by adding a coding layer radio provides the output of the ADC to the FPGA, which to the 1 Mbps protocol. This coding layer is essentially performs the necessary demodulation steps. The preliminary composed of a rate 1/2 convolutional code combined with a results of this setup are promising; however a full silicon version coding pattern, similar to that of Manchester encoding. In the is required to get rid of the limitations introduced by this setup. 500 kbps mode only the convolutional code is used, while the The challenges introduced with the Bluetooth 5 specification 125 kbps mode combines the two coding schemes. In either have been easily met by the icyTRX platform, thanks to its case, the access address of the packet is always coded at flexible design. 125 kbps. Technically, both of these coding schemes were already implemented in icyTRX, so the change was straightforward and readily implemented. On the other hand, this approach does not provide any major improvement in terms of sensitivity. In fact, the actual algorithms (e.g. the clock recovery algorithm) require a minimum SNR which is not compatible with the Long-Range feature. For this reason, it was necessary to implement 123

Asynchronous Digital Design for Sub-threshold Regime Operation S. Koumousi, M. Pons Solé, M.-N. Morgan, J.-L. Nagel, S. Emery Reducing the supply voltage down to the sub-threshold regime allows a significant reduction of power consumption. However, synchronous sub-threshold designs are very sensitive to even small variations in process, voltage and temperature, which can either lead to incorrect functionality or degrade the performance due to huge design margins. On the other hand, asynchronous designs based on NULL Convention Logic (NCL) are self-timed designs that are insensitive to variations and thus require no design margins. Asynchronous circuits can be classified into different categories speed. The asynchronous speed degrades 30x in slow (SS) depending on their degree of asynchronicity, from corner at -25°C, but improves 10x in fast corner (FF) at 75°C locally-synchronous to delay-insensitive. The latter category is (the synchronous design is limited to 81.3 kHz for all corners in extremely interesting for sub-threshold designs, which are very the absence of process sensing). However, the asynchronous sensitive to both global and local variations, and also greatly NCL design typically has 1.7x the area of the synchronous simplifies design automation compared to other asynchronous version and, in nominal conditions (TT process corner, approaches. However, designing delay-insensitive circuits Vdd = 0.3 V, 25ºC), the asynchronous version also exhibits 3x usually comes at a cost, as they involve a large logic overhead more energy consumption than its synchronous counterpart. e.g. due to completion detection. Note that the asynchronous design functional validity was simulated down to 0.2 V. In this work, NULL Convention Logic (NCL) was chosen among existing delay-insensitive asynchronous methodologies. Table 1: NCL vs. synchronous design performance. Transforming a synchronous RTL design into its clockless NCL Asynchronous Synchronous counterpart was done using UNCLE [1], an academic set of Process Temp Voltage Average freq. Power Frequency Power scripts partly relying on conventional logic synthesizers to map corner synchronous RTL to a netlist of generic functions and, SS -25 C 0.3 V 6.25-8 kHz 4.15-4.33 nW 81.3 kHz 3-13 nW TT 25 C 0.3 V 230-250 kHz 185-190 nW 81.3 kHz 20-38 nW subsequently, into an NCL netlist. More information on the FF 75 C 0.3 V 2.38 MHz 3.8 uW 81.3 kHz 1.27-1.41 uW [2] design flow can be found in . Area 11.16 um2 6.38 um2 The TSMC 65 nm LP process was chosen for these The asynchronous design automatically adapts to process, experiments. An NCL sub-threshold standard cell library of voltage and temperature variation and the computation delay is 23 hysteresis state-holding gates was developed and used with naturally data dependent. Table 2 summarizes the effect of UNCLE to generate an NCL-mapped netlist, which was operating condition variation on speed and power consumption subsequently placed-and-routed using standard tools. This with respect to nominal. step of the NCL flow required particular optimization, as an Table 2: Influence of operating conditions on speed and power. asynchronous design intrinsically contains many combinational loops when seen from a static timing engine, and prevent the Process corner Temperature Voltage Data tool from applying optimizations such as buffering and gate SS TT FF -25C 25C 75C 0.2V 0.3V 1V Frequency -5x 1 5x -5x 1 2.8x -12x 1 100x sizing. The focus was set on automatizing this loop breaking at ±18% Power -6x 1 6x -4.9x 1 3.8x -8.2x 1 1100x specific locations and guaranteeing that all paths would get ° optimized. Finally, the netlist extracted from layout could be Monte Carlo simulations at the worst case corner (SS, -25 simulated at transistor level. and 0.3 V) and at the maximal frequency of operation of 81.3 kHz showed that the synchronous design sometimes𝐶𝐶 A 3-stage pipeline design was coded in synchronous RTL and failed to operate correctly, whereas the NCL did not produce used as a test case: the first stage consists of a 5-tap FIR filter; erroneous outputs. This demonstrates that additional costly the second stage of a multiplication of the FIR output with an design margins should be definitely added to the synchronous external control signal; the last stage of the addition of the upper version to cope for the local variations and that they are not and lower bits of the second stage output. The same functional required for NCL design. verification was applied both to the synchronous RTL and to the NCL asynchronous transistor netlist to verify correct In conclusion, though the selected delay insensitive functionality of the layout. asynchronous design flow definitely proved to be robust to operating condition variations and to local mismatch, the logic The same RTL was physically implemented as a synchronous overhead compared to a synchronous design mostly cancels version (using an existing sub-threshold standard cell library) in the benefit of reducing design margins in terms of power order to compare the speed, power and sensitivity to variations consumption. Nevertheless this approach might still be of both synchronous and asynchronous versions. interesting for systems running on very low-voltage and Table 1 shows that the synchronous design was able to reach intermittent supplies (e.g. small solar cells), where a complex an operating frequency of 81.3 kHz at 0.3 V in typical power management unit could be advantageously replaced by corner (TT), while the asynchronous design reaches 3x this a self-timed, clockless digital approach. 𝐶𝐶𝐶𝐶𝐶𝐶 𝑓𝑓 [1] R. B. Reese, et al., "Uncle - An RTL approach to asynchronous [2] S. Koumousi, "Asynchronous digital design for sub-vth design", Proc. ASYNC The Int. Symp. on Asynchronous Circuits operation", MSc thesis. and Systems (2012).

124

Sub-threshold Latch-based icyflex2 32-bit Processor with Wide Supply Range Operation J.-L. Nagel, M. Pons Solé, T.-C. Le, C. Arm, D. Séverac, S. Emery A 32-bit latch-based icyflex2 processor was integrated in EM Microelectronic Marin ALP CMOS 180 nm technology showing full functionality for supply voltage ranging from 0.37 V (i.e. subthreshold operation) to 1.8 V (i.e. super-threshold operation), over 5 process corners and for temperatures between -25 and 75°C. This possibility to maintain continuous full functionality by adapting the operation frequency and varying the supply voltage makes that design a perfect candidate for adaptive dynamic voltage frequency scaling (ADVFS). The system micrograph is shown in Figure 1. It includes a The proposed icyflex2 processor’s MEP was compared very latch-based 32-bit icyflex2 controller core [1], 2 kB of RAM, 8 kB favorably to other state-of art systems (see [2] detailed results). of ROM, GPIO, JTAG, SPI and timer peripherals. It can either We have demonstrated that combining latch-based design and execute stand-alone functions from the ROM, or boot on an sub-threshold allows a very wide supply range of operation. external non-volatile memory via SPI. On-chip debug is The next step will be to take advantage of these techniques in possible via JTAG interface. a fully adaptive system in order to automatically reach an A tailored standard cell library, as well as RAM and ROM optimal energy efficiency. memories were designed and optimized for subthreshold operation. The objective is to ensure a wide supply range operation (WSR), stretching from sub-threshold to super-threshold operation. High-threshold voltage (HVT) transistors were used to reduce leakage. The design was constrained for setup time at the minimum VDD of 0.54 V (corresponding to sub-threshold operation for the HVT transistors) in slow process and low temperature. The maximum VDD considered is 1.8 V. RAM and ROM memories were optimized to reach the same minimum VDD as standard cells to be able to use a single power domain. Optimized high-range level-shifters, allowing the up-conversion from Figure 1: Die microphotograph of the subthreshold system. sub-threshold to up to 3.3 V, were inserted in front of output pads of the JTAG and SPI peripherals. The system performance was measured by running a software self-test (MBIST) of the RAM on the icyflex2 core, the code being stored in ROM. The March C algorithm was executed in around 37’000 cycles for the 2 kB of SRAM. The power consumption of this algorithm is relatively high, considering that the inner loop of the algorithm performs one ROM access, one RAM access and one arithmetic operation (add, sub, or) almost every cycle. The MBIST is also a comprehensive test for all system components as it tests the core as well as the RAM and ROM memories. The MBIST test was run for various temperatures, process corners and frequencies. The result of the MBIST (passed or failed test) is output on the GPIOs and was used to derive the maximum operating frequency. Reducing the supply voltage logically leads to a reduction of the maximum operating frequency (see Figure 2). We computed the energy (per cycle) by normalizing the power by this maximum frequency. The Minimum Energy Point (MEP) corresponds to where the circuit operates at the highest energy efficiency (usually at a rather low operating frequency). For this circuit, MEP occurs below the threshold voltage, with energy per operation as low as 17.1 pJ/cycle at 19 kHz and 0.37 V. Figure 2: (top) Maximum operating frequency vs. supply voltage for a The energy per operation rises to 119.3 pJ/cycle at 1.1 V and typical corner chip; (bottom) Energy per cycle measured at maximum 10 MHz, almost 7 times higher than at the MEP, demonstrating operating frequency vs. supply voltage at 25ºC for 5 process corners. the clear advantage of sub-threshold operation in terms of energy as long as the system can cope with the reduced operating frequency.

[1] J.-L. Nagel, et al., "The icyflex2 processor architecture", CSEM [2] M. Pons Solé, et al., "Sub-threshold latch-based icyflex2 32-bit Scientific and Technical Report (2009). processor with wide supply range operation", ESSCIRC (2016).

125

Physical Unclonable Function (PUF) based on 0.18 um Sub-threshold SRAM H.-R. Graf, M. Pons Solé, T.-C. Le, S. Emery Physical unclonable functions (PUFs) have been proposed as central building blocks in a variety of cryptographic protocols and security architectures. In this study, the suitability to implement PUFs with existing sub-threshold 0.18 um SRAMs was evaluated. Operating PUFs in the sub-threshold region can enable adding security features in ultra-low power applications within a limited power budget. Physical unclonable functions (PUFs) are increasingly For this study, the suitability of sub-threshold 0.18 um SRAM [1] proposed as central building blocks in cryptographic protocols (Figure 1) to implement PUFs was evaluated. Measurements and security architectures. Among other uses, PUFs enable on a total of 10 devices integrated in EM Microelectronic Marin device identification and authentication, binding software to ALP CMOS 180 nm technology with 2 SRAMs of 256 bytes hardware platforms and secure storage of cryptographic each were conducted. At 17 different supply voltages (between secrets. 0.43 V to 1.2 V), the memory content after power-off-and-on cycles was read-out. The measurements were repeated 100 times for every challenge. To evaluate robustness and uniqueness, the Hamming distance (in bits) was calculated and analyzed (Figure 2). Good robustness is shown with the intra Hamming distance of 0 bits at 70 % probability. The smooth Gaussian distribution of the inter Hamming distance indicates a good uniqueness as well by having 3-5 bits distance at a probability of 70 %. Further analysis shows that identification is possible and attests a good Figure 1: Chip photograph of sub-threshold 0.18 um SRAM PUF. potential for unpredictability. PUFs typically exhibit a challenge/response behavior: When queried with a challenge, the PUF generates an unpredictable but repeatable response that depends on the physical properties of the underlying PUF hardware. The most vital PUF properties are: • Robustness • Uniqueness • Unpredictability Robustness requires that, when queried with the same challenge multiple times, the PUF should generate similar responses that differ only by a small error that can be corrected by an appropriate error correction mechanism. Figure 2: Robustness and uniqueness of SRAM based PUF. Uniqueness means that the responses for the same challenge For ultra-low power applications like IoT nodes, adding security on different PUF instances are uncorrelated, based on features within the limited power budget is key. The presented intrinsically unique and random physical variations of the sub-threshold SRAM PUF, that reaches static consumption in implementing device. the order of a nW at 0.43 V, is therefore perfectly suitable for this kind of applications. Unpredictability guarantees that the adversary cannot efficiently compute the response of a PUF to an unknown Next steps will require more measurements with more challenge, even if he can adaptively obtain a certain number of variations (e.g. impact of temperature and ageing), deeper other challenge/response pairs from the same and other PUF analysis of the measurements and study of the entropy source instances. (e.g. health check). With digital post-processing, the entropy could get improved. Finally, a system-level design would be PUFs using intrinsic randomness of ASIC processes are highly required to implement the challenge-response behavior directly attractive because they can be implemented with very small on the ASIC, not off-line as in this study. hardware costs, or even be built from existing hardware having the right properties. The most popular electronic PUF types are This research has been funded by a CSEM Creativity Grant. either delay-based (arbiter and ring oscillator PUFs) or memory-based (SRAM, flip-flop and latch PUFs).

[1] M. Pons Solé, et al., "Sub-threshold latch-based icyflex2 32-bit processor with wide supply range operation", ESSCIRC (2016).

126

Library Design in a DDC Technology Optimized for Sub-threshold Regime M. Pons Solé, J.-L. Nagel, P. Persechini, C. A. Salazar Gutierrez, D. Séverac, D. Ruffieux, S. Emery, K. Hashiba ●, H. Kurata ● In collaboration with Mie Fujitsu Semiconductor Limited (MIFS), CSEM has designed a standard cell library using MIFS Deeply Depleted Channel (DDC) 55 nm technology operating at sub-threshold voltage. Lowering the circuit supply voltage reduces the power consumption, while body bias tuning guarantees minimum speed degradation and allows a drastic reduction of the spread of performance across the different corners. DDC technology Test vehicle circuit simulations DDC transistors use a standard bulk silicon structure with a few An 8 bit multiplier was used as a test vehicle for the standard additional processing steps improving their performance cell library evaluation. The placed-and-routed layout was (Figure 1). The main advantages are the reduction of the local simulated at SPICE-level under different biases and PVT variation of threshold voltage (Vth) of transistors and the conditions. The simulation results are illustrated in Figure 2. improved control of Vth by body biasing techniques [1]. Both Performance at 0.9 V without bias control exhibits a spread of features make the DDC transistor a perfect candidate for 3.2x and a maximum speed of the circuit of 74.5 MHz (the worst sub-threshold design where Vth variability implies a case determines the actual speed). At 0.5 V without bias control, degradation and spread of performance that can reach up to 3 the performance spread is 109x which results in unacceptable orders of magnitude when considering process, voltage and variation and also in very poor performance (0.7 MHz). Taking temperature variations (PVT). advantage of DDC bias control capability, the performance spread is reduced to 1.4x, 1.3x and 1.5x for fast, medium and slow modes (determined by the bias) respectively, which are smaller than the 3.2x spread observed at 0.9 V. At 0.5 V and fast mode, the maximum speed reaches 60.8 MHz, i.e. only 20 % less than the speed achieved at 0.9 V. Regarding power consumption under typical conditions, the leakage power in sleep mode at 0.5 V is as little as 1.8 nW. Dynamic consumption is reduced by a factor of 3.4x (93.3 fJ/cycle versus 319.5 fJ/cycle) for 0.5 V in fast mode Figure 1: DDC transistor cross section layers. compared to 0.9 V operation. Sub-threshold library with body bias control Conclusion A standard cell library optimized for 0.5 V operation was Combining MIFS DDC technology and CSEM sub-threshold designed using DDC 55 nm Ultra-Low Leakage (ULL) experience, we have developed a digital library allowing transistors. The ULL option was chosen to reduce leakage minimum degradation and spread of performance when (i.e. static consumption) in addition to achieving dynamic operating at low supply voltage thanks to body bias control. consumption reduction thanks to sub-threshold supply. Circuit consumption is also reduced by a factor better than 3x. The library contains a reduced set of standard cells [2], including power management cells (i.e. level shifters, power switches, 238.9 350.0 0.2 154.2 100.0 89.5 300.0 isolation cells, always-on-buffers). Transistor sizing was 74.5 76.3 75.2 60.8 optimized so that the effect of body bias control is maximized 18.4 250.0 allowing to compensate the full range of PVT variations 15.4 20.7 10.0 16.4 200.0 (e.g. slow corner at 0.45 V and -40°C can achieve the same 319.5 2.2 150.0 speed performance as fast corner at 0.55 V and 125°C by 21.5 1.1 1.8 tuning the bias). All transistors in the library were therefore 1.0 0.7 0.1 1.5 100.0 Energy [fJ/cycle]

Max frequency [MHz] 0.7 designed with a unique width and length; the channel length 93.3 104.0 108.0 92.2 50.0 was slightly increased as compared to a nominal voltage library 0.1 0.0 and transistor fingers were used for different drive strengths. 0.9 V / no 0.5 V / no 0.5 V / 0.5 V / 0.5 V / bias bias Fast Medium Slow An adaptive body bias control circuit generates P and N well bias voltages depending on PVT conditions and on a given Figure 2: Multiplier maximum frequency and energy using circuit operation mode (e.g. fast, medium or slow modes). sub-threshold DDC library. Best, typical and worst frequencies in the Alternatively, maximum reverse body bias (increasing Vth of full range of PVT (green circles) show the performance spread. The transistors) can be applied in sleep mode to minimize leakage. bars indicate the dynamic (blue) and the static energy (violet).

● Mie Fujitsu Semiconductor Limited [2] M. Pons Solé, et al., "Ultra low power standard cell design using [1] K. Fujita, et al., "Advanced channel engineering achieving planar bulk CMOS in subthreshold operation," PATMOS aggressive reduction of VT variation for ultra-low-power International Workshop on Power and Timing Modeling, applications", IEDM IEEE International Electron Devices Meeting Optimization and Simulation (2013) 9. (2011) 32.3.1.

127

Sensor Interface for a Resonator-type Mass Spectrometer M. Augustyniak, J. Deng, C. Monneron, Y. Zha, P. Persechini, D. Schmid, P.-F. Rüedi A highly sensitive sensor interface has been developed for application in ion mobility spectrometers or mass spectrometers based on ion oscillation, such as linear electrostatic ion traps, to sense the ions flying back and forth through ring electrodes. The detection principle has been validated with a discrete implementation, before the realization of a dedicated ASIC. The electronic interface described here is an ion detector for a RESET mass spectrometer, where focused ion bunches, accelerated CLK Shift to 4 kV, oscillate between two electrostatic mirrors. The Ring WRITE register electrode DATA_IN oscillation frequency is mass-dependent: it is inversely DATA_OUT proportional to the square root of molecular mass. For ion CMP sensing, a metal, ring-formed sensing electrode is used. The Amplifier Amplifier 4x ring electrode is electrically biased at a constant potential Rf 12x, Output versus the system ground and is supposed not to disturb the Cf buffer OUT_1 trajectories of flying ions. Keeping the ring electrode at constant potential requires that, when charged particles pass through the Output Front_end buffer OUT_2 ring, a mirror charge is induced electrostatically at the ring C1 HPF electrode. That charge is converted to voltage by an amplifier 8MHz as a differential, continuous-time signal for off-chip analog-to-digital conversion. The gain of the sensor can be Figure 2: ASIC architecture. expressed in equivalent inverse capacitance, or in microvolt per Figure 2 presents the architecture of the ASIC. The first stage electron. After analog to digital conversion, the data is post- of the amplification channel is realized by the front-end amplifier, processed to extract the information about ions flying through where the charge-to-voltage gain is determined by a feedback the ring electrode: peak amplitude corresponds to the amount capacitor Cf. In parallel there is also a feedback resistor Rf to of particles in each bunch and the frequency of the peaks is DC-bias the amplifier input. Since the effective gain of the related to the molecular mass. whole ASIC is 29 µV/e-, the remaining amplification and In a first step a discrete amplification chain embedded on a PCB single-ended to differential conversion, is performed by a has been used to test the principle under real conditions. cascade of two amplifiers with a respective gain of 12x and 4x. Figure 1 shows measurement results where a bunch of Those amplifiers are separated by a high pass filter. The last Xe+ ions crosses two electrodes flying first in one direction stage is the output buffer designed to drive 50 Ohm / 20 pF (white trace first, then red) and then the same electrodes flying output to the external analog to digital converter. The reset can in the opposite direction (first red, than white). be activated externally, or when the comparator CMP detects an output stack in case the ring electrode acquired too much charge because of a large ion bunch. The configuration of the sensor is controlled by a shift register. Figure 3 shows the layout of the ASIC, realized in a 65 nm process. Area is 2.85 mm2. Noise floor is 117 e- rms. The signal bandwidth spans from 8.5 MHz to 350 MHz.

Figure 1: Measurements with a bunch of ions. To further increase both sensitivity and bandwidth, a dedicated ASIC has been designed. The most relevant parameters of the ASIC are: noise level, dynamic range and bandwidth. The noise floor limits the minimum number of particles that can be resolved. Since the detection noise – in terms of electron charges – is a product of the input-referred noise of the front-end amplifier by the input capacitance of the front-end amplifier, both have to be minimized for optimum performance. In order to minimize the input capacitance down to 900 fF, the ring electrode is directly Figure 3: ASIC layout. manufactured on top of the ASIC through a special pad. The bandwidth of the detector needs to be large enough to correctly amplify even the shortest pulses of 1.3 ns duration, caused by highly-focused hydrogen ions.

128

A Fully Integrated Adaptive Energy Harvesting System for Ultra-low Power Applications J. Deng, Y. Zha, J.-L. Nagel, D. Ruffieux, P. Persechini, P.-F. Rüedi The goal of this project is to implement a fully integrated platform with minimum external components for a PV cell energy harvester and a sub-threshold voltage microprocessor for ultra-low power applications. It can harvest the light energy in both indoor and outdoor environments with the input power ranging from uW to mW level with optimal efficiency. Nowadays, for many systems, the power source is a bottleneck • A 2-stage switched-capacitor DC-DC converter with that limits the system lifetime and performance, adds on-chip MIM capacitor placed on top of the digital core manufacturing cost, and increases the system bulk and • An advanced self-oscillating charge pump structure [1] for maintenance costs. A smart solution that could tackle this the 1st stage, working with PV cell voltages down to 0.35 V problem is using energy harvesting technology. To reduce system cost and volume it is desirable to integrate energy • A combination of staircase and PWM control schemes for harvesting circuits with data acquisition, data processing, and the DC-DC converter communication circuits on the same chip for ultra-low power applications. This work focuses on a fully integrated photovoltaic (PV) energy harvester design optimized for both indoor and outdoor light intensity. As PV cells exhibit a strong non-linear electrical characteristic and must work in a variety of environments, they require the development of an adaptive solution to transfer the energy generated by the PV cells into a storage medium, such as a capacitor or a battery, while maintaining the working point of the cell around the optimal region (for which the transferred power is maximized) under changing illumination conditions. The design exhibits three Figure 2: The simulated peak efficiency of the 1st stage as a function main features: of illumination. • It minimizes the external components for cost-effectiveness and compactness • It minimizes the power consumed by the power management unit (PMU) by using advanced nano-power circuits and a sub-threshold digital controller • It maximizes the converting efficiency and automatically adapts to the environment changes for an optimized operation of the whole energy transfer path Figure 3: Simulated efficiency of the 2nd stage. The energy transfer paths of the system with the voltage and peak efficiency is indicated in Figure 4.

Figure 1: System block diagram. The architecture of the system is illustrated in Figure 1. Only 2 external capacitors are needed. They act as the energy storage medium connected to the output of each DC-DC converter stage. The power converting efficiency is optimized for both the PV cell and the 2 stage DC-DC circuits (Efficiency simulation results are shown in Figure 2 and Figure 3 for 1st and 2nd Figure 4: Energy conversion paths of the system. stages, respectively). This is achieved by combining:

• A maximum power point tracker (MPPT) based on fractional open circuit voltage with self-adjustment to the input light and linearized PV cell voltage controlling algorithm

[1] W. Jung, et al., “A 3 nW fully integrated energy harvester based IEEE Int. Solid-State Circuits Conf. Digest of Technical Papers on self-oscillating switched-capacitor DC-DC converter", ISSCC (2014) 398.

129

CMOS Embedded NEMS Resonator with Acoustic Confinement

S. Yandrapalli, D. Ruffieux, L. G. Villanueva ●

The integration of CMOS electronic circuits and electromechanical resonators has been pursued for a long time to improve the overall performance of electromechanical oscillators. However, the post processing on the CMOS substrates that is necessary to attain this integration increases the production cost. This project presents a method of embedding a nano electromechanical resonator within a CMOS substrate with simulated resonator quality factor of more than 3000 at a frequency of 3.3 GHz. The integration of additional electromechanical components on node, it is not possible to match the /4 condition. Instead, the a CMOS chip has been subject to extensive research as it effective path length of the reflector pair to /2 was matched. would allow for footprint and cost reduction. One of the main Taking as the ratio of the longitudinal𝜆𝜆 speed of sound in the challenges in integrating MEMS resonators is the definition and inter metal dielectric (IMD) to that in the 𝜆𝜆 metal, the relation 𝑛𝑛 release of the structure. The goal of this project is to embed a between heights and wavelength is given by + = . bulk acoustic resonator in the CMOS BEOL (interconnecting ℎκ 𝜆𝜆 metal) layers that avoids the release step while still maintaining A bandgap between 2.5 GHz to 4.3 GHz wasℎ 𝐶𝐶𝐶𝐶simulated𝑛𝑛 using2 a high quality factor and electromechanical coupling of the such a simple 2D model as shown in Figure 2. The resonator device. was designed so that its frequency lies in the center of the bandgap and a high-Q bulk acoustic resonator was achieved. The release step is circumvented by placing the resonator in an acoustic bandgap created using a phononic crystal (PnC) (c) (d) structure that is made of the BEOL metals and dielectrics, as shown in Figure 1. The bulk acoustic resonator is a rectangular copper slab placed in the M5 layer which is designed to operate at its first fundamental mode of resonance. The resonator is actuated and sensed with neighboring electrodes placed on either side of the resonator and separated by 100 nm dielectric gaps. Figure 2: Unit cell and band structure indicating bandgap: frequency vs reduced wave vector path - - - - .

The finite reflectors simulation𝛤𝛤 𝑀𝑀 was𝐾𝐾 𝑋𝑋 performed𝛤𝛤 starting with a 2D model, followed by 3D extension to account for the appearance of new modes. Figure 3 shows the contour plot of displacement to visually demonstrate the effectiveness of the acoustic confinement.

Figure 1: Schematic of one quarter model of the 3D acoustic cage with (c) (d) the mechanical resonator. Q=3060 This particular implementation was designed using parameters PML of the TSMC 65 nm node technology with 7+2 metals.

Phononic crystals are periodic structures designed such that PML the ensemble (metamaterial) shows a collective acoustic behavior that is different from either of the individual materials. Acoustic bandgaps were created due to the difference in Figure 3: 3D quarter symmetric model. densities and elastic properties (acoustic impedances) of the materials, namely Cu as a metal and low- porous oxide (SiOC) A 3.3 GHz embedded CMOS NEMS resonator reaching a as the inter-metal dielectric [1]. The PnC is formed by repeating quality factor slightly above 3000 was designed and simulated. a unit cell consisting of a metal region surrounded𝜅𝜅 by a dielectric A Figure of Merit (FOM) · = 10 Hz could hence be (Figure 2). The band structure was computed by simulating the obtained for an unreleased resonator that13 would come out of unit cell using finite element method and applying appropriate the foundry. Evaluated electromechanical𝑄𝑄 𝑓𝑓 coupling of these boundary conditions to emulate an infinitely repeating structure. devices was 0.015 % thereby achieving a total FOM The optimal effective acoustic length of each layer forming the · =0.46. Provided those promising results are confirmed by the2 measurements of test structures, further work will reflector pair was matched to /4 of the required wavelength, 𝑡𝑡 in order to be confined for maximum efficiency. However, since address𝑄𝑄 𝑘𝑘 the design of a complete oscillator. This project was heights of the metal and dielectric𝜆𝜆 layers are fixed within a given carried out in collaboration with the ANEMS group, EPFL.

● Advanced NEMS Group, EPFL, Switzerland [1] K. W. Lee, et al., "Highly manufacturable Cu/low-k dual damascene process integration for 65 nm technology node," IITC Int. Interconnect Technology Conference (2004) 55.

130

ANNEXES Publications [1] J. Ansó, C. Dür, K. Gavaghan, H. Rohrbach, [12] D. Hengevoss, C. Baumgartner, G. Nisato, C. Hugi, N. Gerber, T. Williamson, E. Calvo, T. Balmer, ''Life Cycle Assessment and eco-efficiency of C. Precht, D. Ferrario, M. Dettmer, K. Rösler, prospective, flexible, tandem organic photovoltaic M. Caversaccio, B. Bell, S. Weber, module'', Solar Energy, 137, November 2016, ''A Neuromonitoring Approach to Facial Nerve 317-327 Preservation During Image-guided Robotic Cochlear Implantation'', Otology & Neurotology, 37 (1), [13] S. Heub, N. Tscharner, F. Kehl, P.S. Dittrich, January 2016, 89-98 S. Follonier, L. Barbe, ''A Simple Method for Automated Solid Phase Extraction of Water [2] L. Barbe, M. Alini, S. Verrier, M. Herrmann, Samples for Immunological Analysis of Small ''In Vitro Models to Mimic the Endothelial Barrier'', Pollutants'', Journal of Visualized Experiments, Alternatives to laboratory animals : ATLA, 43 (3), January 2016 December 2015, 34-36 [14] F. Isa, A. Jung, M. Salvalaglio, Y.-A Rojas Dasilva, [3] T. Binninger, E. Fabbri, A. Patru, M. Garganourakis, I. Marozau, M. Meduna, M. Barget, A. Marzegalli, J. Han, D.-F. Abbott, O. Sereda, R. Kötz, A. Menzel, G. Isella, R. Erni, F. Pezzoli, E. Bonera, M. Nachtegaal, T.-J. Schmidt, ''Electrochemical P. Niedermann, O. Sereda, P. Gröning, Flow-Cell Setup for In Situ X-ray Investigations'', F. Montalenzi, H. von Känel, ''Strain Engineering in Journal of The Electrochemical Society, Highly Mismatched SiGE/Si Heterostructures'', August 2016, 906-912 Material Science in Semiconductor Processing, August 2016 [4] L. Dümpelmann, D. Casari, A. Luu-Dinh, B. Gallinet, L. Novotny, ''Fourfold Color Filter Based on [16] F. Isa, M. Salvalaglio, Y. A. R. Dasilva, M. Meduňa, Plasmonic Phase Retarder'', ACS Photonics, 3, M. Barget, A. Jung, T. Kreiliger, G. Isella, R. Erni, February 2016, 190-196 F. Pezzoli, E. Bonera, P. Niedermann, P. Gröning, F. Montalent, H. von Känel, ''Highly Mismatched, [5] L. Dümpelmann, D. Casari, A. Luu-Dinh, B. Gallinet, Dislocation-Free SiGe/Si Heterostructures'', L. Novotny, ''Color rendering plasmonic aluminum Advanced Materials, 28, February 2016, 884–888 substrates with angular symmetry breaking'', ACS Nano, 9, November 2015, 12383-12391 [17] F. Isa, A. Jung, M. Salvalaglio, Y. Arroyo Rojas Dasilva, M. Meduna, M. Barget, T. Kreiliger, [6] S. Ehrbar, R. Perrin, M. Peroni, K. Bernatowicz, G. Isella, R. Erni, F. Pezzoli, E. Bonera, T. Parkel, I. Pytko, ''Respiratory motion- P. Niedermann, K. Zweiacker, A. Neels, management in stereotactic body radiation therapy'', A. Dommann, P. Gröning, F. Montalenti, Radiotherapy and Oncology, 121, October 2016, H. von Känel, ''Elastic and Plastic Stress Relaxation 328-334 in Highly Mismatched SiGe/Si Crystals'', MRS Advances, May 2016, 1-6 [7] O. Fernàndez, R. Ferrini, ''Modules LED à grande surface d’illumination (1re partie)'', Bulletin [18] F. Isa, M. Salvalaglio, Y. Arroyo Rojas Dasilva, Electrosuisse, 12/2016, December 2016 A. Jung, G. Isella, R. Erni, P. Niedermann, P. Gröning, F. Montalenti, H. von Känel, ''From [8] B. Gallinet, J. Butet, O.J.F. Martin, ''Numerical plastic to elastic stress relaxation in highly methods for nanophotonics: standard problems and mismatched SiGe/Si heterostructures'', Acta future challenges'', Laser and Photonics Reviews, 9, Materialia, (114), May 2016, 97-105 November 2015, 577-603 [19] F. Isa, M. Salvalaglio, Y. Arroyo Rojas Dasilva, [9] I. Glowacki, Z. Szamel, G. Wiosna-Salyga, ''Blue M. Meduna, M. Barget, A. Jung, T. Kreiliger, iridium complexes as electron trapping sites and G. Isella, R. Erni, F. Pezzoli, E. Bonera, efficient recombination centres in poly(N- P. Niedermann, P. Gröning, F. Montalenti, vinylcarbazole) seen by spectrally resolved H. von Känel, ''Highly Mismatched, Dislocation-Free thermoluminescence'', Synthetic Metals, 220, SiGe/Si Heterostructures'', Advanced Materials June 2016, 213-220 (Deerfield Beach, Fla.), December 2015, 884-888 [11] J. Gobet, P.-N. Volpe, M.-A. Dubois, ''Friction coefficient of diamond under conditions compatible with microelectromechanical systems applications'', Applied Physics Letters, 108 (12), March 2016, 1024103 1-4

131

[20] C. Jablonski, G. Grundler, U. Pieles, S. Stebler, [31] G. Nisato, D. Lupo, S. Ganz (2016), ''Inroduction to R. Oehrlein, Z. Szamel, ''Synthesis and Organic and Printed Electronics'', G. Nisato, Electrophoretic Properties of Novel Nanoparticles for D. Lupo, S. Ganz (Eds.), Organic and Printed Colored Electronic Ink and e-Paper Applications'', Electronics: Fundamentals and Applications, CHIMIA, 70, May 2016, 366-368 (pp. 1-9), CRC Press, 9789814669740

[21] B. Jost, A. Pommerol, O. Poch, B. Gundlach, [32] G. Nisato, D. Lupo, S. Ganz (2016), Organic and M. Leboeuf, M. Dadras, J. Blum, N. Thomas, Printed Electronics: Fundamentals and Applications, ''Experimental characterization of the opposition G.i Nisato, D. Lupo, S. Ganz (Eds.), CRC press, surge in fine-grained water-ice and high albedo ice 9789814669740 analogs'', Iracus, 264, January 2016, 109-131 [33] E. Oakton, D. Lebedev, A. Fedorov, F. Krumeich, [22] S. Karlen, J. Gobet, T. Overstolz, J. Haesler, J. Tillier, O. Sereda, T.J. Schmidt, C. Copéret, ''Non-destructive MEMS atomic vapor cells ''A simple one-pot Adams method route to characterization by Raman spectroscopy and image conductive high surface area IrO2 –TiO2 materials'', analysis'', April 2016 New Journal of Chemistry, February 2016

[23] L. Karlen, G. Buchs, E. Portuondo-Campa, [34] E. Oakton, J. Tillier, G. Siddiqi, Z. Mickovic, S. Lecomte, ''Efficient carrier-envelope offset O. Sereda, A. Fedorov, C. Copéret, ''Structural frequency stabilization through gain modulation via differences between Sb- and Nb-doped tin oxides stimulated emission'', Optics Express, 41 (2), and consequences for electrical conductivity'', January 2016, 376-379 New Journal of Chemistry, January 2016

[24] J. B. la Cour, S. Generelli, L. Barbe, O. T. Guenat, [35] L.-E. Perret-Aebi, J. Escarré Palou, G. Cattaneo, ''Low-cost disposable ALT electrochemical P. Heinstein, C. Ballif, ''Les panneaux solaires microsensors for in-vitro hepatotoxic assessment'', blancs - Une révolution pour l'intégration Sensors and Actuators B: Chemical, January 2016 architecturale'', Bulletin, March 2016, 41-43

[25] F. Lütolf, D. Casari, B. Gallinet, ''Low-cost and large- [36] E. Portuondo-Campa, G. Buchs, S. Kundermann, area strain sensors based on plasmonic fano L. Balet, S. Lecomte, ''Ultra-low phase-noise resonances'', Advanced Optical Materials, microwave generation using a diode-pumped solid- 4 (5), February 2016, 715-721 state laser based frequency comb and a polarization-maintaining pulse interleaver'', Optics [26] F. Lütolf, O.J.F. Martin, B. Gallinet, ''Fano-resonant Express, 23 (25), December 2015, 32441-32451 aluminum and gold nanostructures created with a tunable, up-scalable process'', Nanoscale, 7, [37] E. Portuondo‑Campa, J. Bennès, L. Balet, November 2015, 18179-18187 S. Kundermann, F. Merenda, G. Boer, S. Lecomte, ''Tuneable dual‑comb spectrometer based on [27] M. Makha, S. L. Fernandes, S. Jenatsch, commercial femtosecond lasers and reference cell T. Offermans, J. Schleuniger, J.-N. Tisserant, for optical frequency calibration'', Applied Physics B, A. C. Véron, R. Hany, ''A transparent, solvent-free 122 (7), June 2016, 1-9 laminated top electrode for perovskite solar cells'', Science and Technology of Advanced Materials, [38] M. Proença, F. Braun, J. Solà, A. Adler, M. Lemay, 17 (1), June 2016, 260-266 J.-P. Thiran, S. F. Rimoldi, ''Non-invasive monitoring of pulmonary artery pressure from timing information [28] G. G. Mandayo, J. Gonzalez-Chavarri, E. Hammes, by EIT: experimental evaluation during induced H. Newton, I. Castro-Hurtado, I. Ayerdi, H. Knapp, hypoxia'', Physiological Measurement, 37 (6), A. Sweetman, C. N. Hewitt, E. Castaño, ''System to May 2016, 713-726 control indoor air quality in energy efficient buildings'', Urban Climate, 14 (3), December 2015, [39] V. Revol, A.-M. Madrigal, ''Fast and reliable non- 475-485 destructive of composites'', JEC composites magazine, 106, July 2016, 53-54 [29] J. Mayer, B. Gallinet, T. Offermans, R. Ferrini, ''Diffractive Nanostructures for Enhanced Light- [40] V. Revol, C. Hanser, M. Krzemnicki, Harvesting in Organic Photovoltaic Devices'', Optics ''Characterization of pearls by X-ray phase contrast Express, 24, January 2016, A358-A373 imaging with a grating interferometer'', Case Studies in Nondestructive Testing and Evaluation, 6, June [30] K. Mondon, M. Dadras, J. Tillier, S. Gavard Molliard, 2016, 1-7 ''Influence of the Macro- and/or Microstructure of Cross-Linked Hyaluronic Acid Hydrogels on the Release of Two Model Drugs'', Journal of Glycobiology, 5 (1), August 2016

132

[41] J.-W. Schüttauf, M.-A. Modestino, E. Chinello, [45] J. Tillier, T. Binninger, M. Garganourakis, A. Patru, D. Lambelet, A. Delfino, D. Dominé, A. Faes, E. Fabbri, T.-J. Schmidt, O. Sereda, M. Despeisse, J. Bailat, D. Psaltis, C. Moser, ''Electrochemical Flow-Cell Setup for In Situ and C. Ballif, ''Solar-to-Hydrogen Production at 14.2% Operando X-ray Experiments'', Journal of The Efficiency with Silicon Photovoltaics and Earth- Electrochemical Society, August 2016 Abundant Electrocatalysts'', Journal of The Electrochemical Society, 163 (10), August 2016, [46] E. Türetken, F. Benmansour, B. Andres, 1177-1181 P. Głowacki, H. Pfister, P. Fua, ''Reconstructing Curvilinear Networks using Path Classifiers and [42] E. Skobel, C. Knackstedt, A. Martinez-Romero, Integer Programming '', IEEE Transactions on D. Salvi, C. Vera-Munoz, A. Napp, J. Luprano, Pattern Analysis and Machine Intelligence, March R. Bover, S. Glöggler, B. Bjarnason-Wehrens, 2016 N. Marx, A. Rigby, J. Cleland, ''Internet-based training of coronary artery patients: the Heart Cycle [47] N. Vukovic, J. Radovanovic, V. Milanovic, Trial'', Heart and Vessels, October 2016, D. L. Boiko, ''Analytical expression for Risken- Nummedal-Graham-Haken instability threshold in [43] Y. Stauffer, E. Olivero, S. Arberet, E. Onillon, quantum cascade lasers'', Optics Express, 24 (23), L. Von Allmen, D. Lindelöf, C. Mahmed, ''NeuroCool: November 2016, 26911-26929 an adaptive, model-predictive control algorithm for ventilation and air conditioning systems'', May 2016, [48] X. Wang, E. Türetken, F. Fleuret, P. Fua, ''Tracking Interacting Objects Using Intertwined Flows'', IEEE [44] Y. Stauffer, E. Olivero, S. Arberet, E. Onillon, Transactions on Pattern Analysis and Machine L. Von Allmen, D. Lindelof, C. Mahmed, ''NeuroCool: Intelligence, December 2015 field tests of an HVAC control algorithm'', May 2016

Proceedings [1] C. Allebé, A. Descoeudres, L. Barraud, J. Levrat, [7] M.-C. Bay, R. Eckert, E. Grenet, R. P. Stanley, M. Despeisse, C. Ballif, et al., ''Hitting the symbolic E. Franzi, H. Heinzelmann, C.-I. Ozdemir, H. Altug, 30% efficiency threshold of Si-based photovoltaics'', L. A. Dunbar, ''Integrated angular tracking and PVSEC- Asia, Singapour (SG), 24-28 October 2016 plasmonic membrane surfaces for a point of a care refractive index sensor'', Photonics West, [2] S. Arberet, Y. Stauffer, M. Boegli, ''Centralized San Francisco (US), January 2016 energy optimization at district level'', Energycon 2016, Leuven (BE), April 2016 [8] N. Blondiaux, R. Pugin, G. Andreatta, L. Tenchine, S. Dessors, P. F. Chauvy, M. Diserens, [3] L. Augel, I.-A. Fischera, L. A. Dunbar, S. Bechler, P. Vuillermoz, ''Fabrication of Functional Plastic A. Berrier, D. Etezadi, F. Hornung, ''Plasmonic Parts using Nanostructured Stainless Steel Mold nanohole arrays on Si-Ge heterostructures: An Inserts'', 4M/IWMF2016, The Global Conference on approach for integrated biosensors'', Photonics Micro Manufacture, Copenhaguen (DK), West, San Francisco (US), January 2016 13-15 September 2016

[4] L. Barraud, M. Despeisse, S. DeWolf, B. Paviet, [9] M. Boegli, Y. Stauffer, K. Klobut, I. Hoyo, A. Descoeudres, C. Ballif, ''Implementation of A. Samperio, ''ICT-technologies for energy efficient intrinsic amorphous silicon layer into silicon buildings and districts'', 41st IAHS World Congress, heterojunction solar cells'', Silicon PV 2016, Sustainability and Innovation for the Future, Chambéry (FR), 07-09 March 2016 Albufeira, Algarve (PO), 13-16 September 2016

[5] G. Basset, D. Marinov, C. Hofer, S. Cattaneo, [10] E. Bozzo, T. Burch, A. Ciapponi, C. Heese, P. Volet, B. Gallinet, M. Schnieper, R. Ferrini, ''Extra A. Hoogerwerf, N. Lan, A. Neronov, V. Revol, flat, flexible and disposable endoscope for lateral R. P. Stanley, ''LIC and LID considerations in the imaging'', Photonics West 2016, design and implementation of the MEMS laser San Francisco (US), 13-18 February 2016 pointing mechanism for the EUSO UV laser altimeter'', Pacific Rim Laser Damage 2016: Optical [6] G. Basset, G. Quaranta, F. Lütolf, L. Davoine, Materials for High-Power Lasers, Yokohama (JP), M. Schnieper, ''Subwavelength gratings for OVDs 18 May 2016 from local interactions to using light-transport'', Optical Document Security (ODS) 2016, [11] F. Braun, M. Proença, J. Solà, M. Lemay, San Francisco (US), 10-12 February 2016 J.-P. Thiran, ''EIT-Derived Stroke Volume is Impaired by Belt Displacement'', ICEBI/EIT 2016, Stockholm (SE), June 2016

133

[12] F. Braun, A. Lemkaddem, V. Moser, S. Dasen, [21] M. Despeisse, S. Essig, C. Allebe, M. A. Steiner, O. Grossenbacher, ''Camera-Based Respiration J. F. Geisz, B. Paviet-Salomon, S. Ward, Monitoring'', BMT 2016, Basel (CH), October 2016 A. Descoeudres, L. Barraud, V. LaSalvia, N. Badel, J. Champliaud, A. Faes, J. Levrat, A. Tamboli, [13] G. Bugnon, ''White photovoltaic module technology P. Stradins, D. L. Young, C. Ballif, ''GaInP/Silicon development for building integration'', CTI Micro- dual junction solar cell with 29.8 % certified record Nano Event 2016, Basel (CH), 30 June 2016 efficiency'', 14e Congrès Photovoltaïque National, Berne (CH), 22-23 February 2016 [14] G. Cattaneo, P. Heinstein, K. Söderström, C. Ballif, L.-E. Perret-Aebi, ''Integration of Photovoltaic [22] M. Despeisse, A. Faes, A. Lachowicz, N. Badel, Module into Building Facade'', EUPVSEC 2016, J. Champliaud, J. Levrat, H. Watanabe, P. Papet, Munich (DE), 20-24 June 2016 B. Strahm, Y. Yao, T. Soderstrom, C. Ballif, ''Advanced Metallization and Interconnection [15] O. Chételat, J. Wacker, M. Rapin, J.-A. Porchet, Technologies for Silicon Heterojunction Solar Cells C. Meier, A. Fahli, E. Haenni, L. Caldani, and Modules'', 14e Congrès Photovoltaïque C. Mancuso, R. Paradiso, L. Arneth, ''New National, Berne (CH), 22-23 February 2016 biosensors and wearables for cardiorespiratory telemonitoring'', Biomedical and Health Informatics [23] M. Despeisse, L. Barraud, B. Paviet-Salomon, (BHI), 24-27 February 2016 A. Descoeudres, C. Allebé, J. Levrat, F. Debrot, A. Lachowicz, J. Geissbuhler, J. Champliaud, [16] G. Christmann, D. Sacchetto, L. Sansonnens, A. Faes, N. Badel, L.-L. Senaud, L. Curvat, L. A. A. Duval, M. Creatore, W. M. M. Kessels, J. Horzel, S. Nicolay, D. Sachetto, G. Christmann, G. Wahli, L. Barraud, A. Descoeudres, L. Sansonnens, ''Advances in Solar Cells B. Paviet-Salomon, N. Badel, B. Strahm, implementing Silicon Heterojunction Passivating M. Despeisse, S. Nicolay, C. Ballif, ''Silicon Contacts'', Asian PVSEC 2016, Singapore, heterojunction solar cells using aluminum doped October 2016 zinc oxide as back contact: sputtering and ALD'', EUPVSEC 2016, Munich (DE), 20-24 June 2016 [24] M. Despeisse, A. Faes, N. Badel, A. Lachowicz, J. Geissbuhler, J. Champliaud, F. Debrot, B. Strahm, [17] S. Cloix, T. Pun, D. Hasler, ''Real-time Scale- T. Söderström, C. Ballif, ''Metallization and invariant Object Recognition from Light Field Interconnection Technologies for Silicon Imaging'', 11th Joint Conference on Computer Heterojunction Solar Cells'', Asian PVSEC 2016, Vision, Imaging and Computer Graphics Theory and Singapore, October 2016 Applications - VISAPP, Rome (IT), 27-29 February 2016, 336–344 [25] L. Dümpelmann, A. Luu-Dinh, F. Lütolf, B. Gallinet, L. Novotny, ''Plasmonic Color Filters for Industrial [18] F. Cosandier, F. Barrot, J. Kruis, L. Voruz, G. Musy, Applications'', META'16 International Conferences S. Droz, L. Giriens, W. Glettig, E. Dominé, ''A three on metamaterials, photonic crystals and plasmonics, device silicon based platform for micro-assembly July 2016 and characterization'', EUSPEN, Nottingham (UK), 30 May-3 June 2016 [26] A. Faes, M. Despeisse, J. Levrat, J. Champliaud, A. Lachowicz, N. Badel, J. Geissbühler, [19] A. Dan Tarniceriu, J. Parák, P. Renevey, H. Watanabe, T. Söderström, Y. Yao, J. Ufheil, M. Bertschi, R. Delgado-Gonzalo, I. Korhonen, P. Papet, B. Strahm, J. Hermans, A. Tomasi, ''Towards 24/7 Continuous Heart Rate Monitoring'', J. Fleischer, P. V. Fleischer, C. Ballif, ''Review on 38th Annual International Conference of the IEEE Metallization and Interconnection for Si Engineering in Medicine and Biology Society Heterojunction Solar Cells'', EUPVSEC 2016, (EMBC'16), Orlando (US), 16-20 August 2016, Munich (DE), 20-24 June 2016 186-189 [27] S. Fallet, L. Mirmohamadsadeghi, V. Moser, [20] R. Delgado-Gonzalo, A. Lemkaddem, P. Renevey, F. Braun, J.-M. Vesin, ''Real-Time Approaches for E.M. Calvo, M. Lemay, K. Cox, D. Ashby, Heart Rate Monitoring using Imaging J. Willardson M. Bertschi, ''Real-time Monitoring of Photoplethysmography'', Computing in Cardiology Swimming Performance'', 38th Annual International 2016, Vancouver (CA), 11-14 September 2016 Conference of the IEEE Engineering in Medicine and Biology Society (EMBC'16), Orlando (US), 16- [28] S. Fallet, V. Moser, F. Braun, J.-M. Vesin, ''Imaging 20 August 2016, 4743-4746 Photoplethysmography: What are the Best Locations on the Face to Estimate Heart Rate?'', Computing in Cardiology 2016, Vancouver (CA), 11-14 September 2016

134

[29] O. Fernandez, ''Ultrathin direct-lit LED module with [39] L. Lombardo, S. Generelli, N. Tscharner, beam shaping thin-film optics'', LED Symposium D. Migliorelli, N. Donato, ''A compact electronic 2016, Bregenz (AT), 20-22 September 2016 interface for electrochemical sensors'', IEEE Sensors Applications Symposium 2016, [30] R. Ferrini, O. Fernandez, S. Junger, K. Keränen, Catania (IT), 20-22 April 2016, 429-432 L. Barruetabeña, A. von Mühlenen, T. Dreyfus, ''Large Area Intelligent Efficient High Quality Solid- [40] A. Luu-Dinh, G. Basset, F. Zanella, C. Schneider, state Lighting'', OSA Light, Energy and the ''Application of Photocurable Polymers for Casting of Environment Congress, Leipzig (DE), micro- and nastructures'', PRN 2016, Brugg- 14-17 November 2016 Windisch (CH), 19-20 May 2016, 17

[31] B. Gallinet, ''Highly contrasted structural colors for [41] N. Marjanović, F. Zanella, J. Disser, A. Mustaccio, industrial applications using the Fano lineshape'', J. Schleuniger, G. Nisato, R. Ferrini, ''Hybrid META'16 International COnference on electronics systems by CSEM'', LOPEC 2016, Metamaterials, Photonic Crystals and Plasmonics, Munich (DE), 5-7 April 2016 July 2016 [42] N. Marjanović, J. Disser, F. Zanella, J. Schleuniger, [32] J. Geissbühler, J. Werner, S. Martin de Nicolas, A. Mustaccio, R. Ferrini, M. Schnieper, E. Assaf, L. Barraud, A. Hessler-Wyser, A. Lachowicz, ''Sun sensor printed on flexible circuit board for pico- D. Sacchetto, M. Despeisse, S. Nicolay, A. Tomasi, satellites'', 2nd Space Passive Component Days B. Niesen, S. De Wolf, C. Ballif, ''High-Efficiency (SPCD), ESA/ESTEC, Noordwijk (NL), Silicon Heterojunction Solar Cells with Molybdenum 12-14 October 2016 Oxide Hole Collector'', Silicon PV Conference 2016, Chambéry (FR), 07-09 March 2016 [43] L. Mirmohamadsadeghi, S. Fallet, V. Moser, F. Braun, J.-M. Vesin, ''Real-Time Respiratory Rate [33] M. Gresil, V. Revol, S. Consuelo Garcea, Estimation using Imaging Photoplethysmography'', R. Chemama, G. Kanderakis, K. Kitsianos, Computing in Cardiology 2016, Vancouver (CA), I. Koulalis, M.-O. Sauer, H. Trétout, A.-M. Madrigal, 11-14 September 2016 ''Comparison between Traditional Non-Destructive Techniques and Phase Contrast X-Ray Imaging [44] V. Musolino, P.-J. Alet, L.-E. Perret-Aebi, C. Ballif, applied to Aeronautical Carbon Fibre Reinforced W. Martin, A. G. Azar, R. H. Jacobsen, V. Efthymiou, Polymer'', 19th World Conference on Non- G. Graditi, N. Henze, M. Juel, D. Moser, F. Nemac, Destructive Testing, Munich (DE), 13-17 June 2016 M. Pierro, E. Rikos, S. Tselepis, G. Yang, ''Forecasting and observability: critical technologies [34] R. Jose James, G. Spinola Durante, M. Fretz, for system operations with high PV penetration'', J. Gobet, C. Bosshard, ''Miniature Long-Term EUPVSEC 2016, Munich (DE), 20-24 June 2016 Implantable Micro-packages'', Biointerfaces International 2016, Zurich (CH), 23-25 August 2016 [45] V. Musolino, P.-J. Alet, L.-E. Perret-Aebi, C. Ballif, W. Martin, A. G. Azar, R. H. Jacobsen, V. Efthymiou, [35] R. Jose James, J. Gobet, G. Spinola Durante, G. Graditi, N. Henze, M. Juel, D. Moser, F. Nemac, M. Fretz, ''A novel packaging technology for M. Pierro, E. Rikos, S. Tselepis, G. Yang, miniaturization of active long-term implantable'', ''Managing the quality of electricity supply under high ESTC 2016, Grenoble (FR), 13-16 September 2016 penetration of photovoltaic generation with load shifting and inverter control'', EUPVSEC 2016, [36] V. Kopta, D. Barras, C. Enz, ''A 420 μW, 4 GHz Munich (DE), 20-24 June 2016 Approximate Zero IF FM-UWB Receiver for Short- Range Communications'', 2016 IEEE Radio [46] V. Musolino, P.-J. Alet, L.-E. Perret-Aebi, C. Ballif, Frequency Integrated Circuits Symposium (RFIC W. Martin, A. G. Azar, R. H. Jacobsen, V. Efthymiou, 2016), San Francisco (US), 22-24 May 2016 G. Graditi, N. Henze, M. Juel, D. Moser, F. Nemac, M. Pierro, E. Rikos, S. Tselepis, G. Yang, ''Effective [37] Y. Lammen, A. Reinacher, I. Kjelberg, S. Droz, Integration of PV source by means of DC micro- H. Jakob, F. Graf, Friederike, A. Alfred, ''SOFIA grids'', EUPVSEC 2016, Munich (DE), Secondary Mirror Mechanism Heavy Maintenance 20-24 June 2016 and Improvements'', SPIE Astronomical Telescopes + Instrumentation, Edinburgh (GB), 26 June 2016 [47] G. Nisato, ''Towards next generations OPV: key results of the Sunflower EU project'', International [38] S. Lani, Y-J. Regamey, D. Bayat, E. Onillon, ''MEMS Conference on Flexible and Printed Electronics, scanner integrating a position control system'', Yonezawa City (JP), 6-8 September 2016 Photonics West 2016, San Francisco (US), February 2016

135

[48] B. Paviet-Salomon, A. Tomasi, L. Barraud, [56] C. Rojas, D. Piguet, J-D. Decotignie, ''Poster: Single D. Lachenal, A. Descoeudres, N. Badel, Packet Link Estimation'', International Conference H. Watanabe, A. Faes, G. Christmann, S. Nicolay, on Embedded Wireless Systems and Networks, S. De Wolf, B. Strahm, M. Despeisse, C. Ballif, Graz (AT), 15-17 February 2016, 263-264 ''Development of photolithography-free back- contacted silicon heterojunction solar cells with [57] E. Scolan, R. Smajda, G. Weder, G. Voirin, efficiency >22 %'', 14e Congrès Photovoltaïque R. Pugin, Y. Michou, M.-C. Merienne, M. Lyonnet, National, Berne (CH), 22-23 February 2016 A. Winzer, ''Integration of New Sol-Gel Films Into Optical Chemical Sensors'', Eurosensors 2016, [49] B. Paviet-Salomon, J. Levrat, V. Fakhfouri, Y. Pelet, Elsevier Ltd, Budapest (HU), 5-7 September 2016 N. Rebeaud, M. Despeisse, C. Ballif, ''Accurate determination of PV cells and modules peak power [58] K. Söderström, ''Colored laminates for architectural from their I-V characteristics'', EUPVSEC, building integration'', PV Days 2016, Halle (DE), Munich (DE), 20-24 June 2016 27-28 September 2016

[50] L.-E. Perret-Aebi, P- Duvoisin, J. Escarré, C. Ballif, [59] K. Söderström, L.-E. Perret-Aebi, P. Heinstein, ''Solarstratos: pushing the solar technology to the ''White solar modules: colored laminates for edge of space'', Asian PVSEC, Singapore (SG), architectural building integration '', Photovoltaic, 24-28 October 2016 colors and innovation, Copenhague (DK), 08 December 2015 [51] L.-E. Perret-Aebi, ''When PV modules are becoming real building elements: White solar module, a [60] P. Spanoudakis, P. Schwab, E. Onillon, L. Lisowski, revolution for BIPV'', Polymers in Photovoltaics P. Theurillat, O. Chételelat, C. Meier, ''Operational 2016, Dusseldorf (DE), 02-03 February 2016 Performance of MOSFIRE with Its Cryogenic Configurable Slitmask Unit at the W. M. Keck [52] M. Pons, T-C. Le, C. Arm, D. Séverac, J-L. Nagel, Observatory'', SPIE 2016 Astronomical Telescopes M. Morgan, S. Emery, ''Sub-threshold latch-based & Instrumentation, Edinburgh (UK), 26 June 2016 icyflex2 32-bit processor with wide supply range operation'', 42nd European Solid-State Circuits [61] Y. Stauffer, D. Ferrario, E. Onillon, A. Hutter, ''Power Conference - ESSCIRC, Lausanne (CH), monitoring based photovoltaic installation fault 12-15 September 2016, 41-44 detection'', ICRERA, Palermo (IT), 22-25 November 2015 [53] M. Proença, F. Braun, E. Muntané, J. Solà, A. Adler, M. Lemay, J.-P. Thiran, S. F. Rimoldi, ''Non-invasive [62] A. Vorobyov, E. Daskalaki, C. Hennemann, Monitoring of Pulmonary Artery Pressure at the J.-D. Decotignie, ''Human Physical Condition RF Bedside'', IEEE EMBC 2016, Orlando (US), August Sensing at THz range'', EMBS 2016, Orlando (US), 2016 August 2016, 4

[54] M. Proença, F. Braun, J. Solà, A. Adler, M. Lemay, [63] A. Vorobyov, C. Henemann, P. Dallemagne, ''Liquid J.-P. Thiran, S. Rimoldi, ''Pulmonary Artery Pressure Metal Based Antenna for Wearable Electronic'', by EIT: Experimental Evaluation'', ICEBI/EIT 2016, EuCAP 2016, Davos (CH), May 2016, 4 Stockholm (SE), June 2016 [64] A. Walter, J. Werner, J. P. Seif, M. Bräuninger, [55] V. Revol, K. Kitsianos, M. Gresil 3, H. Trétout, S.-J. Moon, D. Sacchetto, C. Allebé, M. Despeisse, G. Kanderakis, M.-O. Sauer, I. Koulalis, S. De Wolf, S. Nicolay, B. Niesen, C. Ballif, ''High T. Stadelmann, G. Tsamasphyros, A.-M. Madrigal, efficiency perovskite/crystalline silicon tandem solar ''Non-destructive evaluation, inspection and testing cells with active area >1cm2'', International of primary aeronautical composite structures using Conference on Hybrid and Organic Photovoltaics grating-based phase contrast x-ray imaging'', (HOPV), Swansea (UK), 28 - 01 June 2016 7th International Symposium on NDT in Aerospace,

Bremen (DE), 16-18 November 2015, We.5.A.3

136

Conferences and Workshops P.-J. Alet, L. Bally, E. Olivero, F. Schmidhalter, R. Ferrini, ''Wearable light management system for light C. S. Ellefsen, L.-E. Perret-Aebi, A. Hutter, C. Ballif, ''Low- stimulated healing of large area chronic wounds'', Photonics voltage grid assessment for demand-response systems'', West 2016, San Francisco (US), February 2016 2015 SCCER-FURIES Annual Conference, Lausanne (CH), R. Ferrini, ''Large Area Solid State Intelligent Efficient 25 November 2015 Luminaires'', LASSIE-FP7 Workshop, L'Aquila (IT), G. Basset, ''Extra flat, flexible and disposable endoscope for July 2016 lateral imaging '', Photonics West 2016, San Francisco (US), R. Ferrini, ''Light Management at CSEM'', SNI Workshop, February 2016 Basel (CH), March 2016 C. Bosshard, ''Sensoroberflächen: von der Technologie zum R. Ferrini, ''Large Area Intelligent Efficient High Quality Markt'', Annual Event 2016: Oberflächen: Solid-state Lighting '', OSA Light, Energy and the Differenzierungsfaktor & Innovationsträger, Zug (CH), Environment Congress, Leipzig (DE), November 2016 22 November 2016 B. Gallinet, ''Plasmonics for optical security and filters'', C. Bosshard, G. Basset, D. Marinov, C. Hofer, S. Cattaneo, Swiss Nano Convention, June 2016 P. Volet, B. Gallinet, R. Ferrini, M. Schnieper, ''Extra-flat, flexible and disposable endoscope for lateral imaging'', J. Geissbühler, J. Werner, S. Martin de Nicolas, L. Barraud, Microphotonics 2016, Berlin (DE), 11-13 October 2016 A. Hessler-Wyser, A. Lachowicz, D. Sacchetto, G. Christmann, M. Despeisse, S. Nicolay, A. Tomasi, C. Bosshard, ''Innovative solutions for light management B. Niesen, S. De Wolf, C. Ballif, ''High-Efficiency Silicon and imaging for medical applications'', EPIC Photonics Tech Heterojunction Solar Cells with Molybdenum Oxide Hole Watch, Berlin (DE), 11-13 October 2016 Collector'', Silicon PV 2016, Chambéry (FR), M. Dadras, ''MEMS reliability for harsh environment & failure 7-9 March 2016 analysis'', CMI Day, Lausanne (CH), 3 May 2016 M. Höchemer, ''Neuronale Netze in der Praxis: Einführung M. Dadras, ''Application de l'AFM pour assurer la fiabilité en und Anwendung in der Qualitätskontrolle'', Swiss microtechinique'', AFM meeting, Bordeaux (FR), Mechatronics Day 2016, Winterthur (CH), 09 June 2016 17-19 November 2016 R. J. James, G. Spinola Durante, M. Fretz, J. Gobet, L. Duempelmann, D. Casari, A. Luu-Dinh, G. Basset, C. Bosshard, S. Mohrdiek, A. Steinecker, ''A novel B. Gallinet, M. Schnieper, ''Plasmonic Color Filters for packaging technology for miniature long-term active Industrial Applications'', Gordon Conference Plasmonics & implants'', EPoSS Annual Forum 2016, Lecce (IT), Nanophotonics, Sunday River, Maine (US), 8-15 July 2016 13-14 January 2016 A. Faes, M. Despeisse, J. Levrat, J. Champliaud, J. Kaufmann, ''Using industrial ps-pulsed laser for micro- A. Lachowicz, N. Badel, J. Geissbühler, H. Watanabe, machining'', Posalux Symposium on Glass Micro Machining, T. Söderström, Y. Yao, J. Ufheil, P. Papet, B. Strahm, Biel (CH), 23 November 2015 J. Hermans, A. Tomasi, J. Fleischer, P.V. Fleischer, L. Kiener, ''Additive Manufacturing at CSEM'', Swissmem T. Takahashi, C. Ballif, ''Advanced metallization enabled by Swiss Space Industries Group (SSIG) Mid-Year Assembly, multi-wire interconnection foor silicon heterojunction cells Delémont (CH), 23 June 2016 and modules'', 6th Metallization Workshop, Konstanz (DE), 02-03 May 2016 I. Kjelberg, ''Thermo-Mechanical Design For Space Optics'', Space Optics Instruments Technology, Poltu Quatu (IT), A. Faes, M. Despeisse, J. Levrat, J. Champliaud, 12 May 2016 A. Lachowicz, J. Geissbühler, N. Badel, J. Horzel, J.-W. Schüttauf, C. Ballif, ''Silicon heterojunction G. Kotrotsios, ''in Katalysatoren der Gesundheitsregion'', metallization and modules approaches'', Hercules Erster Plattform Gesundheit der Hauptstadtregion Schweiz, Workshop, Berlin (DE), 10-12 October 2016 Fribourg (CH), 17 November 2015 J. Farserotu, ''From BAN to SmartBAN in the future IoT'', J. B. La Cour, D. Migliorelli, S. Prill, S. Generelli, L. Barbe, SmartCOM 2016, Oulu (Fi), 17 May 2016 C. Duschl, O. Guenat, ''Electrochemical sensors for hepatotoxic assessment in a modular microfluidic system'', O. Fernandez, ''Efficient high quality light management'', Hemibio Symposium, Leuven (BE), 2-3 December 2015 Intelligent efficient solid-state lighting, Muttenz (CH), December 2016 N. Marjanović, J. Disser, F. Zanella, J. Schleuniger, A. Mustaccio, R. Ferrini, M. Schnieper, E. Assaf, ''Hybrid R. Ferrini, ''(O)LEDs: Innovative solutions for high quality integration approach; case study with sun sensor for pico- large area solid state lighting'', Forum LED Europe, satellites '', 10th ESA Round Table on Micro and Nano Lyon (FR), December 2015 Technologies for Space Applications, ESA/ESTEC, R. Ferrini, ''LASSIE-FP7 Highlights'', Intelligent efficient Noordwijk (NL), 8-11 November 2016 solid-state lighting, Muttenz (CH), December 2016

137

C. Martin Olmos, J. K. Gimzewski, ''Self Organization and P. A. Schmid, ''Industrial Approach: Automated Fault Emergence of Dynamical Structures in Atomic Switch Detection with 1-Dimensional Sensory Signals for Quality Networks'', UCSB 2016, Santa Barbara (US), Inspection'', Swissphotonics - Machine Learning Workshop, 16-17 May 2016 Neuchâtel (CH), 1 February 2016 V. Musolino, C. Brivio, ''Electrochemical Storage Systems: J.-W. Schüttauf, J. Bailat, C. Ballif, et al., ''Device Characterization and Applications'', Politecnico di Milano, development from industry perspective: Photovoltaics'', Milano (IT), June 2016 Pathways to Solar-Hydrogen Technologies, Leiden (NL), 13-17 June 2016 G. Nisato, ''CSEM submicron printable technologies'', Micronarn alpine Meeting, Villars-sur-Ollon (CH), A. Steinecker, P. Schmid, ''Monitoring vital signs with 1-2 February 2016 implantable pressure sensors'', COMPAMED High-tech Forum by IVAM, Düsseldorf (DE), 17 November 2015 G. Nisato, et al., ''Towards solutions processable, tandem, OPV: key results of the Sunflower EU project'', ISFOE, A. Steinecker, S. Widmer, M. Lützelschwab, P. Schmid, Thessaloniki (GR), 4-7 July 2016 ''Integration of Electronics into Textiles'', Smart Systems Integration 2016, Munich (DE), 09-10 March 2016 G. Nisato, ''Building blocks for Printed, Flexible Sensors'', Sensors, San Jose (US), 27-29 June 2016 A. Steinecker, S. Widmer, M. Lützelschwab, P. Schmid, ''Integration of Electronics in Textiles'', COMPAMED G. Nisato, ''Towards high performance OPV and related Hightech Forum 2016, Düsseldorf (DE), technologies: experiences from the Sunflower FP7 project.'', 14-17 November 2016 European Photovoltaic Cluster General Assembly, Barcelona (ES), 25-26 May 2016 R. Vanta, S. Abolhassani, M. Dadras, ''Examination of semiconducting properties of oxides in the vicinity of metal- B. Paviet-Salomon, A. Tomasi, D. Lachenal, oxide interfaces for selected alloys'', EMC2016, Lyon (FR), A. Descoeudres, L. Barraud, G. Christmann, N. Badel, 2 September 2016 S. Nicolay, M. Despeisse, S. De Wolf, B. Strahm, C. Ballif, ''Development of photolitography-free, large-area, back- K. Veideeswaran, Y. Zangui, O. Sereda, H. Saudan, contacted silicon heterojunction solar cells'', IBC Workshop L. Kiener, M. Dadras, ''Effect of processing history on Freiburg, Freiburg-im-Breisgau (DE), 04-05 November 2015 properties of additively manufatured 17-4PH steel'', EMC2016, Lyon (FR), 2 September 2016 B. Paviet-Salomon, ''PUNCH: ProdUction-ready, Next generation back-Contacted silicon Heterojunction solar cells A. Walter, ''Production technology to achieve low Cost High and modules'', CTI Micro-Nano Event 2016, Basel (CH), EfficiencyphOtovoltaicPerovskite Solar cells'', European 30 June 2016 Photovoltaic Cluster Workshop, Barcelone (ES), 25-27 May 2016 C. Piguet, ''Some Notes about the History of Low-Power'', International Workshop on Porwer and Timing Modeling, A. Walter, ''Development of functional layers for Perovskite Optimization and Simulation - PATMOS, Bremen (DE), solar cells within PLIANT'', European Photovoltaic Cluster 21-23 September 2016 Workshop, Barcelone (ES), 25-27 May 2016 P. A. Schmid, ''Neuronale Netzwerke: Revolution im Alltag'', TecNight by satw, Wohlen (CH), 1 December 2016

Publicly Funded Projects 3R Research Foundation EndothelialChip – In vitro micro-vascular model mimicking the endothelial barrier Switzerland

Canton of Neuchâtel NECAN – Développement de solutions PV pour le bâtiment

CCEM – Electricity CONNECT-PV – Conductive transparent electrodes: a competence cluster for highly efficient thin film photovoltaics

Eurostars ALBIREO – Low-power impulse-radio ultra-wideband module for remote control and keyless access

Eurostars CIT-MSA – Disposable sensor array for bioprocess monitoring in disposable bioreactors

Eurostars DEBORAH – Optimization of design and control of district level thermal energy systems

Eurostars HICOLA – Highly coherent laser for coherent communication and sensing

Eurostars LAMMIC – Production of laminin-521™ coated microcarriers for stem cell expansion

Eurostars PERISCOPE – NILM for Industrial and Public Buildings

138

Eurostars POLYOMINO – All-in-one platform for the design and the production of customized free-form OPV modules

Eurostars SWIRSENSE – Short-wavelength infrared tunable laser for sensing applications

Eurostars WBPS – Wearable blood pressure system

Forschung Aargau SECTEX – Development of hidden, shape and colour encoded micro flakes for robust textile authentication

Forschung Aargau SENSORSURFACE – Colorimetric sensor structures on COP polymer foils for bioassays

Hasler Fondation EYEWALK – Mobile ultra-light vision system for the handicapped

Nano-Tera 3D-SENSTEX – 3D Large scale Integration of sensors into smart textile

Nano-Tera BREATHE – High throughput transducers to measure in-vitro muscle contraction

Nano-Tera FLUSITEX – Developing a wound dressing with an integrated sensing layer for non-invasive wound monitoring using fluorescence lifetime detection

Nano-Tera HEARRESTORE – Image-guided micro surgery for hearing aid implantation

Nano-Tera ICYSOC – Inexact sub-near-thershold systems for ultra-low power devices

Nano-Tera MINIHOLTER – Photoplethysmography-based ambulatory heart rate monitor device embedded into a smart watch

Nano-Tera NAMBP – Night ambulatory monitoring of blood pressure

Nano-Tera NEWBORNCARE – Reducing the false alarms of neonate vital sign monitoring via a computer vision-based approach to accurately measure heart and respiratory rates in a contactless way

Nano-Tera OBESENSE – Monitoring the consequences of obesity

Nano-Tera PARATEX – Novel smart textile to non-invasively monitor pressure, oxygenation and perfusion of tissue to prevent pressure ulcers in paraplegics

Nano-Tera RASECAN – A new tool for rapid sensing of cancer by parallel AFM

Nano-Tera SHINE – Solar to hydrogen integrated nano-electrolysis

Nano-Tera SPINEREPAIR – Hybrid CMOS-polymer neural interfaces for restoration of sensorimotor function after spinal cord injury

Nano-Tera SYNERGY – Realizing photovoltaic energy harvesting systems based on tandem solar cells with efficncy beyond that achievable with state-of-the-art industrial single-junction cells

Nano-Tera SYNERGY – Systems for ultra-high performance photovoltaic energy harvesting

Nano-Tera WISESKIN – Wise Skin for tactile prosthetics

NCCR – PlanetS ASTROCAL – CALibration source for ASTROnomical spectrometers

SATW IMMOCOATING – Sensor coating for immobilisation of colorimetric bioassays on absorbance enhancing nano-gratings

SATW META-SURFACES – Meta-surfaces−large-area metasurfaces for planar light management

SNI – Nanoargovia NFOPTICS – Uniaxilly oriented anisotropic electrospun nano-fibrous layers for optical applications

SNI – Nanoargovia SICSTRUCT – Physical studies of SiC nano-trench-MOSFETs

SNSF ACTIVE INTERFACES – Holistic strategy to simplify standards, assessments and certifications for building integrated photovoltaics

SNSF CELLSTRATES – Smart engineered substrates for high throughput mechanobiology

139

SNSF EOCOMB – Broadband optical frequency comb source based on electro-optic modulation for resolved comb line precision spectroscopy

SNSF FASTIQ – Ultrafast infrared emitter on a quantum cascade

SNSF HEMODYNAMEIT – Subject-adapted 3D dynamic bio-impedance models: application to blood pressure monitoring

SNSF MAXIN – Hybrid PVT collector

SNSF MUSCLELAB – Silicon elastomer structures to measure mechanical properties of muscle models

SNSF NOVIPIX – Novel integrated pixel X-ray detectors

SNSF / program NRP 70 PV2050 – Novel generation perovskite devices

SNSF / program NRP 70 PV2050 – Photovoltaics into the built environment: from semi-transparent PV glazing to high efficiency roof integrated solutions

Swiss Federal Office of DCSMART – Distribution en courant continu dans les réseaux intelligents Energy (SFOE)

Swiss Federal Office of PROSUMER-LAB – Einfluss neuartiger Strategien und Komponenten des Energiemanagements von Energy (SFOE) netzintegrierten, intelligenten Gebäuden auf die Stabilität und Qualität des Haus- und Verteilnetzes

Swiss Federal Office of Swiss-Inno-HJT – Pilot production and demonstration of innovative high performance silicon hetero- Energy (SFOE) junction PV cells, modules and systems

Swiss Federal Office of BRUTTEN – PV-Gebäudehulle mit warmem Erscheinungsbild im Wohnquartier Energy SFOE

Swiss Federal Office of PVLIFE – Neuchâtel, musée suisse de l'architecture solaire à ciel ouvert Energy SFOE

Swiss Space Center E-GRIP – Einstein gravitational red-shift probe mission study

Swiss Commission for Technology and Innovation (CTI) 18101.1 PFNM-NM 3D NANOMET Surface micro-nanostructuring of metallic surfaces for improved tribological performances

16429.1 INNO-IW ADFEAS Faisabilité du concept DTI-Communications du point de vue énergétique

18616.1 ALSCN High volume process for AlScN thin film deposition

16025.1 PFIW-IW APPROBATE Automatisierte approbierte Qualität für manuelle Montage von kleine Stückzahlen auf der Basis von selbstlernenden Bildverarbeitungsalgoritmen zur Arbeitsschrittkontrolle

17486.1 PFNM-NM ATIPS Advanced aircraft tire pressure sensing

18623.1 PFNM-NM BIOWAVE Realisation of the BIOWAVE pre-product, a BIOmetric Watch Activated by VEins

18473.2 PFNM-NM BUBBLES Fluid sensor with acoustical pattern analysis of physical properties and IoT integration

17623.1 PFNM-NM CNT-SENSE Surface enhanced Raman scattering sensor for airborne carbon nanotubes in workplaces

17863.2 PFLS-LS COCO Sustainable intensification of agricultural cropping systems supported by smart swiss ICT-AGRI solutions

18445.1 COMET Contacting and metrology for advanced photovoltaic cells and modules technologies

140

18894.1 PFNM-NM CORIBO MEMS-based micro-Coriolis density and flow sensor bonding

25171.1 INNO-NM COSTO Feasibility study: Fingerprint of gemstones

18314.1 COTM KU-KA frontend: Additive-manufactured Satcom user terminal front- end for connectivity on the move applications in Ku and Ka band

18888.1 PFES-ES CUBIC 2 The world’s lowest-power platform to wirelessly exchange information peer-to-peer

16692.2 PFIW-IW CUMAPRO Massenproduktion von kundenspezifischen PV-Modulen füt die Gebäudeintegration, Customised Mass Production

17507.1 PFEN-NM DAYGLAZING Daylight and heat management foil for high quality illumination in buildings

17266.2 PFIW-IW DEFIA Development of novel electrode foil solution for solar cells interconnected with smartwire connection technology applied in the glass-glass module configuration

18421.1 DLC ABB Improved DLC electrically active layer for high power bipolar silicon semiconductor

17324.1 PFLS-LS DRDO Device to reliably detect ovulation

17490.1 PFIW-IW DSC-FAB Production technology of durable dye-sensitive glass-modules for building integrated photovoltaic power generation

18633.1 PFNM-NM DUALCOMB Dual comb mid IR source technology

17708.1 PFNM-NM ELECTROLUMINATI Electro-illumination of dive watches through mechanical power generation

18003.1 PFNM-NM FASTOBS Dynamic nanoindentation enabling fast observation

17288.1 PFNM-NM FELCOLAP Fabric-based electrodes for large-area commercial OLED-based products for lighting applications

18481.1 PFNM-NM FEMTOPOWER Femtopower will develop ultrahigh power ultrashort pulse femotsecond laser source for highspeed industrial micromachining

19288.1 HIFILL Industrial fabrication of ultra-high fill factor microlenses

16862.2 PFNM-NM HIFLOW High sensitivity flow sensor for extended flow range

25138.1 HI-MU-LENS Wafer-scale integration of high-refractive indes microlenses on III-IV semiconductor photodiodes

18099.1 PFNM-NM HIPERSTEER High performance beam steering unit

18307.1 HOT-WINDOWS Heated aircraft windshields- development of a transparent and more reliable heating for the production of aircraft windshiked series

18611.1 PFNM-NM HySurf Microstructured hybrid surface with improved friction properties

10894.1 PFNM-NM ICYAMR 2 Development of an automatic meter reader (AMR) for retrofit applications on existing meters

25137.1 PFNM-NM INDIFUSEHOLDER Ultra-low energy voltage indicator for new type of fuses

17142.2 PFEN-NM IOTLOC Development of a network for advanced low power localization using LoRa technology

18737.1 LAOSS Large Area Organic Semiconductor Software for photovoltaic and light emitting devices (LAOSS)

141

16188.1 PFNM-NM LASGRAT High contrast gratings in vertical external cavity surface emitting lasers for gas sensing

18062.1 PFIW-IW LETOP Feasability study: Lever topologies for load cell

17508.1 PFNM-NM LICOFO Large-area light collecting foils for solar light concentration in photovoltaic devices

16871.1 PFNM-NM LIDTDOS LIDT und Degradations Prüftechnik für industrielle Anwendungen

18458.1 PFNM-NM LIGHT HARV FOILS Foils for transparent and colour-free solar energy harvesting FEASIBILITY

16044.2 PFNM-NM LORNOFOX A high performance time bounded fire hydrant network

18959.1 PFIW-IW MAXIMAL Modular radial and axial active magnetic bearing for maxon motors

18559.1 PFLS-LS MEMO-MAB Banking of human antibody repertoires for therapeutic use

16711.1 PFNM-NM MICRO-FAS Integrated fiber optics acceleration sensor

17728.1 INNO13-16-NM MICROGRAVITY-TEST Testing and validation of miniaturized fluid handling system for microgravity research

18325.1 PFNM-NM MULTISENSOR Development of a module integrating an optical temperature sensor and two optical accelerometer

18088.1 PFNM-NM NANOWHITE Process development and reliability of white solar module for BIPV

159541.1 PFEN/IW NCOOL2 Development of a self-commissioning, predictive controller for central air conditionning

18760.1 PFNM-NM NEURUG Multi-well biosensor for drug screening applications

17372.2 PFNM-NM NOVACAMERA An infrared multispectral camera for gas detection and quantification

18808.2 PFIW-IW OCTOPLUS Advanced manufacturing cluster platform for thin films deposition

17896.1 PFIW-IW OILGUARD Oil in water monitoring

18646.2 OPTINCLINO Optical inclinometer: Development of a fully packaged MEMS inclinometer with remote optical readout for structural health monitoring

18755.1PFNM-NM OPTOGAS CO2/O2 gas mixing and sensing for life sciences

18327.1 PFNM-NM OPTOREC Development of high-end optical reference cavities for laser stabilization

18267.1 PFNM-NM ORCA On-reed camera array

18419.1 PFIW-IW PEARLSCAN Automatic assessment and measurement of pearl necklaces

18352.1 PFNM-NM PIFPAF II Pipetting system with flowsensor and microelement for passive flow control

17625.1 PFNM-NM POMICLED Powerful micronic light emitting diode for next generation micro- projectors

17244.1 PFLS-LS POSBONE Compact and flexible system for total knee replacement surgery

18926.2 PRINT-BAT Feasibility study: Flexible printed batteries

18748.1 PFNM-NM PRISM High resolution multi-color detection system with adaptive algorithms for parallelized gene sequencing systems

18082.1 PFNM-NM PROMISES Perovskite photovoltaic material screening for enhanced stability

142

17705.1 PFNM-NM PUNCH Production-ready, next generation back-contacted silicon heterojunction solar cells and modules

11290.1 PFNM-NM QUADLINE A novel micro mark register head camera based on ultra-high-speed multi-linear image sensor

16108.1 PFNM-NM SAIGA Small antenna integrated in hearing aids

14782.1 PFLS-LS SARENAPATCH Micro patch portable pour l’injection en continu d’insuline

18465.1 PFNM-NM SECUREFLIM Fluorescence lifetime imaging microscopy (FLIM) for the product and brand protection market

18462.1 PFNM-NM SILICON EYE Design of a vision for positioning systems

16584.1 PFEN-IW SILVERLINE 2 Next generation production processes and quality controls for watch batteries

16050.2-PFNM-NM SPINBEAT-II Leistungsmessgerät mit integrierter Trittanalyse und Effizienzfeedback für Radfahrer

16694.2 PFIW-IW STABILITY Dynamische Lageregelung für Hydraulikmodule demonstriert an einem Stelzentraktor im Rebberg

16853.1 PFNM-NM SUNTRACKER An accurate sun sensor for earth geographical north detection

17518.1 PFEN-NM SUPERTC SuPeRTC, a super high performance temperature compensated miniature real time clock module

18679.2 SWISSHOLO Security 1st and 2nd level features provde (hidden) unique identifying structures for trademark protection of titanim implants

1799.1 PFNM-NM SWW OEM module and new algorithms (speed, sleep)

16637.2 PFNM-NM TACOS Development and integration of alternative transparent conductive oxides for silicon heterojunction solar cells

18939.1PFNM-NM TEXAS New casting tool for textured hydrogel surfaces for 3D cell culture

18130.1 PFIW-IW TORS Tribological optimization of spinning traveler and ring for spinning and twisting

18539.1 PFLS-LS TRACTEUR Development of an automated seed drill for the site-specific reseeding of grassland

18741.1 PFNM-NM TRUEAIRSPEED Pressure measurement system for paragliders

17324.1 PFLS-LS U4P Using physiological parameters measured with a wrist-worn device to predict ovulation, detect pregnancy, and monitor gestation

16185.1 PFNM-NM VALIPLATE Calorimetric tool for validating the liquid volume dispensed by liquid handling instruments

18621.1 PFEN-NM WATT.CH Smart wristband powered by PV cells

18091.1 PFEN-NM WISEROCK Low-cost WSN with GNSS capability for long-term landslide monitoring

18394.1 PFLS-LS ZEPTOTRACK Real-time surgical instruments positioning with reference integrated in surgical lamp

143

European Commission Projects FP7 – ICT ACTION Active implant for optoacoustic natural sound enhancement

FP7 – TRANSPORT AEROMUCO-COMPLEMENT Aerodynamic surfaces by advanced multifunctional coatings

FP7 – NMP AMBASSADOR Autonomous management system developed for building and district

H2020 – ICT 2016 BIOCDX A miniature bio-photonics companion diagnostics platform for reliable cancer diagnosis and treatment monitoring

FP7 – ICT BIOFOS Microring resonator-based biophotonic platform for food analysis

H2020 – LCE 2015 CHEOPS Production technology to achieve low cost and highly efficient photovoltaic perovskite solar cells

FP7 – ICT COLAE-COMPLEMENT Commercialization clusters of OLAE (flexible, organic and large area electronics and photonics)

H2020 – ICT 2015 DETOP Dexterous transradial osseointegrated prosthesis with neural control and sensory feedback

H2020 – LCE 2016 DISC Double-side contacted cells with innovative carrier-selective contacts

FP7 – ICT D-LIVER Monitoring of patients with liver diseases

FP7 – SPACE ELSA-COMPLEMENT European levitated spherical actuator

FP7 – TRANSPORT EVITA Non-destructive evaluation, inspection and testing of primary aeronautical composite structures using phase contrast X-ray imaging

FP7 – ICT FLEX-O-FAB Pilot-scale hybrid roll to roll/sheet to sheet manufacturing chain for flexible OLEDs

FP7 – SPACE FOSTERNAV-COMPLEMENT Flash optical sensor for terrain relative robotic navigation

H2020 – MG 2014 FUTURESKY Smart, green and integrated transport

H2020 – ICT 2014 GATEONE Innovation service for European smartization by SMEs

FP7 – ICT GO4TIME Global, flexible, on-demand and resourceful timing IC & MEMS encapsulated system

FP7 – NMP GREENANOFILMS Development and application of ultra-high resolution nano-organized films by self-assembly of plant-based materials for next generation opto- and bio-electronics

FP7 – HEALTH HEMIBIO Hepatic microfluidic bioreactor

FP7 – ENERGY HERCULES High efficiency rear contact solar cells and ultra-powerful modules

FP7 – NMP IMPRESS-COMPLEMENT Flexible compression injection moulding platform for multi-scale surface structures

H2020 – EE 2015 INDIGO-2 New generation of intelligent efficient district cooling systems

FP7 – SECURITY INGRESS Innovative technology for fingerprint live scanners

FP7 – NMP INNOVABONE Novel biomimetic strategy for bone regeneration

H2020 – SC5 2014 INREP Towards indium free TCOs

FP7 – ENVIRONMENT INTASENSE-COMPLEMENT Integrated air quality sensor for energy efficient environment control

144

H2020 – FOF 2016 KRAKEN Hybrid automated machine integrating concurrent manufacturing processes, increasing the production volume of functional on- demand using high multi-material deposition rates

FP7 – ICT LASSIE-FP7 Large area solid state intelligent efficient luminaires

H2020 – MG 2014 LYNCEUS2MARKET Safer and more efficient waterborne operations through new technologies and smarter traffic management

H2020 – ICT 2014 M3TERA Micromachined terahertz systems -a new heterogeneous integration platform enabling the commercialization of the THz frequency spectrum

H2020 – ICT 2014 MEDILIGHT Miniaturized smart system for light stimulation and monitoring of wound healing

FP7 – NMP MEGAROB Development of flexible, sustainable and automated platform for high accuracy manufacturing operations in medium and large complex components using spherical robot and laser tracker on overhead crane

H2020 – ICT 2015 MIRPHAB MidInfraRed PHotonics devices fABrication for chemical sensing and spectroscopic applications

FP7 – NMP NANODIARA-COMPLEMENT Development of novel nanotechnology based diagnostic systems for rheumatoid arthritis and osteoarthritis

H202 – SESAR 2015 NAVISAS Navigation of Airborne Vehicle with Integrated Space and Atomic Signals

H2020 – LCE 2016 NEXTBASE Next-generation interdigitated back-contacted silicon heterojunction solar cells and modules by design and process innovations

FP7 – ICT PASTA-COMPLEMENT Integrating platform for advanced smart textile applications

FP7 – ICT PEGASO Personalised guidance services for optimising lifestyle management in teen-agers through awareness, motivation and engagement

FP7 – SPACE PHASER High speed, high frequency electro-photonic ADC for space enabled routers

FP7 – NMP PLIANT Process line implementation for applied surface nanotechnologies

FP7 – ICT POSITIVE-COMPLEMENT A highly integrated and sensitive porous silicon based lab on a chip for multiple quantitative monitoring of food allergies at point of care

FP7 – NMP PRIME Plug and produce intelligent multi agent environment based on standard technology

H2020 – ICT 2014 RAWFIE Road-, air- and water-based future internet experimentation

FP7 – ENVIRONMENT RECONCILE-COMPLEMENT Reconciliation of essential process parameters for an enhanced predictability of arctic stratospheric ozone loss and its climate interactions

FP7 – SPACE REMOVE-DEBRIS A low-cost active debris removal demonstration mission

FP7 – SECURITY SAVE-MED Tackling counterfeit medicines and related criminal networks

FP7 – ICT SEMIAH Scalable multi-criteria energy management infrastructure for aggregation of households

H2020 – ICT 2014 SMARTER-SI Smart access to manufacturing for systems integration

FP7 – NMP SMART-NANO Sensitive measurement, detection, and identification of engineered nanoparticles in complex matrices

145

FP7 – NMP SMARTRONICS Development of smart machines, tools and processes for the precision synthesis of nanomaterials with tailored properties for organic electronics

FP7 – SECURITY SNIFFER Sensory devices network for food supply chain security

FP7 – SPACE SOC-2 Towards neutral-atom space optical clocks: Development of high- performance transportable and breadboard optical clocks and advanced subsystems

FP7 – ICT SPLENDID Personalized guide for eating and activity behavior for the prevention of obesity and eating disorders

FP7 – ENVIRONMENT STRATOCLIM Stratospheric and upper tropospheric processes for better climate predictions

FP7 – ICT SUNFLOWER Sustainable novel flexible organic watts efficiently reliable

H2020 – FETOPEN SUPERTWIN All solid-state super-twinning photon microscope 2014-2015

FP7 – ICT SWAN-iCare Smart wearable and autonomous negative pressure device for wound monitoring and therapy

H2020 – EEB 2016 THERMOSS Integration of advanced technologies for heating and cooling at building and district level

FP7 – NMP TRIBUTE Take the energy bill back to the promised building performance

FP7 – ICT VIAMOS Vertically integrated array-type Mirau-based OCT system for early detection of skin pathologies−Small or medium-scale focused research project (STREP)

FP7 – ICT WELCOME Wearable sensing and smart cloud computing for integrated care to COPD patients with comorbidities

FP7 – ICT WISERBAN-COMPLEMENT Smart miniature low-power wireless microsystem for Body Area Networks

European Space Agency (ESA), Swiss Space Office, Austrian Space Applications Programme (ASAP), and CNES Projects

ESA Projects AMIGO Autonomous medical monitoring and diagnostics CCM-MTG Development and manufacture of corner cube mechanisms for MTG satellite CECILE LiDAR integrating compressive sensing CLUPO CLUPI instrument for Exomars C-MAC Ceramic miniature atomic clock physics package – C-MAC PP CS4SPACE Compressive sensing DANOE High-dynamic absolute nanometric optical encoder technology assessment for space DELIAN Detrous lightweight arm for exploration ENRUM Space and energy resources utilisation mapping (EnRUM) EUSO-B2 Extreme universe space observatory – Phase B2 FGU Micro-optoelectronic frequency generation unit (FGU) HOPP Photodiode development HOPWELL Space validation of glass lid soldering techniques to hermetically seal small size optoelectronic parts

146

IHMSD-2 Integrated vehicle health management system demonstrator – Phase 2 ITI-SiC Silicon carbide sensors for harsh space environments MCC-X Miniaturised motion controller customisation for exploration MEMS GC-MS MEMS-based gas chromatograph and mass spectrometer MEMS-QUAL Validation and experimental verification of ESA MEMS qualification methodology MEMS-REAL MEMS reliability assessment MHIPIS Miniature high performance imaging spectrometer for remote sensing MILA Miniaturised imaging laser altimeter (Miniature imaging LIDAR system, phase 1) MLSCL Sub-picosecond model-locked semiconductor laser for space missions MTS Miniature timing source (mTS): miniature atomic clock, MEMS vapor cells, ASIC specifications NIRS NIR immersed grating in transmission for high resolution spectroscopy NPI-CELL PhD on fabrication of miniature atomic cells NPI-EIT Wearable physiological sensor network−non-invasive and non-occlusive blood pressure (BP) measurement based on electrical impedance tomography (EIT) OEO Ultra-low phase noise reference oscillator SAMTWO_6 Space active maser – six STAR Lidar for wavefront distortion SWIRS New generation SWIR immersed grating (phase1) WALES-PLUS Wafer level encapsulation for microsystems-PLUS WATERLENS Water availability−Improved monitoring, forecasting and control of water availability, quality and distribution

Swiss Space Office Projects 3D-EEE EEE components produced by additive manufacturing HYBSAT Hybrid lightweight and flexible circuit boards (FCBs) for satellites and space

Austrian Space Applications Programme (ASAP) Projects SPACEXCT X-ray techniques for NDT and damage characterization for space materials and components

CNES Projects IASI-FM3PHASE 1B IASI-FM3 Travaux préparatoires complémentaires à la réparation du mécanisme CCM IASI-FM3REFUB Perform refurbishment of actuator on IASI-EM at CSEM and IASI-FM3 at TAS

Industrial Property In 2016, 20 invention reports were submitted internally for examination which have led to 15 patent applications filed in 2016 (12 regular applications and 3 provisional applications). The patent portfolio has been further enhanced by the extension of different countries of 9 patent files based on prior patent applications.

Collaboration with Research Institutes and Universities University Institute Professor Field of collaboration Aristotle University of Laboratory of medical informatics N. Maglaveras Collection of large set of Thessaloniki physiological signals

Berner Fachhochschule Institute for energy and mobility A. Vezzini BFH-CSEM Energy Storage (BFH) research Research Center (ESReC): electrochemical storage

Brown University School of engineering A. V. Nurmikko Integrated circuits for brain implants

147

University Institute Professor Field of collaboration Carleton University Systems and computer engineering A. Adler Electrical-impedance tomography

CEA-LETI Capteurs et actionneurs M. Le Prado Atomic gyroscopes

Christian-Albrechts- Klinik für Anästhesiologie und I. Frerichs Electrical-impedance tomography Universität zu Kiel Operative Intensivmedizin

CHUV Service de cardiologie E. Pruvot Cardiac arrhythmias

CHUV Département anesthésiologie P. Schoettker Blood pressure

Croydon Healthcare Trust R&D J. Chang Clinical validation NHS Trust

EMPA Department of advanced materials P. Görning X-ray read out circuits and surfaces

EMPA Swiss federal laboratories for P. Hoffmann Solid state lighting materials science and technology

EMPA Laboratory for functional polymers F. Nüesch Organic PV

EPF Lausanne Embedded systems laboratory D. Atienza ECG monitoring

EPF Lausanne IMT/PVLAB C. Ballif Photovoltaics

EPF Lausanne Galatea laboratory Y. Bellouard Femtolaser micro-structuring

EPF Lausanne SAMLAB N. de Rooij Microstructural investigation

EPF Lausanne ICLAB integrated circuits laboratory C. C. Enz Approximate arithmetic, ULP Radio and protocol for WiseSkin

EPF Lausanne Laboratory of advanced N. Grandjean GaN-based semiconductor lasers; semiconductors for photonics and Solid state lighting electronics

EPF Lausanne CIME C. Hebert Microscopy

EPF Lausanne Instant-Lab S. Henein Precision mechanics

EPF Lausanne LAP processor architecture P. Ienne Embedded systems laboratory

EPF Lausanne Laboratory of photonics and quantum T. J. Kippenberg Optical microresonators and measurements frequency combs

EPF Lausanne LSBI Foundation Bertarelli Chair in S. Lacour Artificial skin, restore spinal neuroprosthetic technology connections

EPF Lausanne Nanophotonics and metrology O. Martin Development of plasmonic sensors laboratory

EPF Lausanne TCL Telecommunications Circuits A. P. Burg Good enough circuits, ultra-low Laboratory power and sub-threshold design

EPF Lausanne eSpace M. Richard Space missions

EPF Lausanne Laboratoire de production P. Ryser 1) Compact 3D force sensor 2) microtechnique Indoor air quality sensor system

EPF Lausanne Institute of microtechnology H. Shea Microtechnology/ Microscopy

EPF Lausanne Hemodynamics and cardiovascular N. Stergiopoulos Cardiac output monitoring technology laboratory

148

University Institute Professor Field of collaboration EPF Lausanne LTS2 signal processing laboratory 5 J.-P. Thiran Electrical-impedance tomography

EPF Lausanne LTS2 signal processing laboratory 2 P. Vandergheynst Newborn vital signs monitoring based on multiple vision sensors; Signal processing

EPF Lausanne SCI STI JMV Group J-M. Vesin Newborn vital signs monitoring based on multiple vision sensors; Signal processing

ETH Zurich Integrated systems laboratory L. Benini Sub-near-threshold multicore

ETH Zurich Institute for chemical and A. deMello Cell sorting/classification; bioengineering (ICB) Nanoparticle analysis

ETH Zurich Photonics laboratory L. Novotny Development of plasmonic antennas

ETH Zurich SCOP R. Schüblin Microscopy

ETH Zurich Laboratory for solid state physics H. von Känel X-ray read out circuits

Fraunhofer Society Group for automation in medicine and B. Grychtol Electrical-impedance tomography biotechnology

Hamburg University Klinik und Poliklinik für D. Reuter Electrical-impedance tomography Hospital (UKE) Anästhesiologie

Hôpital Neuchâtelois, Département de médecine C. Pellaton Multiple collaboration including a HNE clinical investigation on non-invasive blood pressure

Hôpitaux Universitaire Département d’urgences pédiatriques A. Gervaix Monitoring de pneumonie de Genève

National Institute of Optical frequency measurements S. A. Diddams Optical frequency combs and their Standards and group applications Technology, NIST

National Renewable Photovoltaics A. Tamboli Multi-junction solar cells Energy Laboratory, NREL

Paul Scherrer Institute LNM S. Abpéhassani Microstructure (PSI)

Politecnico di Milano Department of energy M. Merlo, A. Casalegno Battery modelling

Technical University of Chair of real-time systems G. Fohler Real-time networking Kaiserslautern

University Hospital of Department ENT surgery M. Caversaccio Image-guided micro surgery for Bern (Inselspital) hearing aid implantation

University Hospital of Cardiovascular department S. F. Rimoldi Blood pressure Bern (Inselspital)

University Hospital of Department of pulmonary disease T. Geiser Oxygen therapy Bern (Inselspital)

University Hospital of Department of sleep S. Ott Sleep analysis Bern (Inselspital)

University Hospital of Clinic of neonatology J.-C. Fauchère Newborn vital signs monitoring based Zurich on multiple vision sensors

149

University Institute Professor Field of collaboration University Hospital of Biomedical optics research M. Wolf Newborn vital signs monitoring based Zurich laboratory, Division of neonatology on multiple vision sensors; Neonate monitoring

University of Applied School of life sciences M. de Wild Institute of medical and life sciences Sciences and Arts (FHNW)

University of Applied School of life sciences C. Hugi Life cycle analysis of OPV Sciences and Arts (FHNW)

University of Applied School of life sciences U. Pieles Biology and sensing Sciences and Arts (FHNW)

University of Applied CC Mechanische Systeme U. Lang Nanofoils Sciences and Arts, Lucerne (HSLU)

University of Applied CC Aerospace biomedical science & M. Egli, C. Haack, Micro gravity incubator Sciences and Arts, technology / CC Mechanische O. Schälli Lucerne (HSLU) Systeme / CC Electronics

University of Applied CC Electronics E. Niederberger Solid state lighting Sciences and Arts, Lucerne (HSLU)

University of Applied IDSIA Dalle Molle Institute for artificial L. M. Gambardella Machine learning Sciences and Arts, intelligence SUPSI

University of Applied Department for environment R. Rudel Testing and accreditation of modules Sciences and Arts, constructions and design SUPSI

University of Applied MSE V. Koch Sensory processing prosthetics Sciences, Bern

University of Applied Institute of computational physics B. Ruhstaller Solid state lighting Sciences, Zurich (ZHAW)

University of Basel Dept. of physics E. Meyer Nano Argovia project

University of Basel Dept. of physics C. Schöneberger Development of light management optics for solar cells

University of Bern ARTORG Center for biomedical S. Weber Guided surgery; engineering Image-guided micro surgery for hearing aid implantation

University of Fribourg Faculté des sciences économiques et E. Davoine Gestion des ressources humaines sociales

University of Geneva Exoplanetary systems F. Pepe Instrument for exoplanetary search

University of Geneva Computer vision and multimedia T. Pun Obstacle detection for the elderly laboratory based on stereo vision

University of Lausanne Institute of Geology E. Verrecchia Microstructural study

University of Lund Department of biomedical C. Antfolk Prosthetics engineering

150

University Institute Professor Field of collaboration University of Neuchatel Institute of biology P. Junior Microstructural investigation

University of Neuchatel Institute of biology F. Kessler Microstructural investigation

University of Neuchatel Laboratoire Temps-Fréquence G. Mileti Cell-based atomic clocks

University of Neuchatel Institute of biology J-M. Neuhaus Microstructural investigation

Wyss Center Bio and neuro engineering C. Clément Integrated circuits for brain implants

Teaching Title of lecture Context Location F. Cosandier Watt balance Conception de mécanismes II EPF Lausanne

M. Dadras AFM/TEM/SEM Doctoral Scholl EPFL EPF Lausanne AFM/TEM Master HE-ARC Microscopy Master University of Neuchatel

J.-D. Decotignie Informatique du temps réel Bachelor informatique EPF Lausanne Real time networks Master computer and communication EPF Lausanne systems

M. Despont Packaging and hybridization, the Micro- 534 Advanced MEMS 2016 EPF Lausanne valorization of MEMS technologies (D. Briand)

J. R. Farserotu Satellite communication systems and Master degree course under EPF Lausanne networks Communication systems and space technology

P. Feroleto Cours gestion des ressources Bachelor 3rd year University of Fribourg humaines

I. Kjelberg Thermo-mechanical design for space Space optics instruments technology Poltu Quatu (IT) optics

G. Nisato Organic thin-film transistors (OTFTs): Module sustainable production and FHNW, School for Life basics, applications, sustainability clean technologies – Printed organic Sciences, Basel electronics

G. Nisato, O. Fernández, Introduction to printed electronics Training FSRM CSEM Muttenz T. Offermans, N. Marjanović

C. Piguet Microelectronics for systems-on-chips Master, EPFL, Autumn semester EPF Lausanne Green electronics Invited course ISEP Paris ICT for sustainable development Invited course ISEP Paris Microelectronic technology ALaRI course on embedded systems University of Lugano

D. Schmid Smart body sensors: Lebensqualität SATW TecDay Kantonsschule Chur, CH oder Überwachung?

E. Scolan Nano-/micro-structuration de Maîtriser le procédé Sol-Gel et ses Ecole Polytechnique, revêtements élaborés par voie sol-gel: applications industrielles aux Paris design, fabrication, intégration et matériaux multifonctionnels application industrielles

151

Title of lecture Context Location F. Zanella Introduction to organic/printed Additive manufacturing of polymers EPF Lausanne electronics and Applications Summer School

Theses

PhD Degrees Awarded in 2016 Name University Title E. A. Hammes EPF Lausanne Gas sampling using convection and humidity stabilization to improve point gas sensor responses

J. Kruis EPF Lausanne Design, analysis, testing and applications of two-body and three- body kinematic mounts

F. Lütolf EPF Lausanne Optical properties of asymmetric, partially metallic gratings

R. Thirunarayanan EPF Lausanne Ultra-low power frequency synthesizers for duty cycled iot radios

CSEM Employees carrying out a PhD Name Professor / University Theme / CSEM Unit Start year F. Braun J.-P. Thiran / EPF Lausanne Estimation of hemodynamics via electrical impedance / 2013 Systems

S. Cloix T. Pun, D. Hasler / University of Stereoscopic computer vision / Integrated & Wireless 2012 Geneva Systems

L. Dümpelmann L. Novotny / ETH Zurich Deep-subwavelength plasmonic nanostructures for light 2013 harvesting / Center Muttenz

S. Karlen G. Mileti / University of Neuchâtel MEMS cells for atomic clocks / Systems 2014

V. Kopta C. C. Enz / EPF Lausanne FM-UWB radio for high-density wireless sensor networks 2013 / Integrated & Wireless Systems

J. Mayer C. Schönenberger / University of Basel Light management and harvesting for photovoltaic and 2014 sensing applications / Center Muttenz

T. C. Müller A. P. Brug / EPF Lausanne Variation-aware digital design / Integrated & Wireless 2016 Systems

D. Müller A. deMello / ETH Zurich Miniaturization of asymmetrical flow field-flow 2013 fractionation / Center Landquart

M. Proenca J.-P. Thiran / EPF Lausanne Estimation of hemodynamics via electrical impedance 2012 tomography / Systems

G. Quaranta O. Martin / EPF Lausanne Color-selective and versatile light steering structures 2015 compatible with roll-to-roll technology and up-scalable fabrication / Center Muttenz

M. Rapin J. Snedeker / ETH Zurich Wearable EIT system / Systems 2013

D. C. Rojas Quiros J.-D. Decotignie / EPF Lausanne Development of MAC and routing protocols for dense 2014 wireless sensor networks / Integrated & Wireless Systems

F. Sorba H. Shea / EPF Lausanne Development of an integrated device for cell stiffness 2015 measurement / Micro&Nano Systems

152

Name Professor / University Theme / CSEM Unit Start year J. Sun H. Lissek, X. Falourd / EPF Lausanne Anomaly detection in time varying signals for the quality 2011 inspection of electromotors / Center Alpnach

A. Tuomiranta C. Ballif / EPF Lausanne Optimal design and operations of photovoltaic power 2015 plants / Photovoltaics

Commissions and Committees P.-J. Alet Advisory board of European project MIGRATE European Technology and Innovation Platform Photovoltaics: member of the steering committee, member of the executive committee, leader of the grid integration working group Jury member for Intersolar Photovoltaics Award

F. Amez-Droz CSEM Representative, Swiss Association of Science Journalism

C. Ballif Silicon PV conference, member of the steering committee

C. Bosshard BaselArea.Swiss: Member of Advisory Board and Technology Field Leader Micro, Nano & Materials CSEM Representative, European Photonics Industry Consortium (EPIC) Managing Director of the Swissphotonics NTN Photonics21, Board of Stakeholders

P. Dallemagne Secretary and Swiss representative of Technical Committee 5 "Information Technology Applications", International Federation for Information Processing (IFIP)

J.-D. Decotignie ISO TC 65 Swiss Committee ISO TC 65C, WG16 convenor, Wireless Industrial Communication Standardization Program Committee, Conf. on Embedded & Real-Time Computing Systems & Applications (RTCSA), Daegu, South Korea Program Committee, Design Automation in Europe (DATE), Desden, Germany Program Committee, Euromicro Conference of Real-Time Systems, Toulouse, France Program Committee, Real-Time Networks and Systems, Brest, France Program Committee, Workshop on Factory Communication Systems, Aveiro, Portugal Program Committee, Workshop on Real-Time Networks, Toulouse, France

M. Despeisse n-PV international workshop: member of the steering committee

153

M. Despont Board member of the Swiss-MNT network Chairman of the IEEE International Conference on Micro ElectroMechanical Systems (MEMS), Belfast, UK, 2018 CSEM and HTA representative at the Micro- and Nano- Technology Workgroup, European Spatial Agency (ESA) External Expert at PhD Thesis, EPFL Member of the editorial board of Microelectronic Engineering Journal (Elsevier) Member of the executive board of the Swiss research program NanoTera Member of the Executive Technical Program Committee of Transudcers 2017, June 18-22, 2017, Kaohsiung, Taiwan Member of the Industrial Advisory Board, Nanotechnology Program, Prof H. Bahaskaran, Oxford University, UK Member of the Int’l Steering Committee of the Micro- and NanoEngineering (MNE) conference Member of the Technical Program Committee of European Solid-State Device Conference, ESSDERC (Lausanne, 2016) Programme Committee of the 10th ESA round table on Micro and Nano Technologies, ESA- ESTEC, 8-11 November 2016 Senior Member of the IEEE Society

M. El-Khoury CNCI Chambre Neuchâteloise du Commerce et de l’Industrie, Neuchâtel Concours International de Chronométrie, Le Locle, Membre du Comité d’honneur Conseil de l’Université de Neuchâtel Emirati-Swiss Friendship Platform, Abu Dhabi Nano-Tera, Member of the Steering Committee

J. R. Farserotu Chair and Research Co-ordinator The Hermes Partnership Chair of ETSI Technical Committee Smart Body Area Networks (TC SmartBAN) Member of the Steering Committee and Technical Committee, IEEE International Symposium on Medical Information and Communication Technology 2016 (ISMICT 2016)

R. Ferrini Chair of the Swissphotonics Solid State Lighting - (SSSL) Swiss National Laboratory for Solid State Lighting

E. Györvary Extended Board Member CSEM Brasil Extended Board Member of the Heterogeneous Technology Alliance (alliance federating the Fraunhofer (microelectronics), VTT, CEA-Tech and CSEM) Member of the Finnish Chamber of Commerce Member of the Latin American Chamber of Commerce Member of EARTO Working Group on Emerging Technologies for Healthcare

H. Heinzelmann Program Committee, MNE Micro Nano Engineering 2016, Vienna Program Committee, SSI Smart Systems Integration 2016, Munich Steering Committee, CCMX Competence Center for Materials Science and Technology VP Nanotechnology, Swiss Society for Optics and Microscopy SSOM

C. Julia-Schmutz Communication Coordinator within the Heterogeneous Technology Alliance (HTA) CSEM Representative, BioAlps

154

H. F. Knapp Board Member of Swiss Life Sciences Inartis Network Association Delegate for cluster initiative Toolpoint for Lab Science Jury Member for Zinno-Ideenscheck Member of the Executive Board of biotechnet, Switzerland

G. Kotrotsios Le Réseau, Advisory Board Member of the Board of Directors of CSEM Brasil IEEE Subcommittee on Human-Machine in Manufacturing Environment IEEE Subcommittee on Computer Vision and Human-Machine Interaction in Industrial and Factory Automation Member of the Board of the Heterogeneous Technology Alliance (alliance federating the Fraunhofer (microelectronics), VTT, CEA-Tech and CSEM) Member of the Executive Board of EARTO, the European Association of Research and Technology Organisations Member of the Executive Board of EREA (the European Association of Aeronautics Research Centers) Member of the Swiss Academy of Engineering Sciences Micronarc, member of the Group of Experts

M. Krieger CSEM Representative Greater Zurich Area (GZA) CSEM Representative Manufuture.ch association CSEM Representative SEMI global semiconductor industry association CSEM Representative Zürcher Handelskammer (ZHK) CSEM Representative, Advanced Factory Automation workgroup of Swissmem (AFA)

S. Lecomte European Time and Frequency Forum (EFTF) scientific committee member

A. Madrigal Chair person of the Advisory Board of the new Space exhibition of the Museum of Transport of Lucerne CSEM Representative, General Forum Clean Sky CSEM Representative, Network of European Research Organisations on ESA Issues (NERO) CSEM Representative, Swiss Aeronautics Security and Defense Division of Swissmem (Swiss ASD) CSEM Representative, Swiss Space Industries Group of Swissmem (SSIG) Expert for the evaluation of proposals for the Comité Consultatif pour les Technologies Spatiales (CCTS) of the Swiss Space Office (SSO) Member of the General Assembly of EREA (Association of European Establishments in Aeronautics) and CSEM Delegate in the Aviation and Security Research Groups of the Association Member of the Steering Committee of the Swiss Space Centre (SSC)

S. Mohrdiek Member of Phonotics21 Work Group 6 - Design and Manufacturing of Components and Systems Swissphotonics, Head of Swiss Photonic Packaging Laboratory

G. Nisato Member of the Board of the Organic and Printed Electronic Association (OE-A)

L.-E. Perret-Aebi CTI expert in the micro-nano commission

C. Piguet Steering Committee of the ALaRI Master Course, University of Lugano

155

D. Ruffieux Member of the International Technical Program Committee of the European Solid State Circuit Conference (ESSCIRC) in the RF and mmWave Building Blocks Member of the International Technical Program Committee of the International Solid State Circuit Conference (ISSCC) in the Technology Directions sub-committee

E. Schaller Member of the Executive Board of sensors.ch, Switzerland

P. Steiert Advisory Board Member for Institute für Chemistry and Biological Chemistry at the ZHAW Advisory Council for cluster initiative Toolpoint for Lab Science Member of the Executive Board for microPark Pilatus

Prizes and Awards January 2016 Franco-Swiss Chamber of Commerce and Industry's Innovation Prize 2016 to CSEM and ONERA for the excellence of their technical outcomes in the development of a pressure-sensitive paint for aerodynamic analyses in transonic wind tunnels (Montreux, CH). January 2016 Swiss Environmental Award 2016, presented to CSEM for the development of white and colored solar panels with no visible cells or connections (Basel, CH). March 2016 The Best Paper Award was presented to CSEM, EPFL, and Meyer Burger Research at the Swiss PV Symposium. The team was rewarded for its joint work, entitled, “Development of photolithography-free, back-contacted silicon heterojunction (SHJ) solar cells with efficiency >22 %”, a paper presented by Bertrand Paviet-Salomon of CSEM (Bern, CH). March 2016 At the 2016 International Conference on Crystalline Silicon PV, two awards were presented to CSEM for its collaborative work, respectively with EPFL on "High-Efficiency silicon heterojunction solar cells with molybdenum oxide hole collector" and with NREL on "Si-based tandem solar cells with 29.8% record one-sun efficiency" (Chambéry, FR). April 2016 Sylvain Karlen took home the 2016 EFTF Student Poster Award at the 2016 European Frequency and Time Forum (EFTF) for his work on “Non-destructive MEMS atomic vapor cells characterization by Raman spectroscopy and image analysis” (York, UK). May 2016 During LOPE-C 2016, the world's leading exhibition for printed electronics, the Organic and Printed Electronics Association (OE-A) awarded the Sunflower project (coordinated by CSEM) the “best publicly funded project demonstrator” prize for the “OPV living room” (Munich, DE). May 2016 Giorgio Quaranta and co-workers received the Best Poster Award at the CCMX annual meeting for their work on "Fabrication of plasmonic nanostructures and metasurfaces with UV imprint and thin metallic and dielectric coatings" (Bern, CH). May 2016 Christophe Ballif received the Becquerel Prize for Outstanding Merits in Photovoltaics for his scientific merit in the development of silicon heterojunction solar cells (Munich, DE). September 2016 Nico de Rooij elected Micro and Nano Engineering (MNE) Fellow 2016—recognition of his pioneering contributions in the fields of MEMS and sensor technology (Vienna, AT). September 2016 Lukas Hofer was recognized by the Graduate School Graubünden, for the best work carried out in the natural sciences, at the 5th Graubünden Forscht—Young Scientists in Contest congress. Lukas won the award for his poster, "Development of a miniaturized Fourier transform time-of-flight mass spectrometer" (Davos, CH). October 2016 The Best Poster Award of the ESA Space Passive Component Days 2016 was presented to Nenad Marjanović and co-workers for their work on "Sun sensor printed on flexible circuit board for pico-satellites" (Noordwijk, NL). October 2016 Luc Dümpelman was awarded 3rd prize in the D-ITET Research Photo Competition for his photo of a color filtering substrate in front of a Morpho butterfly (Zurich, CH).

156 IMPRINT

Full report title CSEM Scientific and Technical Report 2016

Editor and publisher CSEM SA [email protected] T +41 32 720 5111

Design CSEM Communications [email protected] T +41 32 720 5111

Publication Frequency yearly Media printed and electronic Website www.csem.ch/str2016

Printing Imprimerie Baillod SA, Bevaix (Switzerland)

Cover page Projection of artwork by coherent illumination of designed optical nanostructures.

The project creates a connection between nanotechnology and art by using designed nanostructures to project artwork in single and full color. Once the optical nanostructures have been designed and a physical master created, an infinite number of low-cost copies can be reproduced.

The original artwork, from the artist Véronique Lecomte, known as Velec, is made of neon light tubes. Courtesy: VELEC www.velec.ch SCIENTIFIC AND TECHNICAL REPORT 2016

CSEM SA Jaquet-Droz 1 CH-2002 Neuchâtel

CSEM Alpnach Untere Gründlistrasse 1 CH-6055 Alpnach Dorf

CSEM Landquart Bahnhofstrasse 1 CH-7302 Landquart

CSEM Muttenz Tramstrasse 99 CH-4132 Muttenz

CSEM Zurich Technoparkstrasse 1 CH-8005 Zurich

www.csem.ch [email protected] [email protected]