CSEM SA Jaquet-Droz 1 CH-2002 Neuchâtel

CSEM Alpnach Untere Gründlistrasse 1 CH-6055 Alpnach Dorf

CSEM Basel Mattenstrasse 22 CH-4016 Basel

CSEM Landquart Bahnhofstrasse 1 CH-7302 Landquart

CSEM Muttenz Tramstrasse 99 CH-4132 Muttenz 2010 CSEM Zurich Technoparkstrasse 1 SCIENTIFIC AND TECHNICAL REPORT CH-8005 Zurich

www.csem.ch [email protected] CSEM Centre Suisse d’Electronique et de Microtechnique SA

Since its creation in 1984, CSEM has evolved as one of the most renowned RTOs (Research and Technology Organizations) in Europe, in particular because of its ability to integrate technologies and to convert them into commercial success stories. The technological excellence of our people is complemented by a range of tools, internal and external, that are well adapted to our mission. Professional researchers and permanent employees of CSEM, stimulated by young doctoral and post-doctoral scientists, are the driving force behind our activities. Their work is accompanied by professional marketing, financial and legal services. Important additional elements are our national and international partnerships with industry and well-defined R&D and academic partners such as the prestigious Swiss Institutes of Technology (EPFL, ETHZ). The successful results of these cooperations are documented in this report. In 2010, CSEM handled more than 190 projects, the majority of which were technology development, integration and transfer contracts with Swiss industry. In 58 of these projects, our Swiss academic partners made a significant contribution. Furthermore, CSEM contributed to a record volume of projects in 2010 with Switzerland's Commission for Technology and Innovation (CTI). Thus, CSEM confirmed its important contribution to Switzerland’s leadership in innovation, the main driver of growth and economic well-being in our country. Beyond our strong technology integration and transfer capability, we have established a supportive and enabling start-up creation process. In tandem with our partner Jade Invest SA, an early-stage venture fund, we have created a strong tool for value creation for the Swiss economy. A vital asset in generating value is the knowledge accumulated year after year, and even more so the capability to work at the interfaces of multiple disciplines, such as microelectronics, microsystems and nanotechnology, as well as on their integration. At the end of 2010, the total number of employees at CSEM was 390, of which 29 were Ph.D students. CSEM ended 2010 with positive net results, the final income reaching CHF 68.5 million. We hope that you enjoy reading this document, and would be delighted if you identify ideas and concepts that can be adapted, developed and commercialized in the marketplace.

1

CONTENTS A 290 μA, 3.2 MHz 4-bit Phase ADC for Constant PREFACE 5 Envelope, Ultra-low Power Radio 37 A Low-cost Electronically Steerable Switched Parasitic Array for GNSS Applications 38 RESEARCH ACTIVITIES IN 2010 7 A Low-power Fully Integrated RF locked Loop for

3D Face Detection and Identification System 9 Miniature Atomic Clocks 39 Phase Contrast X-ray Imaging 10 High Performance MEMS-based Frequency Synthesizer 40 Time References – Progress on the Realization of a Miniature Atomic Clock 11 Antenna Design Rules for Self powered 13.56 MHz RFID Systems 41 Time References – Silicon Flexures for the Sugar- Cube Delta Robot 12 A 2.4 GHz BAW-based Transceiver for Wireless Body Area Networks 42 Time References – Hermetic Packaging of Miniaturized MEMS 13 C-EIT – Unsupervised Identification of Heart and Lungs PHOTONICS 43 Function using Electrical Impedance Tomography 14 Sensing Small Angle Scattering with an X-ray Grating IMS-eye – Concept for Implantable Surgery Eye 15 Interferometer 44 WiseFIELD – An Autonomous, Self-recharging Phase Sensitive X-ray Imaging: Towards Medical Ultra-low Power Wireless Platform for Environmental Applications 45 Monitoring 16 Single-photon Pulse Detectors 46 CHAP – Cell Handling and Analysis Platform 17 Ultra-high Speed Digital Image Sensor 47 Optical Flow Correlator 48 NANO-TERA ACTIVITIES IN 2010 19 High-speed CMOS-compatible Demodulation Imagers

GreenPower – Connecting Renewable Energy to for Temporal Waveform Analysis 49 Green Mobility 20 Stereo Omniview Camera System and Time-of-flight

LiveSense – Environmental Sensors based on Living Camera for Mars Rover 50 Cells 21 SelfSys – Fluidic Mediated Self-assembly of Mesoscale THIN FILM OPTICS 51 Objects 22 Colorimetric Visual Gas Sensors 52 PATLiSci – Probe Array Technology for Life Science Applications 23 The Morphology of Inkjet Printed Organic Photovoltaic Cells 53 TecInTex – An Integrated Biosensor for the In-situ Monitoring of Wound Healing 24 Design for Organic Electronics 54 NexRay – Next Generation X-ray Systems 25 MICROSYSTEMS TECHNOLOGY 55 INTEGRATED & WIRELESS Batch Fabrication of Vapor Cells for Atomic SYSTEMS 27 Clocks 56 Reliable MEMS for Space: How X-ray Techniques Detecting Shapes using a 3D Time-of-Flight Camera 28 Support Development 57 A Software Library to Accelerate the Prototyping of In-situ Structural and Mechanical Testing 58 Vision Applications 29 Defect and Strain Analysis for Epitaxial Grown Thick Infrastructure-free Car Localization in Parking Lots Germanium Layers on Structured Silicon Substrates 59 using Low-power Wireless Sensor Networks 30 Deployment of WiseNET Technologies in Extreme Conditions: the Case of the Bonnard Glacier 31 NANOTECHNOLOGY & LIFE Execution of Embedded Code in a Network Simulator 32 SCIENCES 61 An icyflex-based Multiprocessor Architecture 33 Molecular Vapor Deposition for Functionalized Applications using the icyflex1 Processor 34 Surfaces 62 Design of an Ultra-low Power SoC dedicated to Social A Compact Radioactivity Monitor for Medical Networking Products 35 Applications 63 Design Techniques to Combat Process Variation 36 Metallic Membranes for Chemical Sensing 64

3 MEMS Tunable Quantum Cascade Lasers for IR Smart Electrodes for Vital Sign Monitoring 97 Spectroscopy 65 Medical Multi-parameter Monitoring Sports Shirt 98 Fully Programmable MEMS Gratings for Space Applications 66

Manufacturing of Micro- Nano-structured Plastic MICROROBOTICS & PACKAGING 99 Components 67 Reliable Hermetic Chip-scale Packaging Targeting Ultrathin Nanoporous SiN Membranes for Biotech Wafer-level Bonding 100 Applications 68 Semi-automatic Assembly Process for High Power Silicon Nanostructures by Metal-assisted Chemical Single Emitter Diode Lasers 101 Etching 69 Miniature Carbon-nanotube-based X-ray Sources: Nanodispensing for Antibody Nanoarrays 70 Packaging Aspects 102 Towards Replacing Animal Test in Nanotoxicology 71 2Dplus Laser Micromachining 103 Ultra-thin Microporous Support for In Vitro Model of Customer-specific Small Series Production and Biological Barriers 72 Modeling of Microfluidic Components 104 Use of Biosensors for the Detection of Cocaine at Manufacturing Strips with Deep Channels for Pressure Airport Security Controls 73 Sensing Applications 105 Automated Optical Quality Control of Injection Moulded Micro Fluidic Devices 106 NANOMEDICINE 75 Self Alignment assisted MEMS Packaging 107 Lab-in-a-pipette-tip for Small Volume Rapid Biosensing 76 AC-electrokinetic Pump with Flow Rectifier for Cost-effective Infrared CO2 Sensor for Food Packaging Unidirectional Flow 108 Inspection 77 Microfluidic Devices for Superparamagnetic Angle Interrogating Optical Sensor ARGOS: Scanning Nanoparticle Handling 109 MEMS Mirror for Higher Performance Label-free Modular System for Automated Sorting and Optical Biosensing 78 Microinjection of Large Cells 110 Electrochemical Monitoring System for Hepatic Low Temperature Hermetic Sealing for Implantable Function Detoxification Capability 79 Medical Systems 111 Cell-based Microfluidic Platforms for Relevant 3D in- Contactless Gripper with Ultrasonic Air Cushion 112 vitro Models aimed at Personalized and Regenerative Medicine 80 TestChest: a Real-time Simulator of Human ANNEXES 113 Respiration including Heart-Lung Interaction 81 Publications 113 Phantom based System to Evaluate Electrical Proceedings 116 Impedance Tomography (EIT) Performance 82 Conferences and Workshops 119 HorseVent: A Novel Anesthesia Ventilator for Large Animals 83 Competence Centre for Materials Science and Technology (CCMX), Nano-Tera, National Centers of Competence in Research (NCCR) and Swiss National SYSTEMS 85 Science Foundation (SNSF) Projects 123 Swiss Commission for Technology and Innovation New Generation of Domestic Heat Controller 86 (CTI) 124 A Micro-robot for Astrophysics 87 European Commission Projects 126 Ball Bearing Fomblin Lubrication for Space European Space Agency (ESA), European Southern Mechanisms 88 Observatory (ESO) and Astrophysical Instrument Focusing Mechanism for Camera Focus System on Projects 129 Mars (ExoMars Rover) 89 Industrial Property 130 High Performance Stabilized Lasers 90 Collaboration with Research Institutes and Universities 130 Compact and Reliable Optical Bench for Industrial Teaching 133 Cesium Beam Clock 91 Theses 135 Towards Femtosecond Blue Laser Diode 92 Commissions and Committees 137 A 3D Laser Printer for the Fabrication of In-glass Microstructures 93 Prizes and Awards 140 A Chest Sensor for Continuous Measurement of Blood Pressure and Arterial Stiffness 94 Vital Parameter Sensing under Harsh Conditions 96

4 PREFACE

Dear Reader,

CSEM has always been focused on serving industry and the Swiss economy as a priority. Using technologies developed or integrated within our company, companies ranging from SMEs to multinationals have launched many successful and innovative products. The “non confidential” technical advancements detailed in this Scientific Report are only the tip of the iceberg of our achievements during 2010. Our industrial cooperation results, a very stimulating part of our work, cannot be reported here due to reasons of confidentiality. Projects with very high innovation content and risk, co- financed by our industrial partners and the Swiss Agency for Innovation and Technology (CTI), are listed but not detailed, respecting the confidentiality of the development work, critical to the competitiveness of our industry. European projects that contribute to increasing the competitiveness of both our partners and ourselves, while putting in place value chains, are also reported here. Worth mentioning in addition is that CSEM, in terms of participation in European projects per researcher, is among the highest in Europe. Last but not least, we describe in this document our project, financed by the European Space Agency, called European Southern Observatory Programs, demonstrating a significant Swiss contribution to pushing back the frontiers of science through world-class engineering. The results of our internal multidisciplinary projects, non- confidential and very close to market applications, are also reported here, acting also as a stimulus to our industrial partners for new ideas and new applications. I welcome the publication of this scientific and technical report, which sets out the results of CSEM’s programmes during 2010. I would like to take this opportunity to acknowledge the contribution of all our partners and their enthusiasm in working with us. And, most of all, I would like to thank all those colleagues who have contributed to this report.

Mario El-Khoury CEO, CSEM

5

RESEARCH ACTIVITIES IN 2010 Alex Dommann and Georges Kotrotsios CSEM is proud to offer to its industrial clients a rich portfolio of Phase Contrast X-ray Imaging technologies and sound know-how in how to apply and realize innovative products. To maintain this portfolio in a healthy CSEM has recently developed skills in X-ray phase contrast state the Swiss federal and cantonal governments provide the imaging (PCI) which is now available to related R&D activities necessary funding for running an applied research program. with regard to applications such as inspection, non-destructive testing and medical diagnostics. In combination with a For short term research we match our competencies and standard X-ray source and a detector, PCI is based on three developed technologies to market needs. To face this first diffraction gratings that form an interferometer. These gratings challenge CSEM has been introducing, for several years, appear to be PCI’s pivotal element as their fabrication is highly Multidisciplinary Integrated Projects (MIPs). Here, the challenging. Recent developments in grating fabrication are underlying concept is to integrate mature technologies from promising and the gratings’ consequent impact on the several technological fields on the basis of market trends. This system’s performance were evaluated. approach brings disruptive innovation to industry and fosters our engineers’ ability to cooperate on the interfaces of multiple Time References disciplines. This is essential to the role of CSEM as technology integrator. CSEM is combining all its Time References know-how to better support the Swiss watch industry. Three topics have In the framework of applied research, seven MIPs – built on been addressed: several existing CSEM technologies – have been launched. They are planned on a tight schedule, typically of two years Progress towards the Realization of a Miniature Atomic from introduction to the realization of a demonstrator. The Clock – A first prototype of a miniature atomic clock (MAC) global objective of the MIPs program is to develop the skills was unveiled by CSEM already in 2009. A first step towards needed to combine different technology platforms to address the low-power objective was accomplished in 2010. An current and future industrial needs in integrated systems. A integrated RF synthesizer with built-in RF lock loop was quarter of CSEM’s annual R&D budget is spent on MIPs. developed. It was fabricated in a standard digital 0.18 µm These funds are necessary to adapt the different technology CMOS technology. The chip has overall dimensions of platforms to more specific applications. 2.1x2.3 mm2. A new MAC prototype was able to be assembled using miniature MEMS cells. Driving this prototype A MIP needs to fulfill the following criteria: a) to build useful with laboratory electronics allows reaching frequency demonstrators for marketing purposes; b) to demonstrate to stabilities of the order of 8x10-11 @ 1 s. our customers the power of technology platforms; c) to improve CSEM’s system-design capabilities; d) to build new Silicon Flexures for the Sugar-Cube Delta Robot – The relationships with potential customers; e) to investigate new objective of this project is to identify the main parameters cross-disciplinary activities. affecting the admissible bending stress levels in flexure hinges machined in monocrystalline silicon by deep reactive Today, innovative products exhibit a technological complexity etching. Based on these results a three dimensional flexure- which can seldom be handled by a single technology provider. based Delta Robot test vehicle has been designed, One of CSEM’s strengths is to offer this wide spectrum of manufactured and tested. The resultant know-how will also be technologies ‘under one roof’. MIPs cover strategic CSEM used in the future to support new watch designs made out of topics of the future: biometry, X-ray techniques, time micro-machined monocrystalline silicon. references and energy & environment: Hermetic Packaging of Miniaturized MEMS – Initially, 3D Face Detection and Identification System piezoelectrically actuated silicon resonators were developed as new time references for electronic applications. Very small A real-time 3D face detection and identification system has resonators, between 0.15 and 0.3 mm2 in size at a frequency been developed by combining different innovative of a few hundred kHz, were fabricated with a figure of merit technologies at CSEM. Real-time 3D images are acquired Q·k2 of up to 50. Subsequently, these resonators proved to be with a time-of-flight (TOF) camera. Using different image perfect pressure sensors for the development of the hermetic processing algorithms and approaches, faces are first packages required by many miniaturized micro-fabricated detected, then pose and scale-corrected, and finally identified. devices. A vacuum level down to 10-1 mbar can be measured. The components developed were successfully integrated into A combination of different bonding technologies is used to a live demonstrator. provide a leak-tight encapsulation of silicon resonators. Fusion bonding is used for the backside, and AuSn eutectic bonding allows the sealing of a glass cover on the front side. Gold electrical ‘feedthroughs’ are created directly in the glass cover to enhance miniaturization.

7 C-EIT – Unsupervised Identification of Heart and Lung WiseFIELD – An Autonomous, Self-recharging Ultra-low Function using Electrical Impedance Tomography Power Wireless Platform for Environmental Monitoring EIT is a candidate technology for the non-invasive monitoring The first field tests using WiseFIELD, a wireless of cardio- and respiratory functions in humans. By placing a environmental monitoring platform, have been performed. set of electrodes around the chest, EIT generates conductivity WiseFIELD demonstrates an autonomous, self-recharging images of the thoracic cavity. The analysis of sequences of ultra-low-power wireless system based on CSEM’s icycom EIT images generated during several cardiac and respiratory System-on-Chip and commercial sensors. cycles is the main focus of this research activity. The objective is to obtain impedance curves that represent heart and lung CHAP – Cell Handling and Analysis Platform function non-invasively. As a first step, an unsupervised method that automatically identifies heart and lungs within an In pharmaceutical research and areas such as toxicology, it is EIT image sequence has been developed. The accuracy of important to understand the action of compounds on life. The the method is currently being tested on pigs, supported by relatively new field of systems biology focuses on the simultaneously acquired Computed Tomography (CT) images. systematic study of complex interactions in biological systems, such as cells or cell clusters, thus studying them from a new IMS-eye – Concept for Implantable Surgery-eye perspective. As a result, the importance of cell-based or multi- cellular based assays has been steadily growing over recent Laparoscopy is one of today’s most promoted surgery years. Besides the development of cell-based assays on the technologies. This minimal invasive technique reduces biological level, this trend calls for tools and instruments for infection risk and hospitalization days and is also a cost the automation of cellular assays and related preparation saving method of surgery. The disadvantage is that the methods. CHAP is designed as a common platform with surgeon only has visual access to the point of intervention via exchangeable and standardized modules for offering quick an endoscope. In this study, a concept was developed to solutions for pharmaceutical research, diagnostics, and eliminate the endoscope and provide the surgeon with a new toxicology. instrument for monitoring and controlling the surgical intervention inside the abdomen. This temporarily implanted In 2010 CSEM filed 11 new patent applications, 23 invention surgical camera may be a new solution to this problem. reports were submitted for examination and an extension of 20 patents on prior patent applications in different countries were filed.

8 3D Face Detection and Identification System H.-R. Graf, A. D. Brenzikofer, N. Blanc, R. Wyss, D. Hasler, G. Kotrotsios A real-time 3D face detection and identification system has been developed, combining different innovative technologies at CSEM. Real-time 3D images are acquired with a time-of-flight (TOF) camera. Using different image processing algorithms and approaches, faces get first detected, then pose and scale corrected, and finally identified. The developed components were successfully integrated into a live demonstrator. In the field of biometry, fingerprint is still the dominant technology (Figure 1). But face recognition, placed second, has an expected annual growth of 27% per year [1].

Figure 3: Annotated intensity image and region separated mask

Using this information, the orientation or pose of the face is then estimated. Further refinements are achieved by applying an average face mask on the estimated face, using the Figure 1: Biometric market by technology iterative closest point (ICP) algorithm. The individual scale and pose of the face is corrected by an inverse transformation in Today, most of the face recognition systems are based on 2D 3D space. images. 3D face recognition is expected to yield an improved reliability in terms of false acceptance and false rejection A normalized 2D intensity image of a predefined size is rates. The system developed by CSEM is the first system generated from an orthogonal projection (Figure 4a) with the based on a time-of-flight (TOF) camera and presents the nose tip at a predefined position. following advancements over the state of art: • It is based on a direct 3D-measurement with infrared illumination requiring minimal computing resources • It is non-intrusive and works in real-time, enabling the acquisition of video sequences • It provides a higher degree of system integration, yielding (a) (b) in lower costs and smaller form factor Figure 4: a) Detected, pose and scale corrected, normalized face; b) Identified face features annotated on normalized face The 3D-TOF camera used in this project is commercially available from MESA Imaging AG, a CSEM spin-off company. Face identification is achieved using CSEM Tileye [4] algorithm The camera has 176 x 144 pixels and uses power LEDs at a in combination with an active scanning and recognition wavelength of 850 nm. Every pixel provides both intensity and paradigm. Teaching data were normalized faces from 28 distance values. The distance resolution is 4 mm (typical) in persons at CSEM. Trained features include left and right eye, the calibrated range of 0.8 to 5 m. An example of input data nose tip and mouth. Face identification is carried out by for the 3D face recognition system is shown in Figure 2. weighting the features that were found (Figure 4b). A real-time demonstrator with a graphical user interface, incorporating the camera access and all signal processing stages, has been implemented in MATLAB. For efficiency, some algorithms were implemented in C/C++ and get called either directly from libraries or from a (local) Web-Service.

Figure 2: Intensity and distance image taken with 3D-TOF camera [1] www.biometricgroup.com/reports/public/market_report.php [2] [2] A. D. Brenzikofer, et al., “3D Face Detection for Biometric While earlier research focused on evaluation of promising Applications”, Scientific and Technical Report 2009, page 9 algorithms, a subset of algorithms suitable for real-time [3] D. Hasler, et al., “A Software Library to Accelerate the processing was chosen to implement a live demonstrator. Prototyping of Vision Applications”, in this report, page 29 After some initial preprocessing on the acquired image data, a [4] R. Wyss, et al., “Bio-inspired Quality Inspection”, Scientific and region separated mask is derived from the distance image. Technical Report 2009, page 102 From that silhouette, the head center (in 3D coordinates) is estimated. A CSEM AdaBoost [3] classification system was trained for robust localization of nose tips. The results are illustrated in Figure 3.

9 Phase Contrast X-ray Imaging N. Blanc, F. Cardot, N. De Rooij, A. Dommann, I. Jerjen •, R. Kaufmann, C. Kottler, J.-P. Morel, P. Niedermann, V. Revol, C. Urban The objective of the presented work is the ongoing development of the X-ray phase contrast imaging (PCI) technology with regard to applications such as inspection, non-destructive testing and medical diagnostics. CSEM has recently developed a facility for PCI which is now available for the related R&D activities [1], [2]. In combination with a standard X-ray source and a detector, the principle of PCI bases on three diffraction gratings that form an interferometer. These gratings appear to be the pivotal element because their fabrication is highly challenging. In the following report, recent developments in grating fabrication are shown as well as the consequent impact on system performance and opportunities. CSEM is developingan X-ray solution that enables views into clearly render many features and details thanks to the samples beyond established X-ray technology. In weakly excellent quality of the gratings. absorbing materials, a substantial enhancement of the contrast is achieved. The technology bases on three A B C diffraction gratings that form an interferometer which is able to simultaneously sense three independent images that each represents an individual contrast mechanism: X-ray refractivity, scattering due to the micro morphology and attenuation. Only the latter is used in conventional X-raying. Moreover, the method is applied in combination with state-of- the-art X-ray sources and detectors. Figure 2: Images obtained from measurement of a toothpaste tube: a) attenuation image; b) differential phase contrast image and c) dark The performance of that method and thereby the range of its field image applicability demands appropriate gratings whose fabrication is however crucial as well as highly challenging. It requires the The achievable grating depth and hence the aspect ratio manufacturing of tiny structures in the micrometer range with essentially defines the maximum X-ray energy that can be an extremely high aspect ratio. CSEM has been developing reached. Higher energy is thus necessary for larger and/or processes based on silicon micro-fabrication that tackle the strongly absorbing samples. Gratings with depths of approx. physical limits. For illustration, Figure 1 shows some examples 100 μm (at 5 μm periodicity) have been achieved. They are of microscopic grating cross-section images. optimized for energies of ≈ 50 keV. The tomographic images in Figure 3 show that by means of PCI, one can discriminate A B between the aluminium weld (marked as W) and the two plates (marked as I and II), although the latter are of the same material.

A B C II II

C D W W

I I

Figure 3: a) Photograph of the weld of two aluminum plates; b) and c) show the transversal cross sections of the reconstructed Figure 1: Grating cross-section images taken with scanning electron absorption and small angle scattering coefficients, respectively. microscope. Deep trenches with high aspect ratio are etched into a silicon wafer. In the case of a) and c), the grating is electroplated with gold to fill the grooves or cover the sidewalls, respectively. • Laboratory for Electronics/Metrology/Reliability, EMPA, The critical grating parameters that pose the challenges with Ueberlandstrasse 129, CH-8600 Dübendorf, Switzerland regard to the manufacture are many: the duty cycle, depth, [1] Work was partly financed by the CCMX platform (grant 0206088) aspect ratio, thickness, shape of the structures, size of the [2] V. Revol, et al., “Noise Analysis of Grating-based X-ray field-of-view as well as the homogeneity but also accuracy in Differential Phase Contrast imaging”, Rev. Sc. Instr. 81 (2010) realizing these parameters. The achievable parameters then 073709 impact the range of opportunities that the phase contrast [3] C. Kottler, et al., Dual energy phase contrast x-ray imaging with imaging method offers. For instance the method was Talbot-Lau interferometer”, J. Appl. Phys. 108 (2010) 114906 embodied so that it is able to run at two different energy [4] C. Kottler, et al., “Phase sensitive x-ray imaging: towards its ranges [3], better sensitivity was achieved by using higher interdisciplinary applications”, Conference Record of IEEE Medical imaging conference (2010), Knoxville (USA) Talbot orders [4] or large fields-of-view were achieved by [5] bending the gratings in order to correct the shape of the V. Revol, et al., “X-ray interferometer with bent gratings: Towards larger fields of view”, accepted for publication accepted for spherical wave front [5]. A further example is shown in Figure 2, publication in Nuclear Instruments and Methods (NIM) in Physics where images from the measurement of a toothpaste tube are Research Section A, (2011) shown. As can be seen, all images are of good quality and

10 Time References – Progress on the Realization of a Miniature Atomic Clock J. Haesler, J. Bennès, G. Perruchoud, T. Overstolz, N. De Rooij, M. Contaldo, D. Ruffieux, J. Pierer, R. Jose James, C. A. Bosshard, S. Lecomte The first prototype of a miniature atomic clock (MAC) was presented by CSEM in 2009. This paper describes the progress realized in 2010 towards the realization of a low-power MAC with integrated RF synthesizer and frequency-lock loop. Recent developments in miniature atomic clock (MAC) Driving the MAC prototype version 2009 with the integrated reaches low power consumption and required small size for RF synthesizer measures short term frequency stabilities in portable device applications. With sub-cubic centimetre the order of 2x10-10 @ 1 s. These performances correspond to targeted volume and battery-compatible power consumption, what the first commercial MACs can archive [2]. miniature atomic clocks will find applications in many fields such as telecommunication (network synchronization), navigation (GPS/Galileo receivers), on-board satellite atomic clocks, as well as other high-end daily applications. In the frame of its strategic time reference activities, CSEM assembled in 2009 its first prototype of a MAC (Figure 1). Working on an atomic interrogation based on the coherent population trapping (CPT) principle, this first prototype corresponds to an assembly of miniaturized building blocks (laser and optics) and other laboratory-sized sub-systems (glass atomic gas cell, driving electronics, RF synthesizer). Figure 3: CSEM miniature atomic MEMS cells (anodic bonding, The performance of the complete clock was in agreement with dispenser or salt fillings, gold reflector) the expectation: the short term frequency stability reached A second step towards the small size and low-power objective -11 [1] 5x10 @ 1 s . was tackled by mastering the fabrication of miniature atomic gas cells using MEMS fabrication techniques (Figure 3). Filling the cells with natural Rubidium was realized with different techniques (alkali metal dispenser and alkali metal salt) and included controlled partial pressures of buffer gas mixture. Reducing the external size of the cell is ongoing in order to reduce the overall physics package size. A new prototype of a miniature atomic clock (Figure 1) was assembled using the miniature MEMS cells. Driving this prototype with laboratory electronics reaches frequency stabilities of the order of 8x10-11 @ 1 s. Driving the prototype Figure 1: CSEM’s miniature atomic clock (MAC). Prototype 2010 with with the integrated electronics was still not possible because MEMS cell (left) and prototype 2009 with glass cell (right) MEMS cells could not yet be filled with the adequate isotope of Rubidium. The MAC activity is ongoing and the production A first step towards the low-power objective was of MEMS cells, filled with Rb-87, are planned during 2011. accomplished in 2010. An integrated RF synthesizer with built- The performance results of the second prototype will trigger in RF-lock loop was developed. It was fabricated in a standard the design of the third generation prototype to be realized by digital 0.18 µm CMOS technology. The chip has an overall the end of 2011. It will comprise a low-power microwave 2 dimension of 2.1x2.3 mm (Figure 2). The overall power synthesizer with integrated laser lock, an advanced packaging consumption of the circuit of only 26.35 mW at an output for low power consumption, and a MEMS cell with reduced power of 0 dBm suffers from the low efficiency of the power size and additional functionalities. stage, which was initially designed to reach output power up to 10 dBm. This research activity is performed in the frame of a multidivisional research program and CSEM would like to thank the SFOTE, the Canton of Neuchâtel, and the Cantons of Central Switzerland for the financial support.

[1] J. Haesler, J. Bennès, S. Lecomte, “Advances in the Development of an eXtra Small Atomic Resonator (XSAR)”, European Time and Frequency Forum (EFTF), Nordwijk (NL), April 2010 [2] Low-Power Core Clock (LPCC), Kernco, Inc. (Danvers, USA) Figure 2: CSEM integrated RF synthesizer with built-in RF-lock loop. Top view (left) and RF chip with BAW oscillator (right)

11 Time References – Silicon Flexures for the Sugar-Cube Delta Robot S. Henein, F. Barrot, S. Jeanneret, R. Fournier, L. Giriens, S. Droz, R. Zwahlen, M. Toimil, M. Gumy, A. Bouxin The objective of this project is to identify the main parameters affecting the admissible bending stress levels in flexure hinges machined in monocrystalline silicon by deep reactive ion etching. Based on these results a three dimensional flexure-based Delta Robot has been designed, manufactured and tested. The machining of macroscopic compliant mechanisms in and detect fracture. The experimental results clearly show the monocrystalline silicon leads to decisive advantages: influence of the various parameters listed above on the admissible bending stress levels in the hinges. This • Ideal elastic properties of the material constitutes a precious set of information for the design of more • Absence of fatigue complex silicon-flexure-based mechanisms. • Machining accuracy (typically one order of magnitude better than that of Wire-EDM) • Possible integration of sensors and actuators inside the articulated structures themselves • Batch production on wafers Nevertheless, the brittleness of silicon, especially under the effect of stress concentration effects, makes the design and sizing of flexures a delicate issue. A wide test campaign with over 500 flexure hinges machined in monocrystalline silicon by deep reactive ion etching has therefore been carried out. The following key parameters have Figure 2: Example of broken silicon flexure hinges (left: circular been explored: hinge, right: rectangular hinge) • Hinge shapes: rectangular (without fillets), circular, To illustrate the potential of this technological approach, a fully rectangular with circular fillets three dimensional silicon flexure-based articulated structure • Hinge thicknesses : 5 to 100 µm has been designed, manufactured and tested. This structure constitutes the skeleton of a three degrees-of-freedom (DOF) • Surface treatments: raw DRIE surface, addition of a parallel kinematics robot based on the industrially famous silicon oxide layer, followed by a total removal of that Delta architecture. This micro-robot has the size of a large layer and finally followed by a second oxidation process. sugar-cube (20x20x20 mm) and a stroke of 1.2 mm in all three directions (X-Y-Z). Actuated by three voice-coils, its position is • Wafer thicknesses : 250 to 500 µm measured by a specific and integrated version of the CSEM • Orientation of the hinge direction with respect to the IcyCam absolute nanometric and six DOF optical encoders crystalline orientation whose grating is directly attached to the end-effector of the robot. Figure 3 shows a picture of the Sugar Cube Delta robot with its end effector pointing downward.

Figure 1: Test specimen for silicon flexure hinges Figure 3: Sugar-Cube Delta robot Figure 1 shows one of the test specimens (15x15 mm) used to characterize a set of silicon flexure hinges. This work was funded by the Swiss Confederation. CSEM thanks them for their support. The fracture stress level in bending mode has been measured for these hinges using a specific test setup to load the hinges as well as a dedicated test bench, to impose the deformation

12 Time References – Hermetic Packaging of Miniaturized MEMS J. Baborowski, F. Cardot, R. Jose James, C. Muller, A. Pezous, G. Spinola Durante, M.-A. Dubois A combination of different bonding technologies is used to provide a leak-tight encapsulation of silicon resonators. Fusion bonding is used for the backside, whereas AuSn eutectic bonding allows the sealing of a glass cover on the front side. Gold electrical feedthroughs are created directly in the glass cover so as to enhance miniaturization. Initially, piezoelectrically actuated silicon resonators were developed as new time references for electronic applications. Very small resonators, between 0.15 and 0.3 mm2 in size at a frequency of a few hundred kHz, were fabricated with a figure of merit Q·k2 of up to 50. Subsequently, these resonators proved to be perfect pressure sensors for the development of the hermetic packages. As shown in Figure 1, vacuum level down to 10-1 mbar was measured.

Figure 2: Top view and cross section of glass covers after polishing

On the back side of the resonators, the cavity had been sealed until now through anodic bonding of a Pyrex wafer. Besides their substantial thickness, Pyrex wafers also introduce contamination concerns. To reduce the total thickness of the component, and get rid of the contamination issue, CSEM has developed buried cavities into SOI wafers. This technology, based on fusion bonding and thinning of Si Figure 1: Quality factor of a 200 kHz resonator as a function of wafers, enables the fabrication of very thin components. pressure Figure 3 shows an example of such a cavity. The 25 μm Si membrane on the top of the cross-section corresponds to the On the front side, the packaging technology is based on a resonator layer. It can be noted that after fabrication of the glass cover with Au electrical feedthroughs, which is soldered cavities, the Si membranes are slightly concave, indicating to the resonator with an AuSn eutectic alloy. The fabrication that they are vacuum-tight. process flow for the cover is the following: cavities are first etched in a 500 μm thick glass substrate by DRIE, then holes for the feedthroughs are drilled by using a sand blasting process. The glass wafer is then temporarily mounted onto a silicon wafer support comprising an electrochemical seed layer, enabling the electro-deposition of gold for the formation of the feedthroughs. The support wafer is then removed and the glass wafer is polished. Figure 2 shows an example of covers at this fabrication stage. As a last step, AuSn is deposited and patterned by lift-off to realize the electrical bumps and the sealing ring. The leak tightness of glass chips with Au feedthroughs were tested down to 10-10 atm·cm3/sec.

AuSn eutectic bonding offers many advantages for the packaging of miniaturized components: very narrow hermetic Figure 3: Cross section of a buried cavity made by fusion bonding seals have been obtained, it accommodates topography owing to the presence of a liquid phase during bonding, and The combination of these different bonding technologies as AuSn is deposited by evaporation, extremely low should enable the packaging of miniature MEMS resonators in outgassing after sealing is expected. Die to die first results are a well controlled low-pressure atmosphere. very promising, with a sheer strength of more than 100 MPa, as reported in [1]. [1] G. Spinola-Durante, et al., "Reliable hermetic chip-scale packaging targeting wafer-level", in this report, page 100

13 C-EIT – Unsupervised Identification of Heart and Lungs Function using Electrical Impedance Tomography D. Ferrario, J. M. Solà i Carós, A. Adler, S. Böhm EIT is a candidate technology for the non-invasive monitoring of cardio- and respiratory functions in humans. By placing a set of electrodes around the chest EIT generates conductivity images of the thoracic cavity. The analysis of sequences of EIT images generated along several cardiac and respiratory cycles is the main focus of this research activity. The objective is to obtain impedance curves that represent heart and lung function non- invasively. As a first step, an unsupervised method that automatically identifies heart and lungs within an EIT image sequence has been developed. The accuracy of the method is currently being tested on pigs, supported by simultaneously acquired computed tomography (CT) images. EIT is a non-invasive medical imaging technique that In order to assess the accuracy of the developed method, generates images of body conductivity. EIT images of the computed tomography (CT) images have been simultaneously thorax are obtained by simply placing an electrode belt around recorded on four pigs. CT-scans generate detailed images of the chest (Figure 1). Cyclic airflow (respiration) within the the thoracic cavity, and provide ground-truth information on lungs or blood flowing (perfusion) through the heart and heart and lung (H&L) morphology and position. Accordingly, vessels modify the conductivity distribution of the thoracic EIT based ROIs were compared on a pixel-by-pixel basis to cavity. A sequence of EIT images involving several cardiac the corresponding structures on the CT-scans (Figure 3). and respiratory cycles reflects such changes. By identifying the regions of interest (ROI) within EIT images, where cardio- respiratory events occur, functional curves of the heart and lungs have been extracted, as if a virtual catheter was placed right within such structures.

Figure 1: EIT images are generated from data provided by an EIT chest belt. Regions of interest (ROI) corresponding to heart and lungs are automatically identified. Finally, functional curves are extracted from heart and lungs ROIs.

An unsupervised method has been developed to identify, Figure 3: Assessment of accuracy of the proposed EIT technique by within a sequence of EIT images, those pixels containing CT imaging. Background images correspond to four pigs CT-scans at functional information related to the heart and lungs (H&L). the level of the EIT electrodes. Black ROIs on the CT-scan were The method estimates both cardiac and respiratory manually segmented by a medical doctor and correspond to heart frequencies, and calculates the amount of energy depicted by and lungs. Pixels depicting cardiac and respiratory functional each pixel at both frequency bands. A new family of cardio- information, as identified by the novel EIT technique, have been superimposed in white. The objective was not to obtain a perfect respiratory images is then generated by associating to each regional matching of the EIT and CT ROIs but to identify those EIT pixel an energy value (Figure 2). Adjacent pixels containing pixels that would provide with 100% specificity the functional relevant cardio-respiratory activity are finally clustered, and information of H&L. Thus, the method aimed at optimizing precision. associated to the location of the heart and lungs. The results of the presented validation experiment show that

Heart Lungs EIT is very specific in identifying pixels containing functional information on heart and lungs activity. Therefore, this is a first CT-based experimental proof that EIT is a valid technology for the non-invasive and unsupervised extraction of cardio- respiratory functional information. Although the accuracy of the proposed technique still needs to be assessed in humans, the concept of using EIT as a non-invasive technique to place virtual functional catheters within cardio-respiratory structures

is appealing and might improve bedside patient monitoring. Figure 2: Automatic identification of heart and lungs in a ventilated pig using EIT. The left figure illustrates the distribution of energy at This activity has been performed in the framework of a multi- the cardiac frequency band while the right one shows the distribution divisional research program of CSEM and in collaboration with of energy at the respiratory frequency band. Lungs and heart ROIs the departments of anaesthesiology of the universities of have been automatically identified as those pixels, where respective Hamburg and Mainz, Germany. The work is funded by the cardio-respiratory energies are maximized. Swiss Confederation and CSEM thanks them for their support.

14 IMS-eye – Concept for Implantable Surgery Eye P. Glocker, M. Hübner, S. Widmer Laparoscopy is one of todays most pushed technology in surgery. This minimal invasive technique reduces the infection risk, reduces the hospitalization days and is a cost saving surgery method. The disadvantage is that the surgeon only has visual access to the point of intervention via an endoscope. In the study a concept idea was developed to eliminate the endoscope and give the surgeon a new instrument for monitoring and controlling the surgical intervention inside the abdomen. This led to a temporally implantable surgery camera. In the laparoscopy of today (Figure 1) the standard is to use • No moving electronics endoscope to visualize the point of interaction within the body. • Space saving The actual technology in return is high-definition visualizations for high-quality endoscopy. Supplementary supporting • Robust design technologies such as narrow band imaging (NBI) and The concept with a moving mirror on the sphere (Figure 2) photodynamic diagnostic (PDD) deliver further enhancements that deflects the point of interest into a fix camera with that assist diagnosis and treatment by providing even better integrated autofocus was found to be a suitable concept for an depictions. The view direction of an endoscope is typically in implantable camera. the direction of the insertion through the port. Because of the importance of picture quality the endoscope and the limited For the two dimensional angle movements the sphere is possibilities for reduction of optical system diameter, the rotated by piezo slip stick actuators. This concept fulfils the instrument occupied the best and the biggest opening in the requirements like low voltage, miniaturization and precision. laparoscopy port. The new implantable surgery eye will be To generate the friction force between the sphere and the inserted into the body before attaching the laparoscopy port, piezo a permanent magnet is used. This allows a design so that all ports are available for the surgical instruments. without any bearing. The autofocus function is based on existing actuators that are today used for camera systems. These motion actuators are based on voice coil or piezo drives which are successfully produced in large numbers.

Figure 1: Laparoscopy with endoscope

The second aspect is the picture resolution. It is mainly driven from the marked. From a surgery point basically, it quantifies how close structures can be to each other and still be visibly apart. Today HDTV1080i which has 1080 pixels from top to Figure 2: Concept for IMS-eye “implantable mobile surgery eye” bottom is standard. This design concept for the surgery eye leads into following The new requirement for a surgery eye is the navigation power requirements: search angles and focus adjustment to locate the point of • Digital camera with 5 Mpixel typically 420 mW interest. • Cold light (LED flash driver) 250 mW The specifications for IMS-eye are: • Lens focus and motion actuators 500 mW (camera in • Horizontal search angle +/- 90° motion) and 130 mW (quiescent) • Vertical search angle +/- 90° • Encoder MPEG4 500 mW and Bluetooth 3.0 100 mW • Focus range 10 – 300 mm The instantaneous power required on the mobile becomes • Pictures frame rate > 10 Hz for full resolution approx. 1400 mW. For specified surgery duration of 5 hours the system requires autonomy of 7 Wh. For the design of kinematics to control the search angles several concepts were studied. For the evolution the following selection criteria have been used: • Minimum numbers of moving parts • No moving cables

15 WiseFIELD – An Autonomous, Self-recharging Ultra-low Power Wireless Platform for Environmental Monitoring D. Manic, P. Dallemagne, C. Henzelin, P.-A. Beuchat, D. Séverac, E. Le Roux, B. Perrin, A. Restrepo The first field tests using WiseFIELD, a wireless environmental monitoring platform, have been performed. WiseFIELD demonstrates an autonomous, self-recharging ultra-low power wireless system based on CSEM icycom System-on-Chip and commercial sensors. The goal of the WiseFIELD is to be a CSEM multi-disciplinary humidity and temperature (Driesen+Kern DK-RF400-01-2000) competence demonstrator in the field of environmental and sunlight radiation (Apogee Instruments SP-110). monitoring for agriculture. Figure 2 shows a typical node installation in a vineyard. The The WiseFIELD platform combines both a dedicated CSEM node is installed at the top of a pole in order to get as much System-on-Chip (icycom) [1] and wireless protocols sunlight as possible. As targeted, the platform was (WiseStack) to reach the lowest power consumption as well permanently supplied with the solar cell during the tests as a high degree of flexibility. The supported voltage range of making it completely autonomous. The system is capable of 2.7 to 3.6 V makes the platform compatible with lithium-ion generating a peak current of 40 mA at 3.3 V regardless of rechargeable battery technology. In sleep mode, a precise sunlight conditions [2]. real time clock runs on 4 μA. Additionally, channel sampling of the 865-928 MHz communications every 250 ms costs another 4 μA on average. Autonomy and flexibility are extended by using solar cell power harvesting and interfaces to commercial, off-the-shelf (COTS) sensors. The WiseFIELD hardware platform consists of three electronic boards: • A power generation electronic board including solar cells and a rechargeable battery • A board for the icycom SoC (an ultra low-power wireless single chip solution combining an icyflex1 DSP processor, a radio transceiver in the 865-928 MHz range, as well as analog and digital peripherals)

• A board with connectors to up to 6 different commercial sensors at the same time Figure 2: WiseFIELD platform in its first field test – in the Neuchâtel vineyards with soil moisture, leaf wetness and air humidity or The three electronic boards are placed in a foldable, temperature monitoring from commercial sensors. waterproof housing. Wireless communication is performed using an external, orientable dipole antenna. IP67 push-pull The first field tests have shown the efficiency and relevance of micro connectors have been used for the six sensors. the WiseFIELD system for the collection of environmental and A photo of the WiseFIELD module is given in Figure 1. agricultural parameters. The platform proved to be a highly power-efficient solution for many monitoring applications, in particular environmental and agricultural. All strengths of its individual components are proven as well as the potential of their combined advantages [3]. Since the first tests have been very positive, the use of the WiseFIELD platform will be extended to other environmental monitoring applications and larger scale deployments [4].

[1] E. Le Roux, et al.,”Icycom, a 1V RF SoC with an 863-to-928MHz 400kb/s radio and a 32b Dual-MAC DSP core for Wireless Sensor and Body Networks,” ISSCC Proc. (2010) 464 [2] www.micro10.ch/conferences_specialisees.php [3] Figure 1: Autonomous WiseFIELD platform based on the icycom chip www.ti.bfh.ch/de/forschung/veranstaltungen/energy_ allowing 6 different sensor connections harvesting_running_mobile_devices_longer.html [4] www.rsr.ch/#/la-1ere/programmes/impatience/?date=02-09-2010 In the first field tests, the WiseFIELD nodes were interfaced with commercial sensors for the monitoring of soil moisture (Decagon EC-5), leaf wetness (Decagon LWS) as well as air

16 CHAP – Cell Handling and Analysis Platform H. F. Knapp, N. Schmid, S. Graf, R. Limacher, D. Fengels, P. Schmid, C. Meyer, J. Bitterli, A. Meister, M. Liley, F. Montagne, I. Zhurminsky, M. Schnieper, P. Niedermann In pharmaceutical research and areas like toxicology the need to understand the action of compounds on life is important. The relatively new field systems biology focuses on the systematic study of complex interactions in biological systems, such as cells or cell clusters, thus using a new perspective to study them (holism instead of reductionism). As a result, the importance of cell based or multicellular based assays has been steadily growing over the last few years. Besides the development of cell based assays on the biological level, this trend calls for tools and instruments for automation of cellular assays and the related preparation methods. The cell handling and analysis platform (CHAP) is designed as a common platform with exchangeable and standardized modules for offering quick solutions for pharmaceutical research, diagnostics, and toxicology. A common platform for cell-based assays should contain structured chemically to immobilize melanoma cells in an modules for cell feeding and extraction and reagent and buffer array of 100 µm spacing after 2 h culturing time. This process exchange as well as specific modules for manipulating, is non-reversible. The second approach uses a Si3N4 analyzing, and supporting cells. The modules should be membrane with an array of 3 µm pores to hydrodynamically concerted by a common robotic platform (Figure 1). focus and immobilize individual cells onto the pores. This process is reversible.

Figure 1: Concept of the cell handling and analysis platform (CHAP) Figure 3: Immobilization of cells mediated by (left) structured surface chemistry (array spacing: 100 µm) or (right) hydrodynamic focussing In this last year, work concentrated on three modules: on a membrane with 3 µm micropores (array spacing 50 µm)

• Liquid handling module for liquid and cell dosing with flow Finally, the force-controlled microinjection is based on control, in-flight monitoring, and liquid arrival check CSEM’s NADIS technology [1]. A dedicated probe-holder for • Cell substrate module for arranging cells in an array-like the hollow NADIS probes was designed, which allows to use a fashion pressure controller to dispense miniscule liquid amounts through the probe. The hydraulic resistance of the setup • Cell manipulation module for force-controlled (NADIS probe with a 1 µm tip aperture) is shown in Figure 4. microinjection into cells

Figure 4: Hydraulic resistance in the NADIS probes

Figure 2: Sample aspiration and dispensing module This work was partially supported by Micro Center Central Switzerland (MCCS). CSEM thanks them for their support. The liquid handling module (Figure 2) was constructed only 8 mm wide in order to meet the 9 mm raster of the 96 wellplate format. The module integrates the CSEM [1] J. Przybylska, A. Meister, P. Niedermann, P. Behr, M. Gabi, proprietary Turbisc pump with a miniaturized flow sensor for N. Matthey, G. Weder, J. Polesel-Maris, T. Zambelli, J. Vörös, closed-loop, in-system control of the actual liquid volume M. Liley, H. Heinzelmann, “A Liquid Delivery System for Single dispensed. This was coupled with an in-flight visual volume- Cell Applications“, CSEM Scientific and Technical Report 2008, monitoring unit and a unit for monitoring the liquid level in page 70 individual wells of a multi-well plate (liquid arrival check). For the immobilization of cells in an array-like fashion two approaches were chosen (Figure 3). The first uses a substrate

17

NANO-TERA ACTIVITIES IN 2010 Alex Dommann CSEM medium term research attempts to anticipate how J. Brugger-EPFL; H. Knapp-CSEM; A. Martinoli-EPFL; society’s needs will evolve and what the impact of such an B. Nelson-ETHZ; N. Spencer-ETHZ; H. Wolf-IBM ZRL; evolution will be. Today’s technologic strengths will be L. Sciboz-RFID Center Sierre developed taking into account boundary conditions (the state • TecInTex: Technology integration into textiles: of the Swiss economy, R&D landscape, etc.) Efforts will be empowering health dedicated to the technological skills needed by industry G. Tröster-ETHZ; J. Luprano-CSEM; S. Pasche-CSEM; (integration, quality control, processes, design, packaging) K. Cherenck-ETHZ; M. Wolf-UZH; M. Heuberger-EMPA; and to system integration (multi-parameter smart sensors). R. Rossi-EMPA Participation in applied national research programs such as the Nano-Tera program has proven extremely fruitful. Nano- RTD 2010 projects with CSEM participation are: Tera has also brought us closer to our academic partners in Switzerland. • ISyPeM: Intelligent Integrated Systems for Personalized Medicine The Nano-Tera.CH initiative supports research into the C. Guiducci-EPFL; C. C. Enz-CSEM; T. Buclin-CHUV; engineering of complex (tera scale) systems for health, G. De Micheli-EPFL; C.-A. Pena-Reyes- HES-SO security and the environment (HSE) which use nanotechnologies. Nano-Tera.CH is a cooperation program of • PATLiSci: Probe Array Technology for Life Science the Swiss University Conference (CUS/SUK). From a legal Applications standpoint, Nano-Tera.CH is a partnership between the two H. Heinzelmann-CSEM; F. Beermann-EPFL; Swiss Federal Institutes of Technology in Lausanne (EPFL) J. Brugger-EPFL; N. De Rooij-EPFL; H.-P. Herzig-EPFL; and Zurich (ETHZ), the universities of Neuchâtel, Basel and A. Mariotti-CePO; E. Meyer-Uni Basel; R. Romero-LICR; Geneva (UniNE, UniBasel, UniGE), l’Università della Svizzera H. Vogel-EPFL italiana (USI) and CSEM. • PlaCiTUS: Platform Circuit Technology Underlying The mission of Nano-Tera.CH includes research, Heterogeneous Nano and Tera Systems development and technology transfer as well as education Q. Huang-ETHZ; C. Dehollain-EPFL; C. C. Enz-CSEM and dissemination. Its objective is to enable mechanisms that • GreenPower: Connecting renewable energy to green can map wealth of research ideas, publications and patents of mobility using hydrogen as energy carrier under the the Swiss scientific and business communities and to help Belenos Clean power Initiative create significant momentum in terms of industrial growth as J.-A. Manson-EPFL; L. Lisowski-CSEM; well as of job and company creation. This specific engineering G. Scherer-PSI focus differentiates Nano-Tera.CH from other funding programs. In 2009, about CHF 25M was allocated to Acronyms 10 Research, Technology and Development (RTD) projects, involving 62 investigators. In early 2010 a similar amount was CePO Centre pluridisciplinaire d’oncologie, University allocated to 9 new RTD projects with 42 investigators. The of Lausanne first wave of 10 RTD projects, which started in mid 2009, CHUV Centre hospitalier universitaire vaudois focuses mainly on enabling technologies for nano-systems (e.g. sensors, 3-dimensional integration) and on some HSE EMPA Swiss Federal Laboratories for Materials applications (e.g. prosthetics, X-ray diagnosis). The second Testing and Research wave of RTDs, which started in 2010, has more of an HES-SO VD University of Applied Sciences Western engineering systems flavor. More information is available at Switzerland, Canton Vaud www.nano-tera.ch. HES-SO VS University of Applied Sciences Western RTD 2009 projects with CSEM participation are: Switzerland, Canton Valais IBM ZRL IBM's Zurich Research Lab • LiveSense: Cell-based sensing microsystem P. Renaud-EPFL; M. Liley-CSEM; N. De Rooij-EPFL; IST Institut for Work and Health H. Girault-EPFL; M. Riediker-IST; J. van der Meer-UNIL; LICR Ludwig Center for Cancer Research of the M. Geiser-HESSO-VS; V. Vogel-ETHZ University of Lausanne • Nexray: Network of integrated miniaturized X-ray PSI Paul Scherrer Institute systems operating in complex environments A. Dommann-CSEM; H. von Känel-ETHZ; RFID Center Sierre P. Gröning-EMPA; C. Urban-CSEM Icare research institute at TechnoArk Sierre • SelfSys: Fluidic-mediated self-assembly for hybrid UNIL University of Lausanne functional N/MEMS UZH University of Zurich

19 GreenPower – Connecting Renewable Energy to Green Mobility L. Lisowski, E. Onillon, P. Theurillat, C. Meier, M. Correvon, A. Dommann, A. Neels The systemic approach to control and optimize global energy flow, including sources of renewable energy, has become a strategic field of research and development activities at CSEM. CSEM, PSI, EPFL and Belenos Clean Power launched in March 2010 the Nano-Tera project ‘GreenPower’, based on Belenos’ concept of clean power and green mobility. In this project, CSEM is collaborating with Belenos for the development of the “Home Control Unit” called NTCS (Nano-Tera Control Station) and the associated methodology to ensure a high reliability of the overall system. Belenos Clean Power is developing an environmentally The key function of the NTCS (Figure 1) is the control of the friendly transportation system, which is of paramount global energy flow (i.e. the energy production, storage and importance for decreasing the emission of greenhouse gases consumption), and the communication between the various to the environment. The aim of the concept is to accelerate components of the system (e.g., user and his car, electricity the necessary revolution in clean energy production and provider via SmartGrid, meteorological data provider, and reduction of energy consumption by using solar energy for maintenance services). The control algorithms and mobility, as well as other purposes with a special focus on procedures are developed following defined criteria, using energy conversion and storage. mathematical models and associated simulation tools. CSEM contribution to the GreenPower project concerns the The project partners include Belenos Clean Power Holding simulation model development and the control implementation (industrial partner), highly involved in technical and and will include modern approaches as prediction of user management aspects to ensure a coherent vision of the needs but also statistical and stochastic data related to overall complex project, EPFL-LTC (Laboratory of Polymer weather conditions for a given geographical location. and Composite Technology), involved in the development of a composite storage vessel, and PSI-ECL (Electrochemistry Laboratory), conducting research on proton-conducting membrane for the polymer electrolyte fuel cell. CSEM activities are focused on the development of the NTCS, which corresponds to the “intelligence” of the overall system. Research activities in the energy domain especially address the development and optimization of elements, such as for instance inverters, chargers, PV modules, etc. Recent systemic and holistic approaches focus on the optimization of the global energy with the main difficulty to adapt the accessible energy sources (such as sun, wind, grid) to user Figure 2: Control concept needs and lifestyle. Additionally, the optimization algorithms take the economical conditions into account, which also The control concept, depicted in Figure 2, optimises the depend on taxes determined by the energy policy of the energy flow, taking into account two criteria: ecological impact administrative and political authorities. and global cost (including local taxes). The resulting “cost function” is their combination with different weighing factors. Renewable Energies Meteo Suisse An important building block of the controller is the user model, SmartGrid which will be continuously adapted in function of user lifestyle PV inverters and habits, as well as his energy needs. An important aspect

Batteries charge, Electrolyzer of the GreenPower project is that the project will be Energy storage (batteries, immediately implemented and verified on the NTCS hydrogen, oxygen) demonstrator. Domestic equipments User’s Mobility A second domain of CSEM activities within the GreenPower

NTCS project is to closely collaborate with EPFL and PSI to ensure a NanoTera Control Station high reliability of the components of the energy chain. The high resolution X-ray diffractometer HRXRD is used to Figure 1: Nano-Tera Control Station concept measure the strain of a crystal as well as the fault The main innovative aspect of the GreenPower project is the concentrations. The possibilities to use these techniques in systemic approach to design, and to implement and control monitoring (with the exception of detection, safety, such a heterogeneous system, which is characterized by: protections) will also be investigated and evaluated. • Objects such as solar panels, inverters, battery chargers, The functional definition and critical cnalyses were completed hydrogen production and use, which should be controlled in 2010 by a project design review (PDR). The detailed design with the speed of few kHz and implementation started in 2011. • Dependency of renewable energy sources referring to CSEM’s project activity is partially funded by the Swiss predictable weather conditions Confederation. CSEM thanks them for their support. • User lifestyle and related needs of energy

20 LiveSense – Environmental Sensors based on Living Cells S. Ahmed, M. Giazzon, M. Liley Current biochemical or bacterial methods for testing water pollution are expensive and do not test for a wide variety of toxicants. Traditionally, broad spectrum toxicity tests have been done in-vivo on fish and on other animals as defined in a number of the organisation for economic co-operation and development (OECD) standards. There has been a huge drive to refine and to develop new in-vitro methods that could be used to reduce animal testing in water quality controls. This study aims to utilise transepithelial electrical resistance measurements to monitor the quality of water in a river or a stream. Traditional methods for testing water pollution are expensive permeability on the silicon nitride membrane due to the ultra and time consuming and do not give real time data for the thin nature of the membranes. quality of the water. This study aims to develop a cell-based autonomous biosensing microsystem to test for water quality. Transepithelial electrical resistance (TEER) measurements will be used to monitor the integrity of a monolayer of epithelial cells in an automated fluidics system. The system will be installed at a river or stream and will sample the water. A drop in TEER will be taken as indicative of the presence of toxicants in the water sample and will be automatically relayed to an operator notifying them of a problem. Utilising TEER measurements to test the toxicity of the water samples has several major advantages over traditional methods. A drop in TEER value would not be specific to a certain toxin, therefore, what would normally require several tests to check the toxicity of a sample would be executed with Figure 1: The possible fluidics system that could be used is shown one easy to interpret test using TEER. The system is being above. Commercially available PDMS wells are modified by CSEM to developed at CSEM. allow the membrane to be placed in the middle. Cells are grown on the membranes and media is passed over the apical and basolateral Caco-2 cells are human intestinal cells which form a tight area using a peristaltic pump. monolayer of cells and exhibit tight junctions (Figure 2). Tight junctions are essential in order for high TEER values to be A wide array of traditional toxicological end points are used in observed. When tight junctions are not present, then order to determent cytotoxicity. It remains to be seen how pass across a membrane by diffusion. With a tight monolayer sensitive TEER measurements are in comparison to more of cells, ions can only pass via active transport thus is an regular methods of measuring the toxicity of a substance. In increase in resistance. this study CSEM aims to use heavy metals, detergents and pesticides as test toxicants in order to ascertain how good a A B measure of cytotoxicity taking TEER measurements is. A device such as this could have many applications in different fields such as drug absorption, pharmacological and nanotoxicology studies. It also committed to the 3Rs philosophy of replacing, reducing and refining animal testing which is a key issue in modern society and is becoming increasingly relevant due to changes in EU policy [1].

Figure 2: a) Tight junction staining for the ZO-1 protein on CSEM [1] eurlex.europa.eu\\LexUriServ\\LexUriServ.do? silicon Nitride membranes and b) traditional transwell inserts. uri=OJ:L:2010:276:0033:0079:EN:PDF A simple fluidics system for epithelial cell culture has been developed (Figure 1). It includes a double flow PDMS chamber, with upper and lower compartments separated by an ultrathin microporous support for cell growth. Integrated electrodes allow regular measurements of the TEER of the epithelial cell layer. Comparisons between resistance measurements using standard STX electrodes and Transwell polyester inserts and those carried out in the new fluidics system indicate a significant improvement in reproducibility. Continuous TEER measurements have been taken in the system and indicate a healthy, tight monolayer of cells. Transport studies conducted on the silicon nitride supports and on the Transwell supports indicate a higher apparent

21 SelfSys – Fluidic Mediated Self-assembly of Mesoscale Objects J. Wienen, H. F. Knapp, M. R. Gullo •, L. Jacot-Descombes •, J. Brugger •, G. Mermoud ••, M. Mastrangeli ••, A. Martinoli ••, D. Xu *, B. J. Nelson *, V. V. Nagaiyanallur **, N. Spencer **, H. Wolf ♦, L. Sciboz ♦♦ Micro/nanosystems (M/NEMS) composed of hybrid, multi-functional microcomponents will form the basis of future integrated systems, allowing an unprecedented degree of complexity and miniaturization in the fields of information, bio-medical and environmental technologies. Current manufacturing approaches that are based on top-down robotic systems reach their limits when dealing with a multitude of micrometer-sized components that are to be assembled. A better approach uses methods based on self-assembly, as known from nature, which works massively parallel. However, because self-assembly relies entirely on chance, it needs assistance to guide assembly towards the outcome envisioned. The Nano-Tera project Selfsys strives to self-assemble hybrid without diluting it with the functional fluid. The building blocks microsystems in fluid by tuning the interaction forces between are then relayed to the reaction chamber where external the different facets of the freely floating building blocks. forces acting on the building blocks can be toggled between a Additionally, the building blocks are exposed to force fields to state of bringing them close together or spreading them apart. direct the stochastic self-assembly towards a more efficient Additionally, the building blocks are agitated above a and controlled assembly. Finally, a fluidic guiding system will threshold that should only allow assembly into the final control the complete process from the introduction of the microsystem configuration. Other, arrangements, based on individual building blocks, exchange of liquids, force field weaker interactions between the building blocks, should be interaction, and extraction of correctly assembled repressed. Finally, assembled parts are to be transported to a microsystems. Two applications were identified to container. Unassembled components are filtered out using a demonstrate this novel production technology a) liquid sorter. containing M/NEMS for subsequent drug/ink release and The functionality of the sedimentation filter has already been b) RFID tagged M/NEMS for tracking of hybrid systems. demonstrated using 88 µm sized polystyrene spheres. Initial The project involves an intimate interaction between advanced tests with a reaction chamber employing ultrasonic agitation micro/nanoengineering, surface functionalization, micro- have also been made (Figure 2). However, this stage still has fluidics, sensor/actuator and micro/nanorobotic concepts, as a large potential for improvements. The final stages of the well as modeling and computer-aided design, an fluidic system have not been realized yet, namely the sorter, interdisciplinary effort well aligned with the objectives of the which is supposed to feed unassembled building blocks back Nano-Tera research initiative. The consortium has been built into the supply fluid. purposely around the needed expertise and is led by EPFL Microsystems Laboratory (LMIS1, Prof. J. Brugger).

Figure 2: Setup of the reaction chamber for assisted self-assembly Figure 1: Fluid handling system for supporting directed self-assembly based on ultrasonic agitation of M/NEMS This work is supported by the Swiss Nano-Tera Program. CSEM contribution to the consortium is the development of CSEM thanks them for their support. the fluidic handling system for the directed self-assembly of liquid containing M/NEMS (Figure 1). The fluid system has several stages with corresponding objectives. After • Microsystems Laboratory LMIS1, EPFL fabrication, the building blocks are stored in their supply fluid. •• Distributed Intelligent Systems and Algorithms Laboratory, EPFL For the assembly, this suspension is entered into the fluid ∗ system via the supply fluid cycle. In the sedimentation filter the Institute of Robotics & Intelligent Systems IRIS, ETHZ ∗∗ building blocks are re-suspended in the functional fluid, i.e. the Laboratory for Surface Science & Technology LSST, ETHZ fluid which later has to be contained within the assembled ♦ Science & Technology, IBM Rüschlikon microsystem. The flows of the two fluids are tuned to lose as ♦♦ RFID Center, Icare Research Institute little as possible of the typically expensive functional fluid,

22 PATLiSci – Probe Array Technology for Life Science Applications H. Heinzelmann, M. Favre, G. Weder, T. Overstolz, M. Liley, A. Meister Investigating biological phenomena on a cell level is a key for a deeper understanding of many diseases, such as cancer. Micromechanical force sensors similar to cantilevers used in force microscopy are excellent tools to probe interaction forces occurring at cell membranes, or to determine the micromechanical properties of cells. The parallel arrangement of these microcantilevers allows for experiments with sufficient statistics so that the technique has a large potential to be used for screening applications in life science research. The development of techniques based on micromechanical disregulated wound repair and to the tissue deformations force sensors (micro-cantilevers) is of increasing importance characteristic of fibrosis. for applications in biological sciences. Scanning force Single cell nanomechanical experiments are very time microscopy and related techniques allow for high resolution consuming. Even for experienced researchers, the time imaging e.g. of membrane proteins, offering unprecedented currently required to get reasonable statistics for a single set insights into their structure and their functioning. Furthermore, of parameters is in the order of days. For this method to be related non-imaging methods such as force spectroscopy relevant both scientifically and economically, array based study the mechanics and the adhesion forces between formats have to be developed that measure multiple cells in materials ranging from proteins to entire cells. An impressive parallel. This project addresses this point. body of literature on mechanical properties of molecules and their interaction forces has been generated in the recent Disposable micromechanical probe arrays (Figure 3) are past [1]. However, little has been done so far on a cell level fabricated by cleanroom methods. The highly parallel read-out (Figure 1), due to increased complexity of both the scheme is based on dedicated optical interferometry. The understanding of the mechanisms involved, and the latter is being optimized for sensitivities and bandwidths that complexity and the number of the experiments to be allow force measurements at sufficient rates (100s to 1000s of conducted (control, statistics). Hz for dynamic events). Methods are being developed in collaboration with our partners to prepare the corresponding cell arrays on appropriate substrates.

Figure 1: Experimenting on the cell level is a key for a better understanding of many biological processes and diseases

This Nano-Tera project deals with the development of cantilever array based methods for their application in different Figure 3: Array of microfabricated force probes to investigate fields of biological sciences and medical research. While the hundreds of cells simultaneously and thus providing superior overall project comprises micromechanical sensing as well, statistics to single cell experiments CSEM is focusing on the development of tools for parallel force spectroscopy on and between cells (Figure 2). The This project will bring force spectroscopy to the next level. targeted applications are in the field of cancer research. The Adhesion and elasticity data will be available in sufficient project is highly interdisciplinary, with partners from quantities by highly parallel operation of sensitive Universities of Basel and Lausanne, as well as the EPFL. micromechanical cantilever probes. This novel tool has high potential to be used in cell based screening applications, such as e.g. for the development of new medications. This project was in part financed with a grant from the Swiss

Figure 2: Cellular adhesion can be measured by highly sensitive Nano-Tera.ch initiative and evaluated by the Swiss National micromechanical force probes Science Foundation.

It has been shown recently that the stiffness of cancer cells affects the way they spread in the body [2]. Equally important [1] M. Lekka, et al., « Elasticity of normal and cancerous human for the development of cancer are the adhesion forces of bladder cells studied by scanning force microscopy », Eur cancerous cells to other cells. The measurement of Biophys J 28, 1999, 312 nanomechanical properties of cells as well as cell-cell [2] S.E. Cross, et al., « Nanomechanical analysis of cells from interactions as a function of milieu parameters is thus of cancer patients », Nature Nanotech 2, 2007, 780 particular interest. A related field of relevance is the understanding of inflammatory processes that lead to

23 TecInTex – An Integrated Biosensor for the In-situ Monitoring of Wound Healing S. Pasche, B. Schyrr, R. Ischer, E. Scolan, D. Ferrario, J.-A. Porchet, G. Voirin An optical biosensor was developed for the continuous monitoring of pH in wounds. The biosensor is based on optical fibers and small optical components, which enables easy integration in a wound dressing. The wound care market is growing worldwide, resulting from been fabricated to facilitate exchange of liquids for fiber growing needs, particularly related to chronic wounds. Recent testing. LEDs and photodiodes are integrated with a National developments have focused on novel therapies for a better Instrument acquisition card, and are connected to a computer wound healing process. However, there is a substantial lack of via a USB connection. A LabVIEW™ interface is used to suitable technologies to assess the wound healing status. acquire, process, and display data. In-depth understanding of chronic wounds will not only facilitate the treatment, but will also assess the effectiveness of novel wound therapies and will rationalize costs. To date, there is not any reliable tool that allows in situ wound monitoring. In this perspective, within the Nano-Tera project TecInTex [1], a smart sensing textile is being developed for measuring parameters in wounds in real time, based on a network of sensitive optical fibers. These developments benefit from CSEM expertise in wearable biosensors, gained in the European projects BIOTEX and PROETEX [2]. Glass optical fibers (core diameter 200 µm) were modified to allow acidity (pH) measurement in the solution in contact with the fiber. Part of the cladding surrounding the fiber core is replaced by a sensitive coating, which transforms pH changes Figure 2: Experimental set-up for testing and calibrating the in solution into an optical signal. The sensitive coating pH-sensing optical fibers comprises a sol-gel layer, which incorporates pH-sensitive indicator dyes (Figure 1). The sol-gel porous structure enables An optical biosensor based on optical fibers has been penetration of wound exudates while preventing leakage of developed to monitor the wound healing process in real time, the encapsulated dyes into the solution. Deposition of the sol- measuring pH variations in wound exudates. Current gel film by dip-coating results in a layer thickness between developments aim at monitoring other wound biomarkers, 0.7 and 1.6 µm. Glass optical fibers will soon be replaced with such as the activity of proteases, and at multiplexing the polymer fibers, in order to confer the required flexibility to the sensors in the textile to monitor the whole surface of a wound. sensor, for integration into textiles and optimal contact with the Integration of the sensor in the wound dressing will provide wound. assistance for the supervision of chronic wounds (Figure 3). Smart wound dressings combining sensing with treatments will allow evaluating, adjusting, and validating novel therapies.

Figure 1: Sensing optical fiber; principle and scanning electron micrograph of the sol-gel sensing layer

A LED at the wavelength of maximum dye absorbance is connected at one end of the optical fiber, and a photodiode at the other end. Absorbance of the light that penetrates into the Figure 3: Smart dressing incorporating sensing optical fibers, for sol-gel layer is monitored in real time. PH sensing optical monitoring wound healing fibers are calibrated by measuring the absorbance signal as a function of the pH of the solution in which the fiber is This work is partly funded by Nano-Tera.ch. CSEM thanks immersed. Thus, the response signal of different pH sensing them for their support. fibers can be compared, and exhibits a good reproducibility as well as no hysteresis. The stability of sensing fibers has shown a good stability after at least 3 months storage in both [1] www.nano-tera.ch/projects/69.php air and room temperatures. Integration of the pH sensing fiber [2] www.biotex-eu.com/ and www.proetex.org with optical and electrical components allows characterization and calibration of the fiber sensor (Figure 2). A flow cell has

24 NexRay – Next Generation X-ray Systems B. Batlogg ••, C. A. Bosshard, N. Blanc, A. Brenzikofer, A. Dommann, H. R. Elsener •, C. V. Falub ••, S. Giudice, R. Jose James, H. von Känel ••, R. Kaufmann, C. Kottler, C. Lotto, K. Mattenberger ••, E. Müller ••, A. Neels, L. Neumann, P. Niedermann, P. Gröning •, O. Gröning •, G. Spinola Durante, C. Urban, P. Wägeli •• This project targets the development of novel pocket X-ray sources and X-ray direct detectors that will have a large impact on a multitude of applications like e.g. emergency medicine, minimal-invasive surgery, radiation therapies, in-line inspection of goods, imaging of fast processes, etc. Novel concepts for miniaturized, carbon nanotube based X-ray sources and germanium based CMOS X-ray detectors enable numerous novel imaging modalities, like. e.g. static tomography or even X-ray time of flight measurements. The miniaturized X-ray sources are based on multi-wall recipient containing the whole device. As an example the carbon nanotube (CNT) cold electron emitters and advanced assembly bench holding the carbon nanotube substrate is microsystems technology. The electron field emission shown on the right side of Figure 1. Electron currents properties of CNTs with their high current densities make sufficiently high for tube operations are extracted from the them prime candidates for cold emitter cathodes. When using nanotubes and sustained over more than 12 hours. CNT cold electron emitters it will be possible to miniaturize the On the detector side it has been proven that thick high quality whole X-ray source to an outer volume of a few cm3. germanium layers can be epitaxially grown on a CMOS silicon Additionally as opposed to classical thermionic emission, field substrate. An example of a 40 µm thick Ge layer on silicon is electron emission of the CNT is voltage controlled which shown in Figure 2. It can easily be seen that dislocations only allows for high modulation frequencies up to GHz level. occur at the Ge-Si interface and that the rest of the Ge-layer is The X-ray direct detectors are based on crystalline germanium essentially defect free. This fact has been confirmed by high absorption layers grown directly on a CMOS sensor chip, resolution X-ray diffraction measurements. yielding high resolution and high sensitivity X-ray detectors. Single photon detection enables a significant improvement of contrast for applications in security, health care and non destructive testing. Moreover, the direct integration of germanium absorption layers into CMOS sensors results in on-pixel signal pre-processing capabilities which can be exploited for various applications.

X-ray Diamond window

Metal anode Figure 2: 40 µm high Ge pillars grown on a silicon substrate. The dislocations are confined to the Si-Ge interface, the Ge pillar is e- Pyrex glass essentially defect free (image by courtesy of ETHZ). Si 100µm The concept for the device integration was established in

20µm close collaboration with a CMOS foundry to ensure the e- Au coating SiO (2µm) feasibility in terms of technology and economic aspects. The 2 Pt CNT modified CMOS process allows for a Ge layer deposition in a 400µm Si pure post-CMOS procedure. The Ge layer is epitaxially grown using a LEPECVD process [1] on the backside of a thinned Au Figure 1: Concept for the miniaturized X-ray tube (left) with a CMOS wafer. The full process flow and the circuit architecture photograph of the assembly bench dedicated for the test and of the chip are defined and engineering test-runs will take characterization of source devices (right). place in 2011 in order to prove the manufacturability of such a monolithically integrated sensor. Figure 1 shows the planned configuration of the miniaturized source with carbon nanotube electron emitters, an extraction This work was funded by Nano-Tera.ch. CSEM thanks them grid and a transmission anode directly evaporated on a for their support. diamond exit window. The source is assembled using well- known processes from micro-technology, like e.g. anodic • wafer bonding or metallic bonding, which maintain a vacuum EMPA, Abteilung Füge- und Grenzflächentechnologie, of 10-5 mbar. The development and the identification of the Dübendorf, www.empa.ch •• optimum design is a prerequisite for the successful ETH Zurich, Institute for solid state physics, Zurich, www.ethz.ch implementation of the concept of the pocket X-ray sources. [1] M. Kummer, et al.,”Low energy plasma enhanced chemical Therefore, a platform has been built in order to be able to vapor deposition”, Materials Science and Engineering B operate the sources before they are realized as a sealed 89(2002)288 device. Within this system, the arrangement of the chip electrodes (that include the actual source) is equivalent to the final sealed device but the operation is done in a vacuum

25

INTEGRATED & WIRELESS SYSTEMS Christian Enz The new Integrated and Embedded Systems program aims at ubiquitous, location-aware devices, systems and services the applied research and development of complete low power (pages 16, 30 and 38). The aim of the third track is to study and miniaturized embedded systems mostly based on CMOS the ways and means of providing adaptability, integrated circuits and systems or systems-on-chips (SoC). ‘reconfigurability’ and mobility for wireless systems by means The latter are typically made of one or several SoCs including of innovative hardware and software. The fourth track analog-, RF- and digital-blocks and combined with antennas, investigates both new concepts and services which use imagers, sensors, MEMS and energy sources into a wireless systems with the aim of enhancing the lives of heterogeneous system. The embedded software is strongly citizens (e.g. in the areas of health monitoring, sports training, constrained in terms of available resources, power environmental monitoring and homes) and emerging consumption and real-time operation. The closer cooperation technologies for the future. between integrated and embedded systems clearly enables The Ultralow-power (ULP) Radio IC Design sub-program aims the global optimization of the overall system in terms of at developing the next generation of low power and low HW/SW resources, power/energy consumption, size and voltage radio SoCs. This subprogram contains three tracks: finally cost, which remains the key feature of many wireless MEMS-based radio, radio SoCs in deep submicron CMOS applications such as wireless sensor networks and wireless and digital baseband. The first track focuses on the design of body area networks. To achieve these objectives, the a 2.4 GHz MEMS plus CMOS radio, and consists of Integrated and Embedded Systems program is structured developing a MEMS-based radio that combines RF CMOS around four sub-programs, namely: circuits with RF BAW resonators together with low-frequency • Embedded vision systems silicon resonator MEMS. A new radio transceiver architecture is proposed that takes advantage of the high-Q of the BAW • Short-range wireless communication systems devices to achieve extremely low phase-noise in the • Ultralow-power (ULP) radio IC design frequency synthesizer (pages 40 and 42). The second track focuses on deep sub-micron RF CMOS blocks, extending the • System-on-chip (SoC) platform RF CMOS circuit portfolio and enabling the realization of The objective of the Embedded Vision Systems sub-program CSEM‘s next generation ultralow-power radio SoC. The third is the development of vision systems based on vision sensors track focuses on digital radio baseband blocks and consists of which provide outstanding performance in terms of low power the development of a flexible digital processing unit capable of consumption, robustness and cost. This subprogram focuses addressing a variety of MAC protocols and subsequent on developing a complete embedded vision system, including modulation schemes. It is based on an original micropower the vision sensor front-end, processing engines, development phase analog-to-digital converter (page 37). tools and embedded software - including dedicated vision The objective of the System-on-Chip (SoC) Platform sub- algorithms - necessary to achieve a global optimum. The program is the design of complex SoCs comprising sensors, activity is divided into two tracks: vision systems for metrology on-chip sensor interfaces, digital processing and storage, and and vision systems for detection. In the first track, integrated radio links. This sub-program contains three tracks: SoC front-end circuits are developed for highly miniaturized optical platform, DSP processor design and SoC design encoders. The second track focuses on algorithm methodologies. The first track aims at offering a SoC platform development for recognition and classification in security comprising a hardware- and a software development kit for applications. A software library for fast prototyping of detection use in the design of low power systems for applications in, for applications has been developed (page 29). This library has example, the biomedical field (page 34). The second track been implemented onto the icycam platform and successfully aims at consolidating the current icyflex DSP and used for the detection of people. It has also been applied to microprocessor core family and its use in SoCs (page 35) and the detection of a person’s face using the data available from extending it to multiprocessor SoCs (page 33). The third track a 3D time-of-flight camera (page 28). aims at providing an efficient and robust design flow for The Short-range Wireless Communication Systems sub- complex and very low power SoCs. program focuses on the following research tracks: efficiency The launch of this new Integrated and Embedded Systems and robustness in harsh environments, interaction, RF research program highlights the strategic objective of sensing and localization, adaptability, ‘reconfigurability’ and strengthening the synergy between integrated circuits, mobility, and new concepts and emerging wireless system-on-chip (SoC) and embedded systems, the latter of technologies. The first track aims at studying robust and which has an ever larger software component. This new efficient short range wireless systems in highly resource- direction will allow the Division to better respond to the constrained environments and at their deployment in real demands of industries which are increasingly looking for conditions and harsh environments (page 31). The goal of the complete low-power embedded solutions for their applications second track is the development of indoor/outdoor (page 35). localization-, positioning- and sensing techniques to create

27 Detecting Shapes using a 3D Time-of-Flight Camera D. Hasler, P. Volet In the context of a biometry project, this work implements the detection of a person’s face using the data of a three dimensional time-of-flight camera. In particular, it combines two dimensional (2D) and 3D data to reliably localize the position of the nose of people to be recognized. CSEM has initiated a multidisciplinary integrated project (MIP) performance). For analysis purposes, a system without targeted at the automatic human face detection and orthographic projection is also tested. recognition for biometric applications. In this context, this work The choice of 2D versus 3D feature is performed by random demonstrates the robust localization of 3D face parts, like the sampling in each feature family with equal probability using eyes, the nose or the chin of human faces appearing in the the AdaBoost algorithm. Unlike what is done in the literature [4], field of view of a 3D time-of-flight camera. this results in an automatic choice of the feature type. A generic library developed at CSEM [1] is applied to train a Due to lack of data, the raw performance of detection is not mixture of 2D and 3D features for the detection of the person’s meaningful (the best classifier achieves close to 100% of nose. These features are designed to be approximately correct detections on CSEM tiny dataset). An interesting invariant to expected image variations, like variations due to insight is the influence of the 3D versus 2D feature, which is head orientation or variations due to distance to the camera. extracted from the learnt classifier, as shown in Figure 2. In The local surface curvatures [2] are good candidates to provide the classifier using orthographic projection, the 2D features such a set of 3D features. The curvature measures an intrinsic are chosen first, and contribute to 75% of the classification. property of the human face without being influenced by the When using a traditional projection, the opposite situation is camera position or orientation. For instance, the curvature observed: the 3D features are chosen first, and contribute to values inform the detection system if a given portion of the 60% of the classification. The performance of the 2D features surface is best approximated by a plane, an ellipsoid or a in the orthographic projection might be explained by the hyperboloid, regardless of its position and orientation presence of radial lines, clearly visible on the right of Figure 1. (Figure 1). The 3D features are computed in two steps. First, These radial lines are due to a 3D discontinuity between the the depth information (i.e. the distance from the plane of the face and the background. By exploiting this artefact, the 2D sensor to the surface of the human face) is locally features applied in the orthographic projections exploit 3D approximated by a bi-dimensional second order polynomial. information, with the risk of over-learning the training dataset, Then, the minimum, maximum, mean and Gaussian and becoming very sensitive to any shape in the foreground, curvatures are estimated from the polynomial coefficients. regardless of its nature.

Figure 1: Detected nose using a combination of curvature and orientation features. Left: using a traditional projective camera model. Figure 2: Percentage of 3D features chosen by the classifier, as a Right: using an orthographic camera model. function of the number of features. Solid line: for a projective camera model. Dashed line: for an orthographic camera model. Additional variation can be introduced in the feature by changing the scale at which the curvature is computed: a In conclusion, 3D information not only gives more security to small scale corresponds to a very fine shape analysis, biometry applications but also helps the detection of a person whereas a large scale corresponds to a global shape analysis. in scenes composed of many objects of different nature. The learning algorithm will decide the scale, the type of curvature and the location of the feature combination used in the final classifier. [1] D. Hasler, J. Jesse, “A Software Library to Accelerate the Prototyping of Vision Applications”, in this report on page 29 This project uses orientation of contrast [3] as its 2D feature. It [2] chooses an orientation, and counts the number of edges J. Beck, “Surface Analysis Methods,” Computer Graphics and Applications, 6 (1986) 18 having this orientation compared to the total number of edges [3] in a given area. K. Ali, F. Fleuret, D. Hasler, P. Fua, “Joint Pose Estimator and feature Learning for Object Detection”, ICCV International To achieve insensitivity to camera-to-face distance, the 3D Conference on Computer Vision (2009) range image is rendered in space, with the texture of the [4] K. I. Chang, K. W. Bowyer, P. J. Flynn, “An Evaluation of image of the face, and projected using an orthographic Multimodal 2D+3D face Biometrics”, IEEE Transactions on projection (computed on a graphic card for real-time Pattern Analysis and Machine Intelligence, 27 No. 4 (2005) 619

28 A Software Library to Accelerate the Prototyping of Vision Applications D. Hasler, J. Jesse This work addresses the detection of an object in a scene with a camera or a vision sensor. Most projects at CSEM start by a pre-study phase, where the feasibility of a given task is evaluated and its cost estimated. The present work enables the fast prototyping of detection applications, to estimate the approximate performance - miss versus false alarm - of a detection task during a feasibility study. It also accelerates the development of the final detection application. With the help of a camera and a computing unit, this work Today, the library has been applied successfully in the addresses problems like: detection of people using an IcyCAM camera mounted on the ceiling, as shown in Figure 1. The camera has a vide angle • Is there a person in this room? optics, covering the entrance of the CSEM building, and the • Is there a person waiting in front of the elevator? 2 persons are detected using a combination of orientation features computed from greyscale image. • Is there a hand close to the saw? • Is there a car in the parking space? • Etc. In other words, any task that informs about the presence of an object and its location in the field of view. The library implements a class of algorithms known as Boosted classifiers [1] to solve the detection problem. The idea behind the approach is the following: if a simple rule is able to Figure 1: Detection of persons from above, using a grey-scale image tell if a sub-image contains the object of interest with a better In Figure 2, the system is trained to detect the nose of a performance than a pure random result, then by combining person in an arbitrary scene, pictured with a 3D time-of-flight several of these rules in the right way, the result will be better camera [2]. The camera delivers, for every pixel, the distance to than any of the simple rules taken individually. the person and a greyscale value. A red cross shows the Formally, the simple rules are called weak classifiers h. They detected nose. The radial lines are due to an orthographic must compute either a 1 in the presence of the object or a -1 projection of the 3D data, used to generate a constant head in the absence of the object. The boosted classifier H is a size regardless of the distance between the camera and the weighted sum of these weak classifiers, and its sign informs person. about the presence of the object. The detection is performed by sliding a window in the image, extracting the sub-image and evaluating the classifier H at every location. The result is the position of the object, its number and location. A weak classifier h, is a function that has some free parameters that are chosen in a machine learning phase. These free parameters are, for example, a location of interest in the sub-image, a threshold value, the colour to look for, etc.

This gives the programmer the control on the choice of the Figure 2: Detection of a person’s nose, using a combination of parameters to be learned by example, and on the ones that time-of-flight range data and grey-scale data (the unusual are fixed and known a-priori. It also gives the programmer the representation is due to an orthographic projection of the 3D data) control on the type of information used in the classification: for example a weak classifier can use a contrast value, a corner These two examples show that the library can be applied to value, an edge orientation, a colour, etc. This allows to hand input data of different types. Future work will consist in tailor a family of weak classifiers for a given task by building a set of well chosen and optimized weak classifiers, incorporating the a-priori knowledge of the detection problem. to enable the user to choose among existing ones instead of rewriting new ones every time. In the long term, the aim is to The library has a tool that allows a user to click on the object further shorten the work of porting classifier into an optimized to detect. The resulting image sequence is called the training code for an embedded platform. database. The free parameters, as well as the weights in the weak classifier combination are computed using this training database. The library enables the programmers to [1] R. Schapire, “The boosting approach to machine learning: An concentrate on writing their own weak classifiers h, provided overview” MSRI workshop on Non Linear Estimation and that in general, the writing of the weak classifier is the key of Classification (2002) the success of a vision task, but usually represents only about 20% of the required manpower. [2] D. Hasler, P. Volet, “Detecting shapes using a 3D time-of-flight camera”, in this report on page 28

29 Infrastructure-free Car Localization in Parking Lots using Low-power Wireless Sensor Networks N. Chiurtu, C. Kassapoglou-Faist, D. Piguet, J. Rousselot The use of wireless sensor networks (WSN) to locate and track people or objects has been a very active area. However, most existing systems for indoor and outdoor localization lack flexibility and ease of use, mainly because of their high costs and/or installation complexity. This paper presents a rather inexpensive and low power system for finding and tracking cars in parking lots, with minimal installation costs and required infrastructure. Localization in wireless sensor networks (WSN) has been distances between the nodes (cars). Then, a graph algorithm receiving a lot of attention in the last few several years mainly is applied in order to obtain the car relative positions because an increasing number of applications depend on the (coordinates) in the parking lot. The underlying computation node position (location-based services). Various solutions method of the second phase is based on a graph layout have been proposed, but most of them suffer from their rather technique, the force-directed model, implemented in the java high costs, mainly due to their requirements on equipment, universal network/graph framework (JUNG). This technique infrastructure and on-site measurement campaigns. In this models the nodes as electric charges linked by springs whose work CSEM proposes a solution that uses as little information rigidity depends on the confidence on the distance estimation from the environment as possible, minimizing installation for the link, and applies Coulomb’s and Hook’s laws on the costs. nodes of the system. Due to fading and shadowing, which occur in wireless channels, RSSI-based distance estimates The proposed localization system deals with car finding in a are not very precise. Hence, the performance of the graph car plant parking lot and will be demonstrated in the context of algorithm depends on the node initial positions, which must be the IPAC project [1]. At the output of the manufacturing plant, pre-computed. In this respect, the use of a few anchor nodes the cars are placed in a parking lot, each car is labelled with a (fixed nodes in the parking lot with known positions) greatly bar-code but the positions in the parking lot are not enhances the results. memorized in an information system. Employees are daily assigned the task to find a number of specific cars The obtained car positions can be superimposed on a map of corresponding to orders and drive them away. In order to the parking lot, producing a clear visualization of the car improve the search process, the plant parking lots are locations. The position estimates can be further refined using currently segmented. As of today, the employees search in various constraints, as for example the parking lot map the parking subarea until the intended vehicle is found. In structure, the fact that minimum distances must be respected order to improve the productivity of this procedure (reduce between the cars, the use of a restraint set of links on the searching time and parking space), CSEM has developed a graph or the use of anchors in the parking lot. A result set is WSN-based system that builds a map of the cars in the car shown in Figure 2. Although it contains a few inaccuracies, the park and guides the employees toward their targeted cars. car mapping is satisfactory for the targeted application. Localization is based on received signal strength indicator (RSSI). A self-(re)configuring network of wireless sensors is used, based on the ultra-low power MAC-layer protocol WiseMAC™ [2] (developed at CSEM). A wireless sensor node is placed on each car in the parking lot, as shown in the test deployment example depicted in Figure 1.

Figure 2: Positions of the cars obtained with the proposed algorithm

In addition, this localization scheme accommodates a mobile node moving in the parking lot (e.g., an employee looking for a particular car) by positioning the node in real time with respect to the other cars. In general, it enables quick localization and visualization of cars and mobile nodes in a parking lot for

efficient car finding. Figure 1: Parking with the position of the nodes placed on the cars

The wireless sensors broadcast beacon messages at regular [1] time intervals. Each car node collects the RSSI of the beacons Integrated Platform for Autonomous Computing, EU project, it receives from its direct neighbours, forms reports and sends http://ipac.di.uoa.gr [2] them in a multi-hop manner to a sink node. The first phase of A. El-Hoiydi, J.-D. Decotignie, “WiseMAC: an Ultra Low Power MAC Protocol for Multi-hop Wireless Sensor Networks”, the localization process, which is run at the sink node, uses Algorithmic Aspects of Wireless Sensor Networks, Springer the RSSI measurements to compute a rough estimate of the Berlin, 3121 (2004) 18

30 Deployment of WiseNET Technologies in Extreme Conditions: the Case of the Bonnard Glacier A. Restrepo-Zea, B. Perrin Using the wireless sensor network technology developed at CSEM, and in association with Centre de Recherche sur l’Environnement Alpin (CREALP), a network composed of 10 WiseNodes (with data logging capabilities) and a GPRS gateway has been deployed on the Bonnard glacier (Zinal, canton du Valais) to monitor in real-time the bottom temperature of the snow cover and to evaluate the evolution of permafrost-affected zone. The Bonnard glacier is a rock glacier located in the canton du later, when the communication is re-established or at the end Valais (Switzerland) generating debris-flows and rockslides of the collection campaign. The GSM Controller stores all the over the Zinal valley. This creeping mass (horizontal recorded received values and once a day transmits them to the host movements between 0.3 and 1 m per year) has been closely controller. After that, the memory buffers are released. To watched by CREALP over the last few years. save energy, the GSM module is switched off most of the time and it is only activated to transfer the data acquired by the Establishing the permafrost conditions on different zones of WiseNodes towards the remote host controller and to get the Bonnard glacier is the objective of this study. Permafrost is operational commands sent by the remote host controller. a thermal phenomenon in which a thick surface of ground remains frozen throughout all the year. Where this accumulation of debris is frozen, the whole mass seems to creep faster. Under a consistent and isolating snow cover, after some months, the temperature of the snow/soil interface of the permafrost-affected zones falls generally well below -3 to -4°C. Determining where permafrost zones are present will give clues of the internal structure of the rock glacier, which in Figure 2: System architecture turn will help to understand the dynamic of the debris flows. In the host controller, the WiseDataProxy software module Figure 1 shows the 9 points where the temperature sensors reads the GPRS packets and populates a database with the and the GPRS gateway were placed on the Bonnard glacier. acquired data. Several tools are used to query the database The arrows identify the connectivity paths between the and to display the data in different ways. Figure 3 shows a WiseNodes handling the temperature sensors and the sink typical plot containing the acquired temperatures during a WiseNode connected to the GPRS gateway. determined time interval. Note that one of the WiseNodes stopped transmitting before January 2nd. The snow covers the node and the data transmission is not possible. The recorded values will be retrieved later when the conditions are better.

Figure 1: Bonnard glacier deployment Figure 2 presents the system architecture used in this Figure 3: Bottom temperature of the snow cover (BTS) curves deployment. The wireless sensor network, composed by the The CSEM Wireless Sensor Network and the GSM gateway WiseNodes and the temperature sensors, is connected to the are designed to resist the typical harsh conditions in the GPRS gateway, which contains the WiseNode that acts as the Bonnard glacier. Under the current configuration of acquisition sink and a GSM controller (a microprocessor, external flash periods and transmission paths, years of operations on battery memory and a GSM card). The WiseNodes are programmed are possible. to sample their temperature sensor every 6 hours. After each acquisition, the temperature values are transmitted towards the sink, which transfers them to the GSM controller. If, for any reason, the transmission fails due to permanent or temporary communication disruptions, the acquired values are also recorded in a data logger memory (flash memory) present in each WiseNode. The missing values are retrieved

31 Execution of Embedded Code in a Network Simulator J. Rousselot, D. Piguet CSEM has developed a method that improves the accuracy of wireless network simulations, greatly reduces development complexity, simplifies porting protocols stacks to new radios and enables automated regression tests. It consists of embedding the firmware C code in the OMNeT++simulator and its wireless extension MiXiM. The method is highly modular: it is possible to isolate every layer of the embedded communication protocols stack and combine it with simulation modules, or to combine all of the embedded protocols together. Executing the embedded code in the OMNeT++ simulator gives access to a fast simulation kernel with powerful simulation configuration semantics, large simulation model libraries and extensive data collection and analysis tools. • Map method calls between C modules to the OMNeT++ standard interface between modules • Map the data structures used by the C code to OMNeT++ cMessage objects • For each host, save and restore the global variables used by the embedded C code in a WiseStack proxy module • Introduce utility functions in the proxy module for unit conversions (RSSI, time, network addresses) • Redefinition of key API functions of the C code and redefinition of various hardware related define statements to make the C code configurable at run-time • Introduction of a set of global variables dedicated to information exchange between the embedded and simulation codes This method leads to fast simulations, enables the user to extract all variables of interest during a simulation, allows Figure 1: Relationships between the OMNeT++ modules and the modeling networks of all sizes, and allows combining embedded C code seamlessly simulation and embedded communication protocols. It is now even possible to start developing a novel Wireless embedded systems are difficult to debug, because of embedded protocol within the simulator and use the same their limited resources that prevent extensive tracing, and code on the embedded platform later. The tool can also be limited physical access due to application specific constraints. used to facilitate porting the embedded code to another In particular, the large size (up to a few hundred) and long platform. While the approach presented here focused on the deployment durations (often more than a year) of wireless CSEM wireless sensor networking software stack, it can be sensor networks makes detecting all bugs before deployment applied to other code bases. Figure 1 illustrates how a challenging task. embedded code can be interfaced with OMNeT++. This work presents a novel method that enables executing the The method described offers the following advantages in the embedded ultra low power communication protocols code of embedded development process: the WiseNET wireless sensor network platform within the OMNeT++ discrete event simulation engine and its MiXiM • Reduced development complexity, by focusing on a wireless networking library. It allows to freely combine single software stack embedded code protocols and simulation models, enabling • Simplified network debugging, as many bugs can now be fast and accurate evaluation of novel protocols. The identified in simulation simulation of the entire stack enables setting up automated regression tests on the source code repository servers, and a • Better understanding of the dynamics of our wireless better understanding of the dynamics network. Using the networks OMNeT++ kernel provides access to efficient data collection • Reduced time to port our software stack to new radio and analysis tools, enables fast simulations and, last but not transceivers least, offers sophisticated simulation configuration mechanisms. • Automated regression testing introduced in software development process. The following guidelines were adopted to enable the execution of embedded C code inside the C++ simulator: • Future work will consider performance optimization and modelling of lower-layer interfaces. • Map each C embedded protocol module to a dedicated OMNeT++ encapsulation module

32 An icyflex-based Multiprocessor Architecture J.-L. Nagel, C. Arm, M. Morgan, A. Corbaz, V. Moser, P. Volet Multiprocessor systems-on-chip (MPSoC) are effective platforms for scalable embedded signal processing. They offer new opportunities in terms of power management, which can be applied in a processor sub-block, at the level of a whole core or a cluster of cores. This flexibility is very promising when moving to more aggressive technology nodes with ever increasing density of switching and leakage power. A cluster combining several processor cores, including DSPs, single core. The latter requires increasing the supply voltage, belonging to the icyflex [1] family was designed. Several such which has an adverse impact on power consumption due to clusters are then integrated, together with clusters based on the quadratic dependence of switching power on supply other provider cores, in a single heterogeneous chip solution. voltage. It is therefore preferred to increase the parallelism to The system is globally asynchronous locally synchronous limit the impact on power consumption. (GALS), i.e. individual synchronous clusters are The three independent instructions that can be fetched and interconnected with an asynchronous network on chip (NoC). dispatched on every cycle by a single icyflex4 already allow The icyflex-based cluster integrated in the Genepy platform, is making the most of the instruction level parallelism (ILP) composed of an icyflex2 core, handling all the private available in a given application. The icyflex4’s runtime peripherals and system related tasks (timers, etc.), and of two reconfigurable instructions further improve the ILP. Data level icyflex4 DSP cores with vector units containing two slices of parallelism (DLP) can often directly translate multiple data processing, for processing large amounts of data (e.g. audio instructions (SIMD) to a single instruction, for which the or video processing). The design is made to be customizable icyflex4’s vector processing unit is specifically well suited. to support other combinations of processors. A single DSP is however not the best candidate to take The bus interconnect of this multicore cluster relies on a advantage of thread-level parallelism (TLP), mainly due to distributed shared memory structure (Figure 1). Each DSP context switching when considering the large number of and controller works on its own, dynamically attributed, set of registers that have to be saved and restored. When a task, or memory banks (program and data memories), even though thread, is initiated on a DSP, it seems more reasonable from these banks belong to a unified memory space, i.e. all an energy point of view to only schedule a new task when the memory banks may be accessed by all cores. This previous finishes instead of continuously switching from one synchronizes cores (e.g. by storing semaphores in those task to the other. With multiple DSPs, it becomes possible for memories) or exchanges data between cores. It removes the an application to dispatch one thread per core, while bottleneck of a single system memory, or the complexity of eventually running each thread at a slower pace, finally many cache instances. The complexity is thus carried over resulting in lower energy consumption. from the hardware to the software, which is most of the time a At the cluster level, a next step is to apply different power good strategy in order to reduce power consumption. management strategies such as voltage and frequency A message passing interface was simultaneously added to the scaling (i.e. having different voltage domains for the various cluster, consisting of first-in first-out queues connecting the cores), coarse-grain power gating (i.e. switching off cores. These queues are used either to pass synchronization completely unused cores or memory banks), in addition to the messages between cores, or as data streaming interfaces. In existing efficient clock gating. the latter case, the queues are used to perform data-based It is thus naturally possible to fit scalable applications (e.g. the synchronization (each core advances only when new data to scalable extension of the H.264 video codec) differently on an process is available) instead of message-based MPSoC. For example, it is possible to execute a task on a synchronization that requires larger overheads in terms of single DSP or to split a single task (assuming DLP is communication. available) onto two different DSPs and dynamically reduce their operating frequency and supply voltage. It is also possible to increase the voltage and frequency of all cores in order to boost ILP during a short period of time. The local power consumption over the MPSoC can thus be changed dynamically, e.g. to regulate the temperature across the die. This is clearly the objective of the Genepy platform developed in the frame of the Therminator [2] EU project: thermal sensors will equip the clusters to monitor the evolution of temperature and software strategies may be applied to modify the task allocation over time.

Figure 1: Architecture of an icyflex-based MPSoC [1] M. Morgan, et al., “icyflex: an ultra-low power DSP core for portable applications”, IPSoC (2010) When higher performance is required, it is possible to either [2] www.fp7-therminator.org increase parallelism or to increase the clock frequency of a

33 Applications using the icyflex1 Processor D. Séverac, A. Corbaz, C. Henzelin, T.-C. Le, M. Morgan, J.-L. Nagel The icyflex1 ultra-low power processor designed at CSEM has been getting increasing interest from industrial, research and academic partners. Several project teams are currently building systems around icyflex1-based chips for their capability to integrate more processing at the node level and hence reduce overall power consumption. Current applications range from medical monitoring to robotics, gaming and consumer electronics. ULTRAsponder [1] is an in vivo ultrasonic transponder system which supports SoCs based on icyflex processors in order to for biomedical applications which is to be implanted deep in maximize portability/reusability of the source code as well as the human body. Ultrasounds are used to recharge and the performance and efficiency. The software architecture is control the implant and to download logged and processed based on an abstraction layer which isolates the code specific data from sensors on the implant. ULTRAsponder focuses its to a processor and/or SoC from the upper layers. efforts on a first demonstrator devoted to chronic cardiac diseases but strives for a general solution applicable to several possible pathologies, like acute diabetes, epilepsy and other debilitating neurological disorders. This project is in collaboration with Medtronic, Imasonic and 5 research centers.

The icycom [2] System-on-Chip (SoC) is a research platform which integrates an icyflex1-based microcontroller with an RF transceiver and advanced power management functions. The Figure 1: Software organization with hardware abstraction layer (HAL) chip is used in several academic and research projects including for ECG monitoring at EPFL-ESL and hardware In the proposed architecture, three distinct layers have been development at EPFL-LAP. developed (Figure 1). The Application layer provides a HEPIA (HES-SO) heads a project [3] for the HUG to collect collection of program examples. The Library layer implements statistical data on the disinfection of hands by health specific services. Concrete implementations are provided for personnel to fight nosocomial infections. Disinfectant bottles each platform. SoC-dependent code is grouped in a Hardware are fitted with an icycom SoC to timestamp and log each Abstraction layer (HAL) which provides the same opening of the bottle. This data is then compressed and programming interface for all SoCs. The complexity of the transmitted wirelessly by icycom through the network of implementation of the HAL may vary from one SoC to another. similar bottles and RF hubs to a central computer. EPFL, For instance, the HAL implementation of icycom allows power HEIG-VD and CHUV are partners in ISyPeM [4], a project mode management and is based on a tick timer to optimize which tackles the issues of assessing drug response by efficiency when using peripherals. In order to reduce the measuring drug concentrations in the patient and partners’ development effort and to share source code, CSEM experimental biomarkers, providing drug treatment indications provides revision control by means of subversion repositories based on processing of statistical and personal data, and and a build environment based on the make utility. In this way, enabling the seamless monitoring and delivery of drugs. partners receiving a hardware development kit have a complete set of pre-existing programs. With reduced Wear-a-BAN [5] implements an unobtrusive wearable human to specification and coding efforts, developers can build machine wireless interface which uses a SoC based on the applications optimized in size and power consumption. icyflex1 processor. This project uses the icyflex1's DSP features to extract motion and emotion information from Multiple projects based on the icyflex1 processor are currently motion and audio sensors. The project is a collaboration with under development. Despite the broad range of applications, 14 other industrial and research partners and associations. they all share the common goal of ultra-low power ULTRAsponder and Wear-a-BAN are projects partly funded consumption. CSEM has extended its support for the existing by the FP7 program of the European Commission. In addition, hardware and software development kits by providing a several industrial projects are confidential. All these projects software environment with hardware abstraction layer and share two common features. First, they benefit from local program examples to promote code reuse. processing to reduce the amount of data to be transmitted wirelessly to a remote node and thereby reduce the overall [1] www.ultrasponder.org power consumption of the system. Second, these applications [2] have processing needs which are not continuous in time and E. Le Roux, et al., “A 1V RF SoC with an 863-928MHz 400kbit/s Radio and a 32-b dual-MAC DSP Core for Wireless Sensor and icyflex1-based SoCs minimize the leakage current between Body Networks”, ISSCC Proc. (2010) 464 processing jobs. Both of these features are key enablers of an [3] hepia.hesge.ch/fileadmin/instituts/init/pdf/NRF4NOSOCOM.pdf extended battery lifetime. [4] www.nano-tera.ch/nanoterawiki/ISyPeM CSEM supports these projects by providing hardware and [5] www.wearaban.eu [6] software development kits , documentation and a library of [6] S. Gyger, et al., “Hardware development kit for systems based low-level functions to reduce the effort of the developers in on an icyflex processor”, CSEM Scientific and Technical Report individual projects. A software architecture was developed 2009, page 28

34 Design of an Ultra-low Power SoC dedicated to Social Networking Products S. Gyger, F. Giroud, C. Arm, P.-A. Beuchat, A. Corbaz, C. Henzelin, T. Le, E. Le Roux, C. Monneron, M. Morgan, J.-L. Nagel, D. Séverac, D. Sigg The system-on-chip (SoC) presented hereafter is a circuit combining a CSEM icyflex2-based microcontroller, a USB 2.0 interface, a wireless communication block and power management features. The SoC was designed to optionally work alongside an external near-field communication (NFC) transceiver. This SoC has been integrated, characterized and validated. The customer, Poken S.A., will launch its mass production at the beginning of 2011. CSEM was approached to design a SoC for Poken [1], a Swiss The SoC manages three different communication modes: start-up commercializing products for social networking. • Wireless communication between Poken devices - the Poken’s first generation product was made with off-the-shelf SoC exchanges data (URLs) using a proprietary (OTS) low-power components. Poken devices facilitate social communication protocol patented by Poken. networking by exchanging URLs in a modern day equivalent of the traditional business card exchange. The objectives of • NFC communication with a non-Poken device such as a the project were to significantly extend the battery life over the cell phone - the SoC exchanges data via an external existing product, to add an optional near field communication NFC transceiver. (NFC) functionality and to optimize the design for high volume • USB 2.0 full-speed communication - the SoC uploads production and very low cost. user data to the Poken servers. CSEM know-how in ultra-low power design and its prior IP Reuse of IP, both from CSEM and third parties, has reduced (including the icyflex2 [2] processor and several other digital the project cost, time-to-market and risks. The USB block and analog blocks) made a design possible which mostly runs uses third party IPs - for analog and digital parts - which have on less than 2 µA at 3 V, excluding brief wireless connections already passed certification. This block is powered down and less critical USB communication accesses. except during USB communication and hence is not critical for Wireless communication between Poken devices occurs at the power budget of the design. the native frequency of the original Poken product. Optional The collaboration between Poken and CSEM for the system NFC communication at 13.56 MHz is supported by adding an definition fulfilled customer requirements for a new product OTS circuit implementing NFC in which case both ICs share a improving functionalities that have proven market attraction. single antenna. As NFC becomes more common in mobile The SoC has one of the first two industrial integrations of the phones, the reach of Poken communications will be icyflex2 processor and feedback on the SDK from Poken who significantly extended. designed all the firmware is positive. HEIG-VD collaborated in this project to design and validate principles of detection and communication with other Poken devices to further reduce power consumption. As well as the configurability options of the icyflex design, the design was further optimized for size by implementing most of the firmware in ROM and removing most of the debug functionality from the SoC. In turn, an FPGA clone of the SoC with an additional JTAG controller was used for the debug and much of the design validation. Figure 1: System overview With this new SoC integrated, characterized and validated, As shown in Figure 1, The SoC developed by CSEM contains work is ongoing for its industrialization. The chip should be in three main parts. First, a digital part includes the icyflex2 production in 2011 in time to meet the growing demand from processor, interrupt request controller, bus controller, timers, consumers and from Poken’s commercial partners. watchdog, GPIOs, real time clock (for time stamping), RAM and ROM and clock and reset management, most of which is [1] Poken S.A. www.poken.com CSEM IP. Second, a USB 2.0 full-speed part includes both [2] analog and digital circuitry to provide the required user “The icyflex2 Processor Architecture”, CSEM Scientific and Technical Report 2009, page 31 interfaces. Third, the analog part contains clock and reset generation, a 48 MHz RC oscillator and a 32 kHz crystal oscillator, power management, LED drivers and the 13.56 MHz protocol implementation. The chip is designed to operate down to 1 V in wireless communication mode and can thereby be powered by an alkaline battery; an on-chip voltage divider adds support for a primary lithium battery.

35 Design Techniques to Combat Process Variation B. Kheradmand-Boroujeni, C. Piguet, Y. Leblebici • Two full-custom mixed-signal circuits in 90 nm CMOS technology have been designed and measured in order to validate the two techniques which were proposed for compensating/alleviating semiconductor process variations (PV) for finer processes (90 nm and lower). On-chip power supplies, level converters, flip-flops, and a multi-VT multi-TOX logic cell library have been designed and tested. The silicon is fully functional and measurements match very well with post place-and-route simulation results. The first technique [1] consists of reducing the impact of subthreshold leakage, gate leakage, dynamic power and the variability on logic timing by optimal selection of the logic delay in FPGA interconnect, switch box, and LUT by adapting architecture and supply voltage (VDD). Monte Carlo (MC) the VGS voltage. Therefore, contrary to body biasing simulation shows that, in logic circuits working at sub-nominal technique, AVGS does not need triple-well technology, works VDD, this technique significantly reduces the impact of both in all technology nodes, can be applied to any planar or intra-die and inter-die variability on the timing. First it is shown multi-gate device, and can be used in conjunction with other that the standard deviation over mean ratio (σ/μ) of the conventional techniques. Figure 2 shows the measured and transistor current and delay strongly depends on VDD. Then, simulated leakage/delay of the proposed AVGS and PV sensitivity of the Low-Power Slow (LP-S) and High-Power conventional (conv.) styles, respectively. Die photograph is Fast (HP-F) architectures of several logic functions (adder, shown in Figure 3. flip-flop, etc) are compared. The results show that for a given technology, equal power budget and equal delay, LP-S circuits working at a higher VDD are less PV sensitive than HP-F circuits working at a lower VDD. This method is particularly useful for combating intra-die random variability. The results recommend that in sub-nominal VDD regime to reduce the VT variation impact on the timing, designers should first try to find very low-power architectures and then raise the VDD to get the desired performance. Figure 1a illustrates the measured (meas.) and simulated (simu.) variability versus VDD while Figure 1b shows an example of MC simulation results. Figure 2: Measured leakage and delay versus on-chip ΔV voltage The higher slope of the measured curve in moderate inversion shows that the proposed technique is actually more efficient that what is achieved in MC simulation.

Figure 3: Designed layout and the die photograph, 90 nm CMOS technology. Die size is 1875x1875 µm.

The impact of the variability on the logic timing and leakage Figure 1: a) Measured 19-inverter ring oscillator variability versus power cannot be ignored anymore. Two novel techniques are VDD; b) Comparing LP-S and HP-F flip-flops’ random PV sensitivity proposed which: a) can compensate or reduce the impact of variability, b) can be applied to all kinds of emerging The second approach is an adaptive VGS (AVGS) transistors and technological nodes, c) are not based on [2] technique for high fan-in pass transistor logic (PTL) like vanishing body effect and d) do not cause any circuit FPGA fabric. The proposed method controls the transistor reliability/lifetime problems. current by the source voltage. It can provide ±1.2 times control on the delay and ±6 times exponential control on the sub-threshold and gate leakages in the switch-box, look-up • EPFL, www.epfl.ch table (LUT), and interconnects at the same time. In typical [1] B. Kheradmand-Boroujeni, C. Piguet, Y. Leblebici, “Optimal logic corner, performance, leakage, and dynamic power overheads architecture and supply voltage selection to reduce the impact of are almost zero. AVGS-Mux is a good replacement of the threshold voltage variation on the timing”, accepted in adaptive body biasing and adaptive supply voltage techniques Journal of Low Power Electronics, 7 No. 2 (2011) in emerging manufacturing technologies which have very [2] B. Kheradmand-Boroujeni, C. Piguet, Y. Leblebici, “AVGS-mux small body effect and cannot tolerate voltages higher than the style: A Novel Technology and Device Independent Technique nominal VDD due to reliability issues. The technology trend for Reducing Power and Compensating Process Variations in shows degradation of the body effect in both planar and non- FPGA Fabrics”, Design Automation & Test in Europe (2010) planar devices. The proposed AVGS method controls the

36 A 290 μA, 3.2 MHz 4-bit Phase ADC for Constant Envelope, Ultra-low Power Radio B. Banerjee, C. C. Enz, E. Le Roux A 4-bit phase ADC circuit is presented. It introduces a model to calculate its dynamic range considering second order effects including non-linearity and offsets. The model was validated with measurement results from the implemented chip. The analysis shows that the phase ADC is extremely robust against circuit non-idealities and provides higher dynamic range compared to traditional amplitude ADCs while consuming lower power.

A phase ADC works on the principle of converting the actual 1 0.9 phase between the I/Q signals (of a constant envelope 0.8 1.7V supply modulated signal) directly using a linear network into a digital 0.7 1.25V supply 0.6 representation of the position of the rotating phasor in the 0.5 constellation diagram. The fundamental advantage of such a 0.4 0.3 phase ADC is that it does not need any absolute reference 0.2

0.1

voltage to do the phase quantization since the quantization Maximum Quantization Error(in LSBs) 0 0 1 2 3 [1] 10 10 10 10 principle is based on relative phase information . In its Signal Amplitude(in mV)(Log scale) operation the minimum signal it can handle is limited only by Figure 2: Measured maximum quantization error VS signal amplitude the noise of the circuit along with the offset voltages of its constituent blocks while the maximum signal it can handle is The circuit was fabricated in a standard digital 0.18 µm CMOS limited by the linearity of the circuit. technology as part of the ICYCOM SoC [4]. Table 1 reports the measured important attributes of the phase ADC. It has an I(0+) Pre- comparators V(0+) amplifiers INL of 0, a maximum DNL of 0.15 LSB while the minimum and Latch 1 I(135- R V(0+) V R ) C1 I+ gm I(0+) V(157-) V(22+) V(0-) maximal signal it can handle are 7.1 mV and 806 mV, ) VI- I(0-) R I(45+ L R V(22+) Latch 2 V(45+) C2 VI+ gm/2 V(135-) 2R respectively which gives a dynamic range of 41.1 dB (see I(45+) R V(22-) VQ- R R I(45+)V(112-) 2 V(67+) VI- 2 Figure 2). The phase ADC in its basic architecture is similar to gm/2 R R VQ+ I(90-) R 2 R 2 R I(90+) a flash ADC. To achieve similar dynamic range performance, VQ+ gm I(90+) R V(90+) V(90-) R R VQ- I(90-) 2 2 V(67-) R R V(112+) a traditional flash ADC would need at least 7 bits which would V R Q+ gm/2 I(135+) VI+ V(45-) 2R V(135+) I(135-) R mean 16 times more comparators and resistors which in turn R I VQ- gm/2 (135+ V(22-) V(157+) V(157+) Latch 8 VI- R C8 means, more chip area and an increased power consumption I(45-) R ) V(157-) V(0-) by the same factor. I(0-) Table 1: Phase ADC performance summary Figure 1: Basic phase ADC architecture Parameter Value The basic architecture of the phase ADC is shown in Figure 1. Technology 0.18 µm The OTAs at the input of the phase ADC achieve the voltage- Voltage supply 1.25 V to-current conversion while providing some gain. The OTA implemented is a Krummenacher-differential pair biased in Phase quantization – designed for 4 bits weak inversion. To nullify the offset of the OTAs and also Phase quantization – ENOB 7 bits flicker noise the input signal was chopped using the system Current consumption 290 µA clock (frequency of 3.2 MHz). The heart of the phase ADC is Sampling frequency 3.2 MHz the resistive network which achieves the goal of linear Minimum input voltage 7.1 mV recombination of the in-phase and quadrature-phase sinusoids. The phase-shifted sine waves generated at the Maximum input voltage 806 mV various nodes of this resistive network are fed into Maximum DNL 0.15 LSB comparators which detect the zero crossings to extract the Dynamic range 41.1 dB quantized phase information. The unique thermometer code Surface area 0.044 sq.mm produced at the output of the comparators is fed into digital latches to generate the 4-bit digital code of the quantified phase [2]. [1] B. Banerjee, C. Enz, E. Le Roux, “Detailed Analysis of a Phase Defining Dynamic Range (DR) of the phase ADC to be the ADC”, Proc. IEEE ISCAS (2010) 4273 ratio of the maximum signal (Amax) to the minimum signal [2] M. Contaldo, B. Banerjee, et al., “A 2.4-GHz BAW-based (Amin), the circuit can handle while producing at most half LSB Transceiver for Wireless Body Area Networks”, IEEE phase quantization error, it can be shown that [3] Transactions on Biomedical Circuits and Systems (2010) 391 5 [3] B. Banerjee, C.C. Enz, E. Le Roux, “A 290μA, 3.2MHz 4-bit = 20 log ( ) = 20 log ( ) Phase ADC for Constant Envelope, Ultra-low Power Radio”, 1.65 퐴푚푎푥 퐴푠푎푡 IEEE NORCHIP Conference (2010) 퐷푅 [4] E. Le Roux, et al., “A 1 V RF SOC with an 863-to-928MHz 400 Here, Asat is the saturation퐴푚푖푛 voltage of the OTAs and푉표푠푐 Vosc is the offset voltage of the comparators. According to the theoretical kb/s Radio and a 32b Dual-MAC DSP Core for Wireless Sensor and Body Networks”, IEEE International Solid-State Circuits analysis done Asat is 163.2 mV while Vosc is 2.3 mV which Conference, ISSCC (2010) 464 gives a DR of 46.6 dB.

37 A Low-cost Electronically Steerable Switched Parasitic Array for GNSS Applications C. Hennemann, J. F. M. Gerrits, N. Chiurtu The use of reconfigurable directive antennas for light-indoor and urban canyon positioning scenarios is investigated. An electronically steerable Switched Parasitic Array (SPA) using ring slot antennas implements the directive antenna with a spatial resolution of 45°. The reconfigurability feature is achieved through the use of parasitic antenna elements which are electronically controllable. The realization of the ring slot antenna as well as the SPA with 2 and 4 parasitic elements are described.

The classical approach for a global navigation satellite system 21 cm (GNSS) receiver operating in open terrain with line-of-sight (LOS) propagation conditions is to use an antenna with semi- omnidirectional radiation pattern that covers a semi-sphere. Such an antenna, typically implemented as a patch, allows reception of a maximum number of satellites, from zenith to the horizon, which yields best localization accuracy. In a light- 12 cm indoor or urban canyon environment, such an antenna sums all the present multipath components, which leads to localization errors. Spatial filtering by steering the antenna beam allows capturing energy from a preferred direction thus mitigating the effects of multipath and also noise and Figure 2: 3-element SPA for GNSS applications interference caused by, e.g., intentional jamming, other electronic equipment, etc. By varying the control voltages, the reactive loads of the parasitic antennas are changed and the radiation pattern is CSEM has implemented an adaptive electronic spatial filtering modified. Figure 3 presents the antenna gain versus azimuth solution based upon a switched parasitic array antenna (SPA). angle (ϕ) for an elevation angle of 60° and two different The SPA is an array consisting of one active antenna element combinations of control voltages for the reactive loads of the surrounded by passive parasitic antenna elements. The active two parasitic elements. The top curve corresponds to steering antenna element is connected to the GNSS receiver. The the antenna beam to the left (ϕ =0°) and the bottom curve surrounding neighbor parasitic antennas are connected to corresponds to steering the beam to the right (ϕ =180°). variable reactive loads that can be electronically tuned by the baseband algorithms in order to modify the antenna radiation pattern. The basic antenna element of the SPA needs to have a clean circular polarization and good coupling with adjacent antennas in the array to obtain sufficient beam steering. Circular polarization, as required for GNSS, is obtained from a square ring slot antenna (SRA) with proper asymmetry in the ring, which is fed by a microstrip line placed at a 45°angle on the back of the substrate [1]. Figure 1 shows this antenna which has an omnidirectional radiation pattern.

12 cm Figure 3: Two radiation patterns obtained with the 3-element SPA

The SPA concept was also extended to a SPA with 4 parasitic elements whose beam can be steered with a resolution of 45°.

Figure 1: Top and bottom views of the square ring slot antenna (SRA) [1] K.-L. Wong, C.-C. Huang, W.-S. Chen, “Printed ring slot antenna Figure 2 shows an example of a 3-element SPA with one for circular polarization”, IEEE Transactions on Antennas and Propagation, 50 No. 1 (2002) 75 quarter wavelength spacing between its elements. The center antenna is connected to the GNNS receiver. The two neighbor parasitic antennas are connected to variable reactive loads that can be electronically tuned (from -j50 Ω to +j50 Ω).

38 A Low-power Fully Integrated RF locked Loop for Miniature Atomic Clocks D. Ruffieux, M. Contaldo, J. Haesler, S. Lecomte This paper presents a RF locked loop IC designed for a miniature 87Rb atomic clock based on CPT interrogation. The complete loop operation could be validated, yielding a frequency stability of 4E-10 over 1s at a power consumption of 26 mW. Very accurate local clocks play a fundamental role in modern modulator. The N divider, whose fractional value should be communication and navigation applications. High precision close to 85.425, is implemented with a cascade of dynamic references enable fast communication data rates, while in dividers to achieve low power dissipation. navigation they allow longer operation times in absence of synchronization with the satellite signal. Miniature and low cost atomic clocks (MAC) enabled by recent developments in photonics and MEMS processes will find applications in hand-held devices provided an overall device volume < 1 cm3 [1] and a power consumption < 30 mW could be reached .This 0.1-100kHz 1kHz 1Hz 40MHz ∆f=1kHz 3.417GHz work settles in this scenario, presenting a fully integrated Figure 1: Architecture of the atomic clock RF locked loop frequency locked loop designed for MAC applications [2] tested in combination with a buffered 87Rb cell system based on Figure 2 depicts the Allan deviation measured on the 10 MHz coherent population trapping (CPT) interrogation. clock in its free running mode (∆) and when locked through The CPT interrogation consists in modulating the bias current the IC RF loop to the physics package ( ). The clock exhibits x -10 of a wavelength tuned VCSEL at a RF frequency (3.417 GHz) a 1-second intercept point of 4 10 , improving expectedly as ∝ -1/2 that is half the ground state hyperfine splitting of 87Rb to have σy(τ) τ but only up to some tens of seconds. The Allan the modulated light interact with the atoms. A ramp of the deviation measured with high performance laboratory laser diode (LD) bias current sweeps the pumping photon equipment is plotted for comparison (o) exhibiting an order of energy (E=hν) producing two peaks of absorption magnitude improvement due to lower phase noise. corresponding to transitions between each of the two ground Investigations are ongoing to explain the degradation states toward the excited state. Biasing the LD midway and measured over larger integration time with the RF IC that is adding amplitude modulation at the correct frequency yields a also present when the IC VCXO is used in combination with ∇ CPT transmission peak hundreds of Hertz wide. To maintain the external laboratory synthesizer ( ) instead of the OCXO. the system locked around that peak and provide a highly stable clock, the frequencies of both the RF modulating signal and VCSEL must be controlled within feedback loops. In particular, locking of the RF frequency onto the atoms is obtained introducing low frequency modulation of the RF carrier, which allows deriving a signed error signal used to form the RF frequency locked loop. Figure 1 shows the block diagram of the integrated frequency locked loop. A transimpedance amplifier (TIA) converts the photocurrent of the PD into a voltage. The signal is then chopped coherently with the FM modulation of the RF carrier before being integrated and low-pass filtered. The resulting voltage drives the frequency tuning port of a 40 MHz voltage controlled crystal oscillator (VCXO). The remainder of the Figure 2: Measured Allan deviation for various configurations system is equivalent to a direct modulation transmitter featuring a high resolution fractional-N PLL, whose loop The TIA and VCXO power consumption is 0.3 mW, while the bandwidth is chosen to minimize the RF close-in noise that PLL including the LC VCO consumes 7.9 mW. The overall will interact with the atoms. For increased flexibility, the low consumption of 26 mW at an output power of 0 dBm suffers pass filter was implemented with external components. The from the low efficiency of the power stage, which has been standard 40 MHz crystal was chosen for small size (available initially designed to reach up to 10 dBm output power. The IC down to 1.6x1.2 mm2), possibility to derive a 10 MHz clock was integrated in a standard digital 0.18 μm CMOS after integer division and low in-band phase noise plateau technology. This development contributes to the MIP XSAR. thanks to a reduced PLL ratio. An external bias-T is used to couple the RF power and the LD biasing current before driving the VCSEL. The typical RF drive level is from -2 to 2 dBm. [1] R. Lutwak, et al., “The chip-scale atomic clock – recent Frequency modulation of the RF carrier is performed at a developments,” IEEE IFCS (2009) 573 programmable rate and depth with a 2-FSK unfiltered square [2] D. Ruffieux, et al., “A low-power fully integrated RF locked loop wave signal. Both are typically in the kHz range with a lock-in for miniature atomic clock,” IEEE ISSCC (2011) amplifier loop bandwidth of 1 Hz. A resolution of the RF carrier frequency of 10-12 (ppt) is obtained with a 40b 2nd order ΔΣ

39 High Performance MEMS-based Frequency Synthesizer D. Ruffieux, M. Contaldo This paper presents a miniature, high performance, MEMS-based synthesizer architecture that outperforms the far-from-carrier phase noise performance current state-of-the-art by 20-30 dB at similar power dissipation level. It is based on the combination of a low frequency silicon resonator that implements timekeeping and reference frequency functions at μW power dissipation level with a RF bulk acoustic wave resonator. Oscillators based on high-Q, RF electro-mechanical bulk suppress the close-to-carrier noise resulting from the choice of acoustic wave (BAW) resonators lead to more than 30 dB a low reference frequency, a very low loop bandwidth should phase noise improvement with respect to integrated LC be chosen, in turn unacceptably affecting the locking time. To oscillators at similar power dissipation levels. To circumvent mitigate this issue, an all-digital (AD) PLL topology is used to the poor tuning range achievable with such oscillators that maintain precise former lock conditions over idle periods when barely reaches 1% if loaded-Q and phase noise degradations only the RTC is maintained running. The ADPLL are to be avoided, the frequency selection issue can be implementation is based on a programmable integer divider, a shifted towards the intermediate frequency (IF) provided a phase frequency detector (PFD) that is followed by a 100ps heterodyne RX/TX architecture is used. resolution time-to-digital converter (TDC) and a simple proportional/integral digital loop filter (DLF) that drives the TEMP STATE CALIB FIXED RF @ 2.32GHz SENS MACH DATA VARIABLE IF 80-160MHz digitally controlled BAW oscillator (DCO). ÷R PFD ÷Q ÷M ÷P Using a silicon resonator to produce a low power accurate

∆Σ PFD TDC BAW ÷N LC reference may sound cumbersome at a first glance. However, MOD DCO CP Jitter ∆Σ CHANNEL SEL TX DATA a 32’768 Hz signal can be generated whatever the resonator ÷210 DLF canc MOD SYSTEM 16MHz initial frequency is, fabrication tolerance and temperature Silicon ÷O CLOCKS Resonator 32,768kHz dependency (~-30 ppm/°C) are with a combination of Figure 1: Architecture of the MEMS-based synthesizer temperature dependent inhibition for coarse frequency adjustment and high resolution frequency interpolation. The generation of an IF signal of similarly high spectral purity Inhibition is implemented with a fractional divider driven by a over a large frequency span needed to cover by addition second order ∆Σ modulator. The division ratio R, is chosen (susbtraction) of the RF and IF signals the whole desired RF according to the silicon resonator over desired RTC/reference band, is however very challenging. While a widely tunable frequencies ratio. Finer frequency adjustment (<50 ppb) is reference IF signal is easily generated by open loop fractional obtained by switching the value of the load capacitance of the division of the RF carrier, the latter however suffers at large oscillator driving the silicon resonator with an adjustable duty offset from quantization noise introduced by ∆Σ modulation. cycle after fixed division of the 32 kHz signal by 1024. After The far-from-carrier noise issue is solved by combining a RF proper initial calibration, both coarse and fine compensation LC oscillator to a variable integer divider and phase lock the values are then updated periodically as a function of the former to the divided reference obtained from the BAW temperature measured with a dedicated sensor. The oscillator within an integer PLL. Frequency division by a factor deterministic jitter introduced by the two compensation close to 30 will scale the LC oscillator phase noise by the mechanisms can be determined with the help of the TDC and desired 30 dB so that a similar noise level as that of the BAW cancelled digitally so as to leave the fixed RF carrier mostly is reached. Varying the integer division ratio relaxes the tuning unaffected. The complete synthesizer is depicted in Figure 1 range requirement of the LC oscillator easing its design. while Figure 2 shows a picture of a prototype. The phase Compared to a conventional fractional-N PLL implementation, noise after up-conversion of IF and RF signals reaches the residual quantization noise level is however much reduced -140 dBc/Hz at 1 MHz offset and the synthesizer draws (up to 50 dB reduction) since the reference frequency can be 7.5 mA. The RTC requires only 3.3 μA and is accurate to made higher and the resulting signal is merely added to the ±5 ppm over 0-50°C. fixed RF carrier rather than being multiplied by the PLL. Consequently a much wider PLL bandwidth can be used yielding a faster settling time and allowing high data-rate in-band single point frequency modulation. In order to compensate for the temperature sensitivity and ageing of the BAW resonator, a more precise reference can be used. Considering the large close-to-carrier phase noise improvement obtained with the BAW resonator and the rather long time constants associated to ageing and temperature variations, a lower frequency reference and narrower PLL bandwidth would be deemed appropriate. As such, a single oscillator ensuring both timing and frequency reference functions can be used to deliver a permanent real time clock with μW power dissipation, eliminating the need for a second Figure 2: Photograph of a prototype IC with MEMS resonators crystal at several MHz and its associated start-up time. To

40 Antenna Design Rules for Self powered 13.56 MHz RFID Systems M. Hübner, C. Hennemann Designing RFID antennas for small devices faces a clear challenge in terms of tradeoff between size and efficiency. CSEM is involved in designing RFID based monitor systems. An approximate but sufficiently accurate methodology is described in this paper. Traceability and monitoring of implanted devices has become 10 more and more important. Using RFIDs to identify and monitor Model small objects is a clear trend. Due to the reduced space 8 measurement available, the design of the antenna is a challenge to keep reading distance acceptable (few cm). The tradeoff between 6 size and efficiency is the main issue. The sizing is traditionally based on complex simulation tools that are not readily 4 available to all designers. The objective was to design an approximate but sufficient accurate method that would avoid 2 using simulators. 0

The advent of electronic into implants and prosthesis in Hdt (13.56MHz, Qpc) [A/m] 20 30 40 50 60 70 80 90 100 emerging Medtech markets offers new opportunities for Quality factor Qpc wireless self-powered systems. The RFID 13.56 MHz band allowing for small antenna design and fast data retrieval from Figure 1: Minimal threshold field strength vs. coil quality factor sensors is an excellent candidate for new autonomous medical devices. When specifying a miniaturized RFID Figure 2 shows the transponder mock-up realized with a system, the achievable read and write range are of prime double sided 90 µm line-interline FR4 etching process. For a importance for the application. A methodology was minimal power transfer configuration of 50 µW the program established to evaluate read/write performances using basic calculated a maximal read/write range of 4.0 to 5.2 cm electromagnetic equations and was applied to a first depending on the IC data sheet tolerances. These values are commercial project. All electrical and geometrical parameters consistent with the lab measurement yielding 4.5 cm. can be changed independently to assess the limiting factors for transmitting data and energy over a 13.56 MHz link. In batteryless inductive RFID systems, the voltage captured by the transponder coil is largely dependent on the mutual

inductance between the two coils which is a function of the 8 mm 25 mm coil geometry and spacing between them. The induced voltage and the read range increase with r3, with r being the coil dimension. The critical part for the design is the transponder coil antenna. The aim of the coil design procedure is to achieve a resonant circuit with the incoming 13.56 MHz wave combined with a high quality factor [1] over Figure 2: Transponder and base station prototyping minimum space. The impact of a drift outside the optimal resonance frequency on the minimum field necessary to The simple technique developed is able to calculate precisely power the transponder is a critical factor. the expected maximal read range, taking into account the system parameters of the base station (coil form factor and To satisfy the demand of interoperability and second source injected current), the transponder antenna and the capability, the calculations are made using IC products transponder characteristics (minimum power to operate, front complying with ISO 15693 RFID protocol. They were first end impedance). Reversely assuming a specified read range verified with a known test set up with expected read/range is requested, the program calculates the minimal coil sizes distances taken from the ISO 18047-3 RFID conformity necessary to run the application. standard. The results provided hereunder are taken from a recent CSEM Medtech project. The base station is connected The results show that it is possible to design an approximate to the one inch TAGSYS AERO-LF antenna. and sufficiently accurate model to design RFID antennas for small devices. Figure 1 shows in solid line the theoretical model and the dot represents the quality factor measured in the application. This figure represents the influence of the coil quality factor on the [1] Philips Semiconductors, Application Note, Icode Label Coil minimal field strength (for a transponder achieving the optimal Design Guide (2000) resonance frequency of 13.56 MHz). A coil quality factor Qpc < 60 increases the minimal threshold field strength significantly. At the opposite curve side a sharper antenna will not provide significant powering savings.

41 A 2.4 GHz BAW-based Transceiver for Wireless Body Area Networks M. Contaldo, B. Banerjee, D. Ruffieux, J. Chabloz, E. Le Roux, C. C. Enz A BAW based transceiver targeting wireless networks for biomedical applications is presented. The use of high-Q MEMS resonators brings benefits to the fundamental building blocks of frequency synthesis, receiver (RX) and transmitter (TX) and achieves at the same time low-power consumption, improved phase noise and high selectivity in the RX and TX paths. In the baseband, the power consumption is minimized thanks to the use of a phase-ADC which directly quantizes the phase of the received signal. The unmodulated RF signal presents a phase noise of -136 dBc/Hz at 1 MHz offset frequency and the receiver demonstrates a sensitivity of -75 dBm at 1e-3 BER for a signal at a data rate of 200 kb/s, with a current consumption of 12 mA. The transmitter achieves 1 Mb/s GKFS modulation at an output power of 5.4 dBm with a current consumption of 35 mA. To comply with the requirements set by applications ranging in conversion mixer is combined with a BAW-based power healthcare, wellness and entertainment, a wireless body area amplifier (PA) to generate a high quality output spectrum network needs flexible nodes able to cover different data rates cleaned from the harmonics of the IF frequency. In particular and transmission ranges, provide improved performances and the BAW-based PA [5] is the result of a co-design between a be compatible with widespread standards. To address those BAW-lattice filter and a high efficiency power stage, which needs, the use of high-Q BAW resonators is proposed in the reaches at the same time frequency selectivity (23 dB most power consuming RF blocks of a wireless node radio. suppression at 2.24 GHz and 2.56 GHz, Figure 2) while preserving the transmitter efficiency.

Figure 1: Simplified block diagram of the wireless node

Figure 1 shows a high-level block diagram of the wireless Figure 2: Measured selectivities of the TX and RX chains node [1], consisting of BAW filters and resonator dies, a 2.4 GHz transceiver (TRX IC), and the microcontroller section Table 1 reports the measured node consumptions in RX and of the ICYCOM SoC [2] (µPR IC) to manage the node functions TX mode. and provide analog and digital baseband capabilities. The ICs Table 1: Transceiver performance summary have been fabricated in a standard digital 0.18 µm CMOS technology, while the aluminium nitride (AlN) solidly mounted BAW resonators (SMR) have been fabricated separately on a silicon substrate. The transceiver core is represented by the synthesis section, based on a digitally controlled BAW oscillator (DCO) working at a fixed frequency of 2.32 GHz, which is used as the transceiver LO and as reference for the intermediate frequency (IF) signal generation [3]. The receiver uses a heterodyne architecture with two successive down-conversion [1] M. Contaldo, et al., “A 2.4 GHz BAW-based Transceiver for steps to translate the signal to the baseband. A low-noise Wireless Body Area Networks,“ IEEE Transactions on amplifier (LNA) co-designed with a lattice BAW filter rejects Biomedical Circuits and Systems, 4 Iss. 6 (2010) 391 the out-of-band interferers, relaxes the front-end linearity [2] E. Le Roux, et al., “A 1 V RF soc with an 863-to-928 MHz requirements and reduces the power consumption, yet still 400 kb/s radio and a 32 b dual-MAC DSP Core for Wireless providing 50 dB image rejection [4] (Figure 2). In the baseband Sensor and Body Networks”, Proc. IEEE ISSCC (2010) 464 section, the phase ADC converts the received signal phase [3] D. Ruffieux, et al., “Ultra Low Power and Miniaturized MEMS- information into a digital representation of the position of the Based Badio for BAN and WSN Applications”, Proc. IEEE rotating phasor in the constellation diagram, without the need ESSCIRC (2010) of any accurate and, thus, power consuming reference. OTAs [4] J. Chabloz, et al., “A Low-Power 2.4 GHz CMOS Receiver Front- are used to convert the received signal into current, while a end Using BAW Resonators”, Proc. IEEE ISSCC (2006) 320 chopping technique reduces offsets and flicker noise. A [5] M. Contaldo, et al., “A 5.4 dBm 42 mW 2.4 GHz CMOS resistive network then recombines linearly the in-phase and BAW-based Quasi-direct Conversion Transmitter”, Proc. IEEE ISSCC (2010) quadrature parts of the received signal, generating phase shifted copies. These are finally fed to comparators and latches to generate a 4-bit digital code. The transmitter employs a heterodyne architecture where a SSB up-

42 PHOTONICS Nicolas Blanc Despite the recent economic turmoil the photonics industry paving the way for higher resolution energy resolved X-ray remained, in 2010, rather strong overall. Interest and activity systems. in photonics components and applications have gained further Life Time Imaging: Solid-state image sensors able to sample momentum again recently, as confirmed for example by the and demodulate optical signals at both high frequency presence of about 18,000 scientists and engineers at the (several tens of MHz) and high spatial resolution not only Photonics West trade show in San Francisco in January 2011. present a strong potential for 3D-vision, but also open the CSEM’s Photonics division mainly focuses on the door to applications in Fluorescence Lifetime Imaging development of high performance CMOS image sensors and Microscopy (FLIM). In the context of a PhD, new CMOS-pixels customized miniaturized optics, and on the integration of such and test sensors for time and frequency domain demodulation optoelectronic components into subsystems from camera with increased detection efficiency have been demonstrated boards up to complete instruments such as a two-photon for the first time. microscope. Over the last few years it has extended its Space Navigation: Space flights often require the ability to activities from imaging in the visible and infrared parts of the determine the position of satellites, particularly during the electromagnetic spectrum into X-ray imaging. landing phase and before touch-down on a planet. A visual Looking back at the key results and achievements of 2010 it is navigation solution based on real time image motion analysis worth mentioning the following projects and results: with an onboard optical flow correlator has been devised to carry out such challenging positioning and navigation tasks. • A fully functional X-ray set-up for differential phase Once completed, the optical flow correlator will be tested on a contrast interferometry (DPCI) has now been in operation helicopter to simulate precision satellite landing. The system for over a year, yielding very interesting results including will also have to withstand a radiation dose of 20 krad without dual energy DPCI and small angle scattering images that degradation and to operate with a shock tolerance of sine 30 g can reveal the texture as well as microstructures of low in 60 ms. absorbent materials. Main application fields are to be found in the medical sector and in non-destructive In summary, CSEM’s Photonics division has continued to testing. expand its offering and expertise in the field of optical imaging both in the visible and X-ray parts of the electromagnetic • An innovative image sensor and test circuit for pulse spectrum. It makes its development capabilities available to counting that displays extremely low noise levels was academic and industrial partners within Switzerland and developed and experimentally validated, opening new abroad. opportunities in single photon detection and X-ray imaging with ultimate sensitivity. • New implementations of demodulation pixels and sensor chips for applications in fluorescence life time imaging or 3D time-of-flight imaging were successfully demonstrated at modulation frequencies of up to 50 MHz, with an important potential impact, notably in life sciences. 3D imaging: CSEM has a long history and proven track record in the field of 3D real-time imaging. The various projects dealing with 3D imaging take advantage of different measurement principles. These include the use of time-of- flight (TOF) cameras for various man-machine applications, white light Interferometry for the high-speed testing of micro- electromechanical systems (MEMS) and micro-opto- electromechanical systems (MOEMS), and stereovision for the highly autonomous terrain rovers of future Mars exploration missions. In the latter example CSEM has developed a miniature omniview camera. Two of these cameras are stacked vertically and, based on stereovision, distance maps are computed in their overlapping field of view. X-ray imaging: Both amplitude detection and temporal discrimination of charge pulses are required for applications in X-ray photon counting. An asynchronous detection pixel has been realized that achieves an amplitude noise of better than 12 electrons, thus enabling photon counting at low energies,

43 Sensing Small Angle Scattering with an X-ray Grating Interferometer V. Revol, C. Kottler , R. Kaufmann, C. Urban Small angle X-ray scattering results from the interactions of the X-ray beam with the micro-structure of the sample and, as such, is an interesting tool for the investigation of a whole class of objects, including for example composite materials. The resolution of standard absorption-based imaging systems, limited to some tens of microns, is usually insufficient to study such objects. Here, a method, called dark field imaging, is presented, which allows for the measurement of the amount of small angle scattering with a grating interferometer. Additionally, it is shown that the anisotropic direction of the microstructure can be recovered, as for example the fibres orientation in a cotton cloth. This technique is compatible with standard X-ray tube sources and detectors and, as such, a good candidate for industrial implementation [1]. Small angle scattering of hard X-rays is related to the In Figure 2a, the engraved letters do not appear, while they variations of the electron density at the micron or sub-micron can be clearly recognized in Figure 2b. Indeed, depending on scale, therefore below the resolution of standard detectors. the orientation of the wood fibres relative to the gratings, Especially, in the presence of an anisotropic orientation of the either one of the layers is imaged. Such features can be used microstructure, small angle scattering will occur in a preferred to artificially produce markers with information coded in the direction in space. This new contrast mechanism thus reveals scattering pattern [3]. information about the sample, which is inaccessible to Furthermore, the small angle scattering approximately varies conventional absorption-based systems. The use of the as a cosine when the angle θ goes from 0 to 360°. By grating interferometer for the measurement of small angle extracting the phase of this cosine curve, the local anisotropic scattering is presented here. orientation of the sample can be reconstructed. A series of X-ray grating interferometry is based on the formation of acquisitions of a piece of fabric (Figure 3a) was acquired, intensity modulations by means of a diffractive grating G1, where the sample was rotated over 360° by steps of 15° which are imaged onto the detector by an analyzer grating G2 around the optical axis z. as shown in Figure 1. The small angle scattering in the sample results in a decrease of the amplitude of the interference pattern, which can be recovered by using a phase stepping technique [2].

Figure 3: a) Close-up photograph of a piece of fabric, b) Reconstruction of the local fibres orientation (preferred direction indicated by the dashes) superimposed with the isotropic scattering level (red stands for a high scattering value and blue for a low one).

In Figure 3b, the reconstructed fibres direction, as indicated by Figure 1: Schematic view of the grating interferometer set-up the black dashes, is in agreement with the optical observation, consisting of a conventional X-ray source and a detector as well as three transmission gratings G0, G1 and G2. The sample is placed in which indicates the potential of this method. Such directional front of G1 and can be rotated by an angle θ around the optical information is highly valuable in diverse tasks such as for the axis z. investigation of fibres-reinforced polymers. The presented method complements the domain of applications of grating A sample made of two thin layers of balsam wood stuck interferometry, which extends from medical diagnostics [4] to together was prepared. The layers were positioned so that the national security and non-destructive testing. wood fibres of each layer were oriented at 90° from each other. On one of the layers, the letters “CSEM” were engraved by mechanical milling. [1] This work was partly financed by the CCMX platform under grant 0206088. [2] V. Revol, et al., “Noise Analysis of Grating-based X-ray Differential Phase Contrast Imaging”, Rev. Sc. Instr. 81 (2010) 073709 [3] V. Revol, et al., “Sensing Small Angle Scattering with an X-ray Grating Interferometer”, Conference Record of IEEE Nuclear Science Symposium (2010) [4] C. Kottler, et al., “Phase Sensitive X-ray Imaging: Towards its Interdisciplinary Applications”, Conference Record of IEEE Medical imaging conference (2010) Figure 2: Small angle scattering measurements of a thin wood sample, where the sample was rotated by θ = 90° between a) and b). The gratings’ orientation is depicted at the bottom right of each image.

44 Phase Sensitive X-ray Imaging: Towards Medical Applications C. Kottler, V. Revol, C. Maake •, R. Kaufmann, C. Urban X-ray phase contrast imaging by means of the Talbot-Lau grating interferometer is a prospective technology for medical applications. Since it provides strong image contrast in weakly absorbing materials, such as soft tissue, it improves the image quality while reducing the dose received by the patient. The present work [1] tackles the question on the evidence of X-ray phase contrast imaging with regard to its application in medical diagnostics. Tomography measurements of specimens (prepared from human organs) were performed with our interferometer set-up. Preliminary results demonstrate that specific anatomical details, such as blood vessels, are clearly imaged by means of phase contrast, whereas they are not resolved by the absorption contrast. X-ray phase contrast imaging uses the local variation of the two contrast mechanisms: As shown in this example, the refractive index of the object rather than the absorption phase contrast cross-section appears comparably more coefficient, which is responsible for the contrast in distinct. Specifically, the blood vessels are clearly rendered by conventional radiography. This alternative contrast the phase contrast whereas they are hardly recognizable in mechanism is specifically attractive in weakly absorbing the attenuation image (indicated by the arrows in both cross- materials, such as soft tissues, where the contrast in sections). radiography is inherently poor. It implies a significant dose reduction combined with an enhanced image quality which is A important for medical applications. However, the assessment of gained image information is essentially still unknown. Thus, the validation of the anatomical interpretation of phase contrast images is the main objective and sets the scope of 1cm this study. Tomographic measurements were performed on specimens B obtained from human organs. The photograph in Figure 1 depicts the grating interferometer set-up which was used for the measurements [2]. The specimens were obtained from various organs such as the adrenal gland, liver, spleen, etc. Prior to the measurement they were fixed in formalin and immersed by means of agarose gel inside a plastic tube, as shown in the photograph in Figure 2a. The sample was then C stepwise turned over 360° around the axis of the tube resulting in 721 projection images of both kinds, absorption and phase contrast [3]. Reconstruction algorithms were applied on both data sets each yielding a 3D map of the sample.

Figure 2: a) Photograph of human soft tissue sample. The specimens were fixed in formalin and immersed by means of agarose gel inside G1 G2 20 μm a plastic tube. b) and c) show the equivalent longitudinal cross- section obtained from the reconstruction of absorption and phase contrast tomography, respectively.

In general, the measurements showed that phase contrast improves the rendering of anatomical details and thus provides complementary information.

• University of Zurich, Institute of Anatomy, Winterthurerstrasse 190, CH-8057 Zürich Figure 1: Photograph of the grating interferometer set-up consisting [1] This work has been co-funded by the Gebert Rüf Stiftung under of a beam splitter grating G1 in front of the analyzer grating G2 and contract GRS-033/08. the digital image detector. The inset shows a microscopic cross- [2] section of the grating G1. V. Revol, et al., “Noise analysis of grating-based x-ray differential phase contrast imaging”, Rev. Sc. Instr. 81 (2010) 073709 Figure 2 shows the tomographic cross-sections from a sample [3] C. Kottler, et al., “Phase Sensitive X-ray Imaging: Towards its of human blood vessels and surrounding fat and connective Interdisciplinary Applications”, Conference Record of IEEE tissue (taken from close to the spleen). In Figure 2b, the Medical imaging conference (2010) reconstruction obtained from the attenuation data is depicted and, in Figure 2c, the corresponding cross-sections from the attenuation data. This allows for a direct comparison of the

45 Single-photon Pulse Detectors C. Lotto, P. Seitz, T. Baechler Comprehensive analysis of noise sources in photocharge detectors led to a novel and compact 6-transistor pixel for time-resolved pulse detection. By applying optimum bandwidth engineering methods, the presented asynchronous detection pixel achieves an amplitude noise better than 12e-. Especially reset noise is efficiently reduced by narrow-bandwidth shaping and high-pass filtering. This remarkable overall noise performance opens the door to single-photon detection of X-ray photons. Amplitude detection and temporal discrimination of charge suppression is proportional to the square root of the ratio of pulses are required for applications such as X-ray photon the reset noise bandwidth over the lower limit of the band- counting or pulsed time-of-flight ranging. Various pass filter. asynchronous charge detector circuits, e.g. capacitance feedback amplifiers [1], may be used for these applications. We suggest the use of a buffered charge detecting circuit including a noise filter [2] as illustrated in Figure 1.

Rr Rhp

Chp gmlp

Cs

Vbias Clp

Figure 2: Reset noise spectrum on both sense and output nodes Figure 1: Schematic of the asynchronous detection pixel The filtering suppresses some low frequency content of the The recharge resistor Rr performs a continuous-time reset of signal spectrum (Equation 1). Note that this does not affect the sense node. This results in high-pass shaping of the input the signal pulse detection. The relevant pieces of information, current signal and attenuation of pulses above a certain width. such as the arrival time and the signal charge amount, are If we consider a square signal current pulse with a width of tp residing in higher frequency ranges of the signal spectrum. and an amplitude of ip, the spectrum of the shaped equivalent current signal is described in equation (1):

The buffer noise spectrum is a combination of white and 1/√f components. The presented detector circuit includes a filter that attenuates low frequencies for a reduction of the reset noise. A band-pass filter also suppresses high frequency components of its self-generated noise and of the buffer noise. It therefore achieves better noise performance than a Figure 3: Measured overall noise vs. high-pass filter frequency high-pass filter. A compact pixel circuit implementation of the novel The optoelectronic transducer of the implemented pixel circuit asynchronous charge detecting circuit has been designed and is a drift-field-enhanced photogate with a sense node is shown in Figure 1. A simple source-follower buffers the capacitance of 4.3 fF. The resistor Rhp of the high-pass filter is sense node signal. The continuous-time band-pass filter tunable. The reset noise component is reduced by increasing consists of a passive first order high-pass filter followed by a the high-pass filter frequency flow-limit as illustrated in Figure 3. source-follower with a load capacitance. MOS transistors are The dominant contributions in the asymptotic noise level are used as implementations of the reset resistor as well as the buffer noise and self-generated noise of the filter. Light pulses resistor of the high-pass filter. with a width of 1 µs and a repetition rate of 5000/sec are detected at a noise level of 12 electrons. The minimum lower band-limit = of the filter is 1 ℎ푝 defined by the maximum signal푓 pulse2 휋width푅ℎ푝퐶 ℎto푝 be detected. [1] G. Lutz, “Semiconductor Radiation Detectors”, Springer, Berlin, For effective reduction of reset noise, it is important to keep 2007 the bandwidth of the reset noise significantly below flow-limit. A [2] C. Lotto, P. Seitz, “Charge Pulse Detecting Circuit”, EP Patent high value of the reset resistance Rr is therefore required. Application EP 2 189 816, 2008 Figure 2 plots the spectrum of the reset noise on the sense node and of the filtered reset noise. The degree of reset noise

46 Ultra-high Speed Digital Image Sensor P. Buchschacher, Y. Zha, Y. R. Cook, B. Schaffer, D. Beyeler, T. Baechler This brief provides insight in the design and evaluation of a 256x256 pixel high speed digital image sensor prototype with column parallel readout operating at 0.5 µs row-rate. The imager is implemented in a 0.18 µm optical CMOS process. As exposed in an earlier report [1], the aim of CSEM was to increase the speed of a CMOS image sensor (CIS) with column parallel readout to a 0.5-µs row-rate (owing to 2’000 fps at 1’000 row) while integrating useful trigger supporting functions and auto-exposure controller on the same die.

It was necessary for various reasons to split the readout Figure 2: Readout timing (two row-at-a-time multiplexing into one electronics on the top and bottom sides of the pixel array, so ADC) that even columns are fed to the top electronics and the odd columns to the bottom electronics. This arrangement is indeed The CIS was evaluated in a custom-made test bed (Figure 3). quite common for high speed imagers [2], however the time constant of a single column becomes too large when operating the imager at such a short (0.5 µs) row-rate and thus CSEM devised a topology in which two physical lines per column (col_e, col_o) operating at half the row rate (1 us) are used (Figure 1).

Figure 3: High-speed camera prototype (right test bed, left CIS)

The 256-row high speed sensor operates as expected up to 8’000 fps (0.5 µs row-rate). Figure 4 shows an image sequence of a bursting water balloon taken at 2’000 fps with the prototype.

Figure 4: Bursting water balloon image sequence taken at 2’000 fps

This work was partly funded by the CTI/KTI (contract number Figure 1: Image sensor readout topology 8035.2 NMPP-NM). CSEM thanks them for their support.

The readout of each column consists of two data double sampling (DDS) instances (DDS_o, DDS_e) running at 1-µs [1] P. Buchschacher, et al., “High-speed Imagers”, CSEM Scientific (half the row-rate) and one 10-bit SAR ADC instance running and Technical Report 2008, page 34 at 0.5 µs (one time the row-rate). The even / odd rows are [2] A. Krymski., et al. “A High Speed, 500 fps, 1024x1024 CMOS addressed two-rows-at-a-time and with a 180 deg phase shift, Active Pixel Sensor”, IEEE VLSI Cir. conf., pp.137-138, June as detailed in Figure 2. The outputs of the two DDS instances 1999 are then time multiplexed into the single ADC. The ADC digital outputs are subsequently stored in a line register before being multiplexed to the output ports.

47 Optical Flow Correlator P. Buchschacher, E. Innerhofer, V. Revol, B. Schaffer, L. Neumann, D. Beyeler, C. Urban, N. Blanc An optical flow correlator for a visual navigation has been designed. Foreseen applications are in the fields of guidance, navigation and control (GNC) for space missions. A well known challenge in space flight is the position accuracy elegant breadboard box (EBB) to decouple the OEM module with which a satellite can touch down on a planet. Position from external vibrations. inaccuracies for planets with atmospheres are in the order of 30-300 km. In addition, a camera mounted onto such a satellite will see highly disturbed images due to the attitude instabilities. To obtain the required position accuracy, a visual navigation solution based on real time image motion analysis with an onboard optical flow correlator has been proposed by the Technical University Dresden [1], [2]. The simplified principle is shown in Figure 1.

Figure 2: Mechanical design optical flow correlator

In Figure 3, the sensor boards and a side plate of the EBB box are not shown to simplify matters. Thermal transport is done using thermal straps and the EBB box itself cools with radiators integrated in the wall.

Figure 1: Simplified principle joint transformation optical correlator

The optical fourier transformation (OFT) is performed with speed of light and allows for higher accuracy in the calculated optical flow matrix field compared to conventional digital image processing. For the calculation of the optical flow matrix, two subsequent OFTs are done to generate a correlation image on an ultra-high speed digital image sensor developed at CSEM [3]. This correlation image shows two bright correlation peaks. The shift between the peaks corresponds to the shift between the identical (overlapping) Figure 3: 3D-view of the EBB box areas in the input fragments of the images taken with an The optical and mechanical design of the EBB box has been external camera. The resulting optical flow matrix field of 2D finalized and next steps will be to manufacture, assemble and image motion (Figure 1) is efficiently used for navigation test the complete system. purposes such as localization, surface mapping, obstacle avoidance or visual servoing. The project partners are the group of Prof. K. Janschek at the Technical University of Dresden (in charge of the system The goal of this project is to develop an optical correlator box concept and the software architecture including correlation with off-the-shelf components for a small test helicopter to algorithms) and RUAG Space in Zürich (in charge of the simulate precision satellite landing on earth. The system project management, the mechanical and thermal analysis, needs to generate > 3000 fourier transformations per second the manufacturing of the OEM module, the space compatibility and > 1024 correlations per second. In addition, it has to and environmental tests). withstand a radiation dose of 20 krad without degradation and needs to operate with a shock tolerance of sine 30 g in 60 ms This work was performed under the ESA GSTP-4 Contract and a vibration tolerance of 1 g in a sine wave (5 to 500 Hz). 22726/09/NL/CP, Optical Correlator for Push-broom Imagers. The tolerances of the optical design turned out to be rather critical because of the high optical homogeneity required on [1] Visual Navigation System for Pin-Point Landing the spatial Light Modulator (SLM) and therefore every single (ESTEC/Contract No 18692/04/NL/MU); Technical Note 7: optical component had to be alignable before fixation with low- Simulation Experiment Results, Dresden outgassing glue. The mechanical design of the optoelectronic [2] S. Jutamulia: “Joint transform correlators and their applications”, module (OEM) is visible in Figure 2. It was developed to fulfil Proceedings SPIE, 1812 (1992), pp. 233-24 those alignment requirements. The OEM module is isostatic [3] P. Buchschacher, et al.,"Ultra-high Speed Image Sensors”, in suspended (yellow feet in Figure 3) within an aluminum this report on page 47

48 High-speed CMOS-compatible Demodulation Imagers for Temporal Waveform Analysis L.-E. Bonjour, T. Baechler A novel imaging method and the necessary pixels for time and frequency domain demodulation with increased detection efficiency have been developed. Temporal waveform analysis is performed to determine fluorescence or phosphorescence decays in various biomedical applications and distances in 3D time-of-flight measurements. Thorough device simulations and extensive test structure characterizations led to novel pixel structures at pixel pitches of 6.5 µm or below able to demodulate light signal up to 50 MHz. Both fluorescence lifetime imaging microscopy (FLIM) and This research work is focused on optimizing high-speed 3D-vision by time-of-flight (3D-TOF) may be performed by demodulation pixels based on CMOS-compatible image solid-state image sensors working in the frequency or in the sensing (CIS) technologies. Under low-light conditions time domain. The second category comprises time-correlated required for typical FLIM-applications – with sometime less single-photon counting [1] and time gating systems [2]. Both are than one photoelectron generated in the photodiode per very accurate but have very low detection efficiency, due to excitation light pulse – charge transfer noise may dominate. the dead time, low fill factor of the sensor, or to the short time Under optimized operating conditions however, the measured gating window needed. This work presents a novel imaging contrast is found to be higher than 90% with high symmetry. method and the necessary CMOS-pixel for time and The charge transfer noise – mostly due to charge trapping and frequency domain demodulation with increased detection de-trapping at the Si-SiO2 interface – has been largely efficiency. reduced.

Figure 1: CMOS-pixel architecture for time and frequency domain demodulation

Transfer of photoelectrons from the buried photodiode (BPD) to the sense node consists of a diffusion process in the BPD and a drift process under the transfer gate to the sense node. Figure 3: Test field example with 16x8 pixels In order to demodulate at high frequencies, typically larger This research topic started over 4 years ago and has been than 20 MHz, the photodiode is required to be small enough to intensified since 2008 with the start of a PhD thesis, which reduce the diffusion length of photoelectrons. The proposed investigates and highlights many different aspects – such as pixel as shown in Figure 1 (and one tested pixel layout in demodulation contrast and frequency, noise, resolution, power Figure 2) has three transfer gates TX1, TX2 and TXR consumption, and sensor architectures – related to technical connected respectively to two different sense nodes and to a requirements of high-speed CIS-compatible demodulation reference supply voltage to enable global photodiode reset. imagers. A bigger test chip with 256x256 pixels is expected to Whereas TXR may not be required for frequency domain be processed beginning of 2011 and ready to be measured demodulation, it plays a key role in the time domain for 3D- and characterized. Test structures are working well and TOF background light suppression and for excitation light excellent pixel structures have been identified, however, a full rejection in FLIM. Several pixel layouts were evaluated in test array may bring up other effects, such as loss of signal fields of 16x8 pixels, as shown on Figure 3. integrity for high-speed toggling over a large chip array and related power consumption and thermal issues.

[1] C. Niclass, et al., “A 128x128 Single-Photon Image Sensor With Column-Level 10-Bit Time-to-Digital Converter Array”, IEEE Journal of Solid-State Circuits, 43, (12), 2008, 2977 [2] H.-J. Yoon, et al., “A CMOS Image Sensor With In-Pixel Two- Stage Charge Transfer for Fluorescence Lifetime Imaging”, IEEE Transactions of Electron Devices, 56 (2), 2009. 214

Figure 2: Layout of one of the tested pixels

49 Stereo Omniview Camera System and Time-of-flight Camera for Mars Rover H.-R. Graf, C. Gimkiewicz, C. Urban For the on-going EU project PRoVisG [1], CSEM has integrated two new kinds of camera systems on a Mars rover. Both camera systems show a high potential for autonomous vehicle navigation. First field tests on Earth have been conducted. The stereo omniview camera system developed by CSEM sequences. For the processing core of PRoVisG, additional uses a compact catadioptrical lens system [2]. It has a PRoVIP functions were implemented as command-line-tools. horizontal field of view of 360° and a vertical field of view They convert raw sensor data to a 3D cloud points in of 70°. The utilized megapixel sensor with high dynamic range Cartesian space, which can then be exported in the polygon is commercially available from Photonfocus AG, a CSEM file format (PLY). spin-off company. Horizontal object structures in the panoramic scene appear on the sensor as a circle, vertical (a) (b) structures as radial stripes (see Figure 2 and 3). With two such cameras stacked vertically, the overlapping vertical field of view can be used to calculate distance maps from stereo vision. The 3D time-of-flight (TOF) camera selected for this project is commercially available from MESA Imaging AG, another successful CSEM spin-off company. The camera has 176x144 pixels (QCIF resolution) and uses 24 power LEDs at Figure 2: Raw sensor data recorded with omniview camera system: 850 nm wave length. Every pixel provides both intensity and a) image from upper camera; b) image from lower camera distance values. The distance resolution is 4 mm (typical) in the calibrated range of 0.8 to 5 m. During a field test in Aberystwyth, UK, both camera systems were mounted on the Bridget rover (see Figure 1) from EADS Astrium. The gathered data includes recording sessions at Clarach Bay (see Figure 2, 3 and 4) and checkerboard targets for camera calibration purposes. Figure 3: Unrolled 360° panorama images created from raw data (see Figure 2) of vertically stacked omniview cameras

Figure 1: Bridget rover of EADS Astrium, with various camera Figure 4: Visualization of 3D-TOF camera recorded data systems mounted, Clarach Bay, Aberystwyth, UK Future work includes improved camera calibration and depth- To enable mobile operation, both camera systems connect to from-stereo-vision calculation for the omniview camera a PC laptop. The Photonfocus cameras need a frame grabber system. with two independent CameraLink interfaces (available from This work has been co-funded by the European Commission Imperx Inc.), while the MESA Imaging 3D-TOF camera has a under the 7th Framework Program Space, GA 218814. convenient USB 2.0 interface. The generic data acquisition framework of CSEM PLabDaq has been extended to support these devices. It enables camera control and data acquisition [1] European Project “Planetary Robotics Vision Ground Processing from within MATLAB or standalone applications. (PRoVisG)”, FP7-2007.1 Space; www.provisg.eu Such standalone graphical applications were written in C++, [2] H.-R. Graf, et al., “Compact Catadroptrical System for Stereo using OpenCV, SSE2, OpenGL and IUP for the graphic user Vision“, CSEM Scientific and Technical Report 2009, page 43 interface (GUI). They support camera control, data acquisition, processing and visualization in real-time, as well as hard-disk recording of 16-bit portable network graphic (PNG) image

50 THIN FILM OPTICS Alexander Stuck The activities of the division of Thin Film Optics in Basel are Driven by the need for low-cost disposable medical devices, focused on the mass production possibilities and system the past few years have seen great advances in lab-on-chip performance of polymer based optical components and technologies for genomic, proteomic, and enzymatic analysis. optoelectronic systems. The group is located on 1000 m2 of These systems should be cost-effective, yet accurate, reliable, lab. and office space in the Rosental industrial park close to and portable. the Basel trade fair (Messe Basel). Among other activities, the One example of future integrated systems, combining nano- Thin Film Optics Division’s staff of experienced project leaders optical elements with semiconducting polymers, is a fully and technicians develops components for printable optical and organic mini-spectrometer developed within the European electronic applications based on semiconducting organic SEMOFS consortium. The device, built by CSEM, consists of materials and passive nano-optical devices. a planar single-mode waveguide with integrated diffraction These components include replicated optical security devices, grating and an array of polymer photodiodes as sensing OLEDs, micro-optical elements, printable sensors, and elements. spectrometers as well as combinations thereof combined in These examples reflect CSEM’s focus on application-driven, highly integrated and compact systems. To this end, the polymer-optics-based system integration. Depending on the development and optimization of novel tooling-, embossing- requirements of the application, electrically passive or active and printing technologies combined with new semiconducting systems or a combination of both are used to test and organic materials is of prime importance. Moreover, CSEM’s manufacture nano-optical components and optoelectronic ability to realize high-throughput material screenings and to systems. The emphasis is on mass manufacturing methods perform simulations to predict device performance for which are further being developed together with external innovative optoelectronic materials has gained international partners. recognition. Thin Film Optics is a multi-disciplinary field that creates new Long-standing expertise in zero-order devices recently generations of innovative products by combining chemistry, enabled the development of novel color filters for image nano-optics, printing technology, and systems engineering. sensors integrated into CMOS and CCD cameras. These CSEM focuses on applications in branding, brand protection nano-optical filters are produced with less effort than current and sensing, in medical and pharmaceutical markets among dye-based systems and show no bleaching under UV- others. As Basel is one of the world’s leading centers for these exposure. When combined with chemically-sensitive dyes, markets and is also internationally renowned for its academic these filters become visible and tunable chemical sensors activities in nanotechnology, CSEM Basel is expected to have which monitor liquids and gases. These systems are passive a strong local impact as an innovation enabler in these in the sense that they do not require electrical power to fulfill industries. their function. They either act as purely optical spectral filters or in combination with the chemical dyes as chemo-optical filters. Polymer based optics enables researchers to deposit conducting and semi-conducting materials in specific areas to form electrically active optoelectronic systems, such as organic light-emitting diodes (OLEDs). These and related devices open up an entire new world of very exciting possibilities and markets. Starting as a lab. curiosity in the 1950s, OLEDs are now entering the multibillion-dollar TV consumer market. As they are true two-dimensional light sources which can be fabricated even on flexible substrates, they will enable a variety of radically new applications such as conformable and wearable electronic devices. In the framework of the ROLLED EU-project, the CSEM Thin Film Optics Division contributed to the demonstration of flexible portable OLED devices that can be remotely powered by energy transferred via radio-frequency which is available from such common devices as mobile phones. CSEM is now moving beyond OLEDs into electronic functions and power harvesting for low power mobile devices. In this framework, CSEM Basel is researching novel transistor device architectures and novel photovoltaic cells.

51 Colorimetric Visual Gas Sensors D. Pires, L. Davoine, M. Schnieper A new concept of colorimetric sensors that provide a visual indication of chemical contamination has been developed. It consists of a devise whose color in reflection changes after having been exposed to a gas. This technology combines a chemically sensitive dye layer and a subwavelength grating structure that enhances the optical response. Visual sensors are widely used to warn people of chemical or biological contaminations. In case of terrorist attacks or industrial accidents, it is crucial to get accurate and easy to interpret visual warning. The monitoring of air pollution in cities and the detection of gas leakages in private places is also a potential application. The sensor technology that has been developed at CSEM combines a chemically sensitive dye with a photonic diffractive structure. It consists of a subwavelength grating combined with a high index modulated waveguide structure. The high refractive index layer serves as a nanoscale waveguide and is fabricated by evaporating inorganic materials, such as ZnS or TiO2, onto the grating. When a wave is diffracted from the grating and couples to a leaky mode supported by the waveguide layer, it excites a guided mode resonance that Figure 1: Reflection spectrum of BCP film on waveguide gratings causes sharp variations in the wavelength or angular spectra under ammonia atmosphere according to the time exposure of the grating reflected orders. These anomalies have already been used to produce photonic devices such as narrowband A decreasing of the peak intensity at 570 nm can clearly be filters, polarizers, laser mirrors, tunable filters and security seen when increasing the exposure time. This corresponds to devices. Such mode resonances are typically highly sensitive a change in color ΔE = 18 (a value of ΔE = 1 being the to the geometrical and material parameters of the waveguide minimum that can be distinguished by the human eye). The structure which make them suitable for sensing applications. characterization of the several gas sensors is performed in an When the device is exposed to a specific gas, the optical experimental setup developed in house (Figure 2). properties of the dye thin film, such as the absorption band, the refractive index or the fluorescence intensity, are changed. As a result, the resonance characteristics of the waveguide are altered and the resonant reflection peaks are either attenuated or shifted. This phenomenon translates in a color change, which can be easily seen by the naked eye. By adjusting the physical characteristics of the grating, such as the period, the depth and the thickness of the high refractive index layer, the position of the resonant peaks is changed. Simulations based on rigorous couple wave analysis (RCWA) enable design of a grating profile, according to the Figure 2: Flow cell developed to measure the response of the sensitive material that is used. colorimetric sensor when exposed to a specific gas This ability to tune very precisely the grating according to the This principle of detection can be applied to any chemical dye sensitive dye that is chosen, gives this technology a high that undergoes an optical change when exposed to a change degree of flexibility. in the environment. In the frame of the European project CSEM developed several sensors that can detect changes in PHODYE, a visual gas sensor which uses a derivative of temperature, humidity and UV intensity, as well as perylene as sensitive dye was developed to monitor the concentration of NO2 in a traffic tunnel. concentration of NO2 and NH3 in the atmosphere. The range of applications of this technology can also be To illustrate this technology, the response of the NH3 (ammonia) sensor is presented. The chemical dye used to extended to the field of medicine, namely the early detection detect ammonia gas is the bromocresol purple (BCP), also of patient diseases. It has been shown that volatile used as pH indicator. A solution of BCP and compounds from the exhaled air of a person give an indication Polymethylmetacrylate, which acts as a dispersive matrix, is of the presence of a disease. By monitoring the level of these deposited onto a diffractive grating. Its resonant peaks were volatile compounds, visual chips could provide non-invasive, adjusted to the absorption bands of the sensitive dye. Figure 1 rapid and inexpensive ways to screen for several diseases. depicts the evolution of the reflection spectra when exposed to the ammonia gas.

52 The Morphology of Inkjet Printed Organic Photovoltaic Cells T. Offermans, J. Schleuniger, G. Nisato Polymer-based solar cells have attracted considerable interest since efficient photo-induced charge transfer from conjugated polymer to fullerenes has been observed. Being lightweight and flexible, they can be processed by simple printing techniques on low-cost substrates. Inkjet printing is a promising technology in realizing flexible, custom-designed integrated optoelectronic devices and circuits. This enables polymers solar cells to be a cheap alternative to inorganic technologies. Previously, CSEM has reported highly efficient inkjet printed nozzle and prevents stable drop building, limiting the solar cells based on a low bandgap material (PT5DPP) chloroform content to 20%. consisting of a combination of electron-poor diketo pyrrolo- In Figure 1 AFM measurements on layers deposited from the pyrrole (DPP) units and electron-rich thiophene segments different solvent compositions are presented. AFM can give blended with PC70BM, a fullerene derivative [1]. These results information about the morphology of the film. Comparing the have been obtained in collaboration with BASF within the topography images, a large scale phase separation for the film POLYMOL project APOLLO. deposited from a 4:1 oDCB:chloroform solution (top image, The morphology of such blends plays a crucial role and inkjet printed) can be observed that is not present in the film several parameters are used to influence it, among them: the deposited from a 1:4 oDCB:chloroform solution (bottom printing-method itself (i.e. spincoating, inkjet printing, doctor image, spin coated). The film spin coated from 1:4 blading, etc.), specific parameters to control film drying speed, oDCB:chloroform shows a smaller roughness (Ra=0.9 nm) the use of two or more organic solvents or additives creating than the printed film (Ra=3.3 nm) from 4:1 oDCB:chloroform. compound specific and thermal or solvent annealing 20 of the films. The morphology results from demixing of the 15 ) 2 polymer and the fullerene in the blended film and is critical for 10 [2] efficient device performance . 5

0 In a first approach the composition of the solvent mixture was -5 optimized with spin coated cells which yielded best -10 performance with a polymer:fullerene ratio of 1:2 and a layer

Current Density (mA/cm -15 thickness of 90-100 nm. In additional tests it was found that -20 the morphology could be further optimized with a -1.0 -0.5 0.0 0.5 1.0 Voltage (V) 1:4 oDCB:chloroform solvent ratio. Figure 2: Current density–voltage measurements in dark (upper curve) and under approximate AM1.5 g illumination (1000 W/m2) (lower curve). Solid lines: spin coated cells, dotted lines: printed cells.

Current density–voltage (J–V) measurements of spin coated and inkjet printed devices are compared in Figure 2. The spin coated devices gave optimal efficiency with a 1:4 oDCB:chloroform ratio. From the J–V measurements an open circuit voltage (Voc) of 0.60 V, a fill factor (FF) of 0.65, short circuit density (Jsc) of 14.1 mA/cm2 is extracted, resulting in a maximum power point (MPP) of 5.5 mW/cm2 under approximate AM1.5g illumination. The best cell was printed from a 1:4 chloroform:oDCB solution. Its Voc (0.57 V) is slightly lower to that of the spin coated cell as well as the Jsc (12.3 mA/cm2) and the FF (0.63), resulting in lower MPP (4.4 mW/cm2). The slightly poorer characteristics of the printed cell are attributed to the different solvent mixtures used, and thus to the resulting difference in morphology.

[1] T. Offermans, J. Schleuniger, G. Nisato, "Inkjet Printing of Polymer Solar Cells", Proceedings of the Large-area, Organic & Figure1: Surface topography images of layers (top) inkjet printed Printed Electronics Convention 2010 (LOPE-C 2010), page 99- from oDCB:chloroform 4:1, (bottom) spin coated from 102. oDCB:chloroform 1:4. Scale: 1 µm [3] [2] M. M. Wienk, M. Turbiez, J. Gilot, R. A. J. Janssen, “Bandgap Diketo-Pyrrolo-Pyrrole Polymer Solar Cells: The Effect of However, the solvent mixture optimized for spin coating is not Processing on the Performance”, Adv. Mat., 20 (2008), page easily transferred to the printing process. With 80% chloroform 2556 in the solvent, the high evaporation rate of the chloroform [3] Measured by N. Blondiaux and V. Monnier (CSEM) leads to precipitation of the material, which clogs the print

53 Design for Organic Electronics F. Zanella S. Zbigniew; A. von Mühlenen, G. Nisato Organic electronics, especially organic field-effect transistors (OTFTs) have significantly evolved in the past few years owing to the development of both the technology and the fabrication process. However, circuit design has shown slightly lower progress since it requires primarily a stable and robust technology. Nowadays robust organic electronic circuits are proven, their availability is being promoted and dedicated design workflows are under development. Moreover, first products such as electronic readers have been industrialized and are about to be launched onto the market. Organic electronics have gained much robustness in technology and fabrication in the past few years owing to the development of new materials – semiconductors and dielectrics – and better understanding of the fabrication process flows. Sony, for instance, demonstrated first rollable active matrix (AM) driving organic light-emitting diode (OLED) displays based on organic electronics [1] and more recently, Plastic Logic announced the first electronic reader whose active matrix is based on fully printed organic thin-film transistors (OTFTs). To further promote industrialization of organic electronics, device and circuit design workflows need to be elaborated as well as compatible design tools. Current designs are mostly handmade and are thus based on lab experience using a given technology and its boundary conditions. Every technology development step requires rethinking the design rules and specifications, including the device models inspired and adapted from amorphous inorganic TFTs.

Within the POLARIC consortium CSEM together with the Figure 1: POLARIC Project standard test chip designed by CSEM. It project partners is currently in the process of establishing contains transistors, invertors, capacitors and VIAs in various design rules, device designs and models for a cutting edge geometries. The characteristics of these elements in conjunction with technology in the field of organic and large area electronics the design specifications allow the elaboration of electrical models describing the devices fabricated with the POLARIC technology. (OLAE): micron- and submicron channel OTFT based circuits. This according to the following strategy: In addition to the design task, standardization of electrical characterization is coordinated by CSEM in the POLARIC • Collect the basic devices on a common test structure project. This topic is also addressed within the taskforce featuring a design parameter space fitting the “measurement and quality control for OTFTs” organized by specification of the technology the organic electronics association (OE-A) and the OPERA • Develop and validate the device models based on device project. Future standards for the characterization and the characteristics design of test structures are being discussed with a broad audience involving industrial players as well as academics • Identify by simulation the critical parameters and research centers participating in European projects. • Check the yield of the design by device modelling and This article reports on the current development design circuit simulation strategy for organic electronics at CSEM in the ambit of two Figure 1 illustrates the layout of the standard public test chip European FP7 projects respectively, POLARIC (Grant taking into account the above mentioned strategy designed for Agreement n° 247978) and FlexNet (Grant Agreement the POLARIC project. n° 247745.

[1] I. Yagi, N. Hirai, M. Noda, A. Imaoka, Y. Miyamoto, N. Yoneya, K. Nomoto, J. Kasahara, A. Yumoto, T. Urabe, Dig. Tech. Pap. Soc. Inf.Disp. Int. Symp. 38, 1753 (2007)

54 MICROSYSTEMS TECHNOLOGY Nico de Rooij Activities in Microsystems Technology cover a wide range of New technological platform development efforts were, in 2010, microfabrication technologies, characterization methods and focused on the etching of hard materials such as silicon applications. To accommodate such activities, CSEM carbide. In this context, a significant investment has been maintains a fully equipped clean room suitable not only for the made in the upgrading of a deep reactive ion etching (DRIE) fabrication of silicon-based devices, but also for the system - a new process module designed for hard-to-etch micromachining of less standard materials. The maintenance dielectric materials was acquired and installed on an existing of this clean room is quite challenging since its presence fulfils system as shown in Figure 1. a range of very different needs. On one hand, it is used by students and academic researchers mainly from EPFL, and thus must offer very flexible or versatile equipment and technologies. On the other, continuous industrial production requires highly stable and reproducible processes. These fabrication facilities are enhanced by a very complete characterization laboratory. In 2010, the Microsystems Technology division underwent a thorough reorganization, which aimed at better harnessing the potential of a skilful team of engineers and technicians and their state-of-the-art technological facilities. Technical activities are now shared between the following three groups: Process Development & Small Series Production, XRD Application Lab & Microscopy, and MEMS Prototyping and Industrialization. Figure 1: DRIE system for hard materials As a complement to this new structure, an important The other main axis of development concerns packaging achievement for the year 2010 was the ISO 9001 certification technologies. Mastering the encapsulation of microsystems of the Microsystems Technology division. This event created under well controlled conditions is a crucial asset. For the opportunity to develop and launch C-Fab – a proprietary example, the miniature resonators developed at CSEM for software platform which enables the coordination of all the timing applications can function only when operating in a microfabrication activities in the clean room. It is used from the vacuum. Fusion bonding of Si wafers and the use of an AuSn delivery of bare wafers to the completion of the MEMS eutectic alloy to create a leak-tight sealing between a device fabrication, and covers many important aspects of the process and its cap are two examples of the technologies that were such as the design of process flows or the management of implemented in 2010 within the packaging platform. different wafer lots. In addition to its service activities for external customers, the characterization laboratory, which is equipped with several electronic or surface microscopes and X-ray diffraction systems, has mainly been concerned with quality control and the reliability of Microsystems at CSEM.

Regarding technological activities, industrial production has been increasing steadily over recent months. The watch making industry of course constitutes an important market for CSEM. However, the range of applications for our microsystems is much larger than horology alone, with components used for instance in measurement systems or manufacturing equipment.

55 Batch Fabrication of Rubidium Vapor Cells for Atomic Clocks T. Overstolz, J. Haesler, V. Spassov A new method providing batch fabrication of rubidium vapor cells has been developed. The method is based on dispensing a solution of dissolved rubidium azide under normal atmospheric conditions in cavities etched in a silicon wafer, which are then hermetically closed by anodic bonding. In a post process, the cells are irradiated by UV light to decompose the rubidium azide into metallic rubidium and elementary . Miniaturized atomic clocks characterized by their small size time makes this cell filling approach unsuitable for mass and a drastically reduced power consumption compared to production. standard atomic clocks exhibit an increasing interest mainly As an alternative, the use of alkali metal azides (method c) for applications in portable devices. The unprecedented and subsequent decomposition into alkali metal and nitrogen frequency stability of atomic clocks is achieved by a suitable is particularly interesting for several reasons: (i) alkali metal interrogation of optically excited atoms which takes place in azides are known to yield high purity alkali metals upon the so-called vapor cell, the heart of the atomic clock [1]. The decomposition; (ii) alkali metal azides can be handled under vapor cell consists of a sealed cavity which contains small normal atmospheric conditions; (iii) as a result, the method of amounts of an alkali metal, preferably rubidium or cesium, and alkali metal azide deposition is easily scalable to wafer-level a buffer gas. MEMS technology enables the fabrication of filling. miniaturized vapor cells having a volume in the range of a few cubic millimeters. For batch fabrication, the cell filling with solid crystals of alkali metal azide is cumbersome and an accurate control of the deposited amount of azide is impossible. CSEM has developed a new method to deposit precise quantities of alkali metal azide in a fast, safe, low-cost, and simple way, without the need for expensive custom made equipment. The method has successfully been tested with rubidium azide (RbN3), and is expected to work with all other alkali metal azides as well. Commercially available RbN3 was dissolved in an appropriate solvent, and small volumes in the sub-microliter range were dispensed at wafer-level using standard micropipettes into cavities etched in a silicon wafer. This process is done under normal atmospheric conditions which simplifies the handling enormously. The high precision of the dispensed quantity of

Figure 1: Vapor cells with encapsulated rubidium azide: (right) liquid allows one to accurately control the amount of deposited rubidium azide (white film) as deposited; (left) after UV irradiation and azide. Due to the small volume of dispensed liquid, the solvent decomposition into metallic rubidium (grey clusters) and nitrogen is evaporated quickly even at room temperature, leaving a uniform layer of re-crystallized RbN3. Tests have shown that However, the cell filling with alkali metals is difficult due to dissolved RbN3 does not degrade, and re-crystallized RbN3 their volatile character and reactivity with oxygen. Several decomposes into metallic rubidium as well as the original, fabrication approaches have been reported which can be solid crystalline RbN3. categorized in four different groups: Once the dissolved RbN3 was dispensed in the cavities, a a) Cell filling using commercially available alkali metal glass wafer was anodically bonded to the silicon wafer under dispensers in a high vacuum chamber argon atmosphere using standard bonding equipment. The b) Cell filling with and and in hermetically sealed wafer was then diced into individual vapor situ chemical reaction producing metallic rubidium, cells which were subsequently irradiated with UV light at barium chloride, and elementary nitrogen 254 nm for several hours to decompose the RbN3 into pure c) Alkali metal azide deposition and in situ thermal- or UV- rubidium and elementary nitrogen which constitutes together decomposition into pure alkali metal and elementary with the argon the required buffer gas (Figure 1). nitrogen d) Electrolytic decomposition of alkali metal enriched glass [1] Over the past few years, CSEM has worked on the fabrication J. Haesler, et al, “Progress on the Realization of a Miniature Atomic Clock”, in this report, page 11 of rubidium vapor cells according to methods a) and c). While the method using alkali metal dispensers is straightforward and results in very pure vapor cells, the fabrication of such vapor cells is quite complex since the whole process from cell filling to encapsulation has to be done under high vacuum in a dedicated custom-made equipment. The fact that several hours of pumping are needed to achieve the required vacuum level, and the fact that only one cell can be fabricated at a

56 Reliable MEMS for Space: How X-ray Techniques Support Development A. Neels, A. Dommann, P. Niedermann, J. Baborowski, C. Muller, A. Pezous, M.-A. Dubois, H. Shea • New MEMS fabrication processes and packaging concepts find applications in areas where a high reliability is needed such as in aerospace, automotive or the watch industry. This creates a strong demand in quality control and failure analysis [1], [2] and also brings new challenges, particularly in the fields of testing and qualification. Non-destructive high resolution X-ray diffraction (HRXRD) methods are applied to monitor the mobility of defects and strain and throughout the MEMS fabrication and packaging processes. MEMS reliability, especially the study of the reliability of their embeds a large amount of stress in the package related to the physical characteristics, is an area that is still in its infancy. thermal mismatch of the interface materials. However, reliable MEMS exist already and are produced in Device layer hundreds of millions of pieces and some of them are even a) intended to be used in safety critical applications. The wide Diffracted X-rays variety of materials and physical principles used make it difficult to give general statements about MEMS reliability. 001 However, in several cases reliability is not even studied, confirmed or modeled. The lack of long-term reliability data 110 reduces the level of acceptance of MEMS considerably. b) Interface 1

The aging and failure of MEMS are often connected with the Interface 2 occurrence of defects and their mobility. The mobility of defects is enhanced by large stress gradients. Both, the stress counts / gradient and the defects are easily determined by means of High resolution X-ray diffraction (HRXRD) techniques. The idea is to connect mechanical stresses, thermal load and even Intesity radiation damage which lead to the corresponding signal drift ω of MEMS devices, with the structural properties like strain Si(224) / ° gradients, defect density and mobility. Figure 2: a) HRXRD measurement setup; b) RCs from the bonding Silicon-based piezoelectric resonators are developed at interface 1 of the device layer to the bonding interface 2 CSEM targeting vacuum hermetic wafer-level packaging The packaging induced strain created at the interfaces close [3] technology (Figure 1). Monitoring the quality factor (Q) of the to the bonding material and more importantly close to the resonators evaluates the pressure level (hermeticity) of the device layer has been analyzed by means of X-ray rocking device cavity and the leakage rate. curves (RCs). RCs have been measured in the device layer from the interface 1 (chip cap) to the interface 2 (chip bottom) (Figure 2b). The strain can be derived (∆d-spacing/d-spacing) and the stress profile determined. Especially the strain close to the functional device is important as the strain state influences the application-relevant physical parameters such as the resonance frequency and the Q factor of the resonator. The combination of functional testing with state-of-the art X-ray methods for the evaluation of defect and strain gradients will serve as a useful tool for setting up a fundamental Figure 1: Piezoelectric AlN/Si resonator with dimensions of understanding of the reliability and aging problems of MEMS. 3 1.5x2x1.4 mm As the Q-factor is not only dependent on the vacuum level of • EPFL, Microsystems for Space Technologies Laboratory, Jaquet the MEMS cavity but also on the strain state of the device, the Droz 1, 2002 Neuchâtel, Switzerland simultaneous data collection for the Q factor determination [1] A. Dommann, A. Neels, “The Role of Strain in new and the strain state is evident. Semiconductor Devices”, Advanced Engineering Materials, 11, 2009, 275-277 The complex fabrication and packaging process of resonator [2] A. Neels, A. Dommann, A. Schifferle, O. Papes, E. Mazza, wafers is followed by non-destructive HRXRD techniques “Reliability and Failure in Single Crystal Silicon MEMS Devices”, (Figure 2a) to monitor not only the structural properties of Microelectronics Reliability, 48, ,2008, 1245-1247 materials such as phases, texturing and stresses of the [3] J. Baborowski, et al., “Wafer Level Packaging Technology for deposited layers and created interfaces, but also the quality of Silicon Resonators”, Procedia Chemistry 1, July 2009, 1535- the embedding and surrounding Si chip can be evaluated by 1538 the determination of its strain and defect state. The applied packaging method uses an AuSn solder material for sealing the resonator wafer and the cap wafer. The solder melting occurs at temperatures of about 280 C and its solidification

57 In-situ Structural and Mechanical Testing A. Neels, A. Dommann, T. Bandi, S. Jeanneret, A. Schifferle •, E. Mazza •• In microsystems technology, new methods in testing and qualification are needed related to the dramatically smaller volume of microelectromecanical systems (MEMS). In single crystal silicon (SCSi) based devices, fabrication processes introduce defects and thus increase the risk of failure due to stress and loading in operation. Reliability studies on potential failure sources have an impact on MEMS design and are essential to assure the long term functioning of the device. Mechanical tests such as tensile and bending tests on SiSC beams are discussed in combination with their structural evaluation in order to assess the strength of SCSi structures. Microelectromechanical systems (MEMS) engineering between the values found experimentally and the involves complex design, manufacturing and packaging corresponding literature values is less than 0.5% (Figure 3). processes. In single crystal silicon (SCSi) based devices, strain and defects can be introduced during fabrication by DRIE, thermal annealing, dicing and bonding and have a direct impact on the mechanical properties of MEMS and their aging behavior [1]. To develop a deeper understanding of the failure modes in MEMS it is necessary to enter into the threefold relationship (reliability triangle): Mechanical testing, simulation and characterization (Figure 1). Figure 3: Logarithmic plot of experimental force-displacement relation

The largest fracture stress observed for samples without special annealing steps after fabrication was about 3 MPa. First results on specially annealed samples show much higher fracture stresses and therefore a higher mechanical robustness. HRXRD with rocking curves (RC) and reciprocal space maps (RSM) is used as an accurate and non-destructive experimental method to evaluate the in-situ strain, defects and Figure 1: The reliability triangle needed to get an understanding of geometrical parameters in SiSC based MEMS. In-situ HRXRD the failure modes measurements of loaded bending samples (Figure 4) allow the analysis of local deformations and defects at an atomic Bending experiments provide elasticity parameters and scale. structural properties, such as bending stiffness and critical curvature. Testing specimens in bending may be particularly appropriate if the material is considered to be used in a bending configuration for a specific engineering application. A novel bending experiment based on a 2-point-bending principle has been developed and validated in theory and experiment for the mechanical testing of beams and wires at intermediate and high deformations. Figure 4: Reciprocal space mapping (RSM) on the (004) reflection of an unloaded SCSi bending specimen (left) and on a specimen under A test apparatus has been developed to conduct the 2-point- load in the 2-point-bending-machine bending in-situ on a high resolution X-ray diffraction (HRXRD) instrument. The bending test specimens (Figure 2, left) are To assess reliability in SCSi structures and devices, fabricated by DRIE etching followed by different post mechanical testing is used in combination with structural treatments. The test specimens are tested with the apparatus evaluation of the material and finite element calculations. by loading the specimens (Figure 2, right) until fracture Consequently, MEMS design and fabrication can be occurs. optimized.

• Institute of Mechanical Systems, ETHZ, Tannenstrasse 3, 8092 Zürich, Swizterland cross-section: 50 x 50µm •• EMPA, Federal Institute of Materials Testing and Research, Figure 2: Test specimen (left) and bending principle (right) Ueberlandstreastrasse 129, 8600 Dübendorf, Switzerland The measured Young’s modulus confirms the reliability of the [1] A. Neels, A. Dommann, A. Schifferle, O. Papes, E. Mazza, new procedure. The observed fracture strengths are in very “Reliability and Failure in Single Crystal Silicon MEMS Devices”, Microelectronics Reliability, 48, 2008, 1245-1247 good agreement with previous findings. The relative error

58 Defect and Strain Analysis for Epitaxial Grown Thick Germanium Layers on Structured Silicon Substrates A. Neels, A. Dommann, T. Bandi, P. Niedermann, C. V. Falub •, E. Müller ••, H. von Känel • New IR and X-ray direct detector applications become possible by integrating germanium as detection layer. An innovative germanium-on-silicon growth technology has been established showing that thick germanium layers can be grown on patterned silicon with an exceptionally high quality. The germanium quality in terms of strain and defects has a direct impact on the device performance and aging behavior. High Resolution X-ray Diffraction (HRXRD) methods are applied as an accurate, non-destructive experimental tool to evaluate the crystalline quality such as strain, defects and geometrical parameters such as tilt and device bending.

High stress and defect densities increase the risk of Ge(004) Unpatterned: semiconductor device failure. Reliability studies on potential Ge partially strained failure sources have an impact on design and are essential to Patterned: assure the long term functioning of the device. For semi- Ge fully Ge partially strained conductor devices, advanced high resolution X-ray diffraction relaxed SiGe (HRXRD) methods, e.g. reciprocal space maps (RSM), are (gradient, interface) applied as accurate, non-destructive experimental tools to evaluate the crystalline quality, and more precisely for the given example, the in-situ strain, defects and geometrical Omega / 2Theta (°) parameters such as tilt and bending of the device [1]. Figure 2: High resolution omega/2theta scans of a 8 µm thick Ge layer grown on a patterned Si substrate (black) and on an Thick germanium layers of about 100 µm on a silicon unpatterned Si substrate (grey) substrate are in discussion for X-ray detection systems. Therefore, germanium is deposited epitaxially on silicon. The The two-dimensional information obtained by performing crystal lattice mismatch of about 4.2% and the large thermal RSMs is shown in Figure 3. The germanium peak shows a mismatch between the two materials results in high stresses broadening in omega related to the tilt of Ge pillars with and defect densities in the germanium layers which often respect to the Si substrate. Satellite maxima are observed at leads to wafer bowing and cracking of the Ge layer. In about ±0.11° from the main Ge peak. Accordingly, the addition, the high density of threading dislocations significantly corresponding satellite peaks are also observed for the Si degrades the performance of a device. pillars of the structured substrate. Also the quality of the Ge/Si interface is depicted in detail. An innovative germanium-on-silicon growth technology has been established. Thick germanium layers are grown with an exceptionally high quality on patterned silicon. The micro- machined Si single crystal pillars serve as substrates for the epitaxial growth of Ge layers up to 100 µm thick. Figure 1 shows the example of 8 µm Ge pillars.

Figure 3: RSM of a 8 µm thick Ge layer grown on a patterned Si substrate and on an unpatterned Si substrate (x-axis of the plot: 0 on the Omega/2Theta scale corresponds to 2Theta = 67.5°, relative scaling given for Omega = 2Theta/2)

Figure 1: STEM view of 8 µm Ge towers on Si pillars In the optimization phase of the ideal Ge/Si system, the Figure 2 shows the HRXRD omega/2theta scans around the application of HRXRD methods permits to correlate the quality Ge(004) reflection of a 8 µm thick Ge layer grown on a of the obtained layer, such as strain and defects, with the patterned Si substrate and, for comparison, of a 8 µm thick germanium deposition parameters. In addition, prerequisite Ge layer grown on an unpatterned Si substrate. geometrical conditions of the substrate can be evaluated. The layer grown on an unpatterned Si substrate is partially This work was supported by the Nano-Tera project NEXRAY. strained, whereas complete relaxation is observed for the germanium epitaxially grown on the Si pillar substrate. The • ETHZ, Labor. for solid state physics, www.solid.phys.ethz.ch threading dislocation density is reduced close to zero (see •• Figure 1) and a perfect crystal quality is obtained despite the ETHZ, Electron Microscopy Center, www.emez.ethz.ch large lattice mismatch. No layer cracking and a minimized [1] A. Dommann, A. Neels, The role of strain in new semiconductor wafer bowing is observed which presents an innovative key devices, Advanced Engineering Materials, 11, 275-277, 2009 technology to wafer handling and post-growth processing.

59

NANOTECHNOLOGY & LIFE SCIENCES Harry Heinzelmann CSEM’s R&D work in Nanotechnology is aimed at improving state-of-the-art processes and products. Most of the technologies developed allow the cost-effective structuring of surfaces with feature sizes from 10 nm to several micrometers. Novel nanometer sized membranes, functionalized nanoporous materials and nano-optical “plasmonic” patterns are just a few of the many products of such technologies. New applications are made possible by groundbreaking developments in nanotechnology. Size selective filtering on a molecular level enables new purification- as well as selective detection schemes. Metallic nanostructures allow for improved optical components and new biosensors through increased optical fields and better sensitivity. Of particular interest are applications in the field of Life Sciences. Nanotechnology, in combination with micro- technology and surface biochemistry, displays great potential for devices that help us face forthcoming challenges in toxicology and cell based sensing. CSEM’s efforts support the current trend in biology to look beyond the molecular level, and to study biological phenomena in larger systems. Promising applications exist in medical screening and instrumentation for advanced Life Science R&D. CSEM’s surface functionalization competences have been recently strengthened by the addition of molecular vapor deposition (MVD). This technology allows the depositing of ultra-smooth molecular films in a very controlled way, and is well suited to organic as well as certain inorganic materials. The deposition out of the gas phase even allows the functionalization of objects with large interior surfaces, such as porous materials and devices with cavities. This makes MVD particularly interesting for MEMS components of all kinds. MVD is offered, in a co-development scheme, to partners from the public and private sectors.

61 Molecular Vapor Deposition for Functionalized Surfaces O. Fryčková, S. Pasche, G. Franc, H. Heinzelmann In 2010 a MVD-100 system was installed at CSEM and is unique in Switzerland. This system allows deposition of thin coatings with tailored properties over complex and nano- structured geometries. MVD® Molecular Vapor Deposition is a technique for the deposition of uniform molecular layers from vapor phase. This technology enables the deposition of the coatings over a complex and narrow (micro or nano) systems. These coatings are typically used in areas as diverse as inject printing, moisture protection, nanoprinting lithography, watches, micro and nanofluidics (pipetting systems), biotechnology (anti- adhesive surfaces for proteins and cells for a new generation of implants) and micro- and nanofabrication (antistiction coatings, anti-adhesion layers).

® Compared to the wet deposition process the MVD Figure 1: The deposition chamber of MVD® system technology provides homogeneous uniform layers due to controlled vapor based SAM process. An accurate control of a In order to control the process environment the system has thickness, accessibility of complex geometries and no been housed in a laminar flow cabinet (Figure 2). The chemical waste are important advantages over wet processes. environment is controlled to ISO 7 specifications. All manipulations as well as first characterization by contact angle The MVD® tailors the coating properties to the specific measurements are thus done under clean conditions. applications, such as in MEMS and silicon based systems where antistiction surfaces are needed. Another property is low stiction can also be reached by depositing specific coatings. Another application is in biotechnology where hydrophobic or hydrophilic surfaces are necessary. The MVD® allows such surfaces to be deposited at ambient temperature and with a controlled thickness, in particulary in inaccessible microfludic channels. Moreover the sensing applications require complex active coatings which are bio- specific such as aminosilanes. Substrates that are difficult to coat, such as plastics or polymers are coated with SAMs by use of intermediate adhesion layers that both bind to the substrate and provide reactive sites for the SAMs. Figure 2: Laminar flow cabinet The MVD® system enables a vacuum deposition of mono- and The MVD® system installed at CSEM has been up and multi-layers of molecules from vapor-phase precursors running since July 2010 forming particular coatings for MEMS operating at an ambient temperature. The MVD® system and liquid dispersing applications. allows the deposition of thin layers on various surfaces, such The MVD-100 [1] system is unique in Switzerland and made as silicon or silicon oxide, glass, ceramics, metal surfaces and accessible by CSEM to their R&D partners from both public polymers. For certain types of coatings, uniform layers of 1 nm and private sectors in the frame of collaboration projects. thickness is obtained on substrates with features of aspect ratio up to 10'000:1. Such a thin coating does not have any This equipment and work was funded by Wilsdorf Foundation. influence on mechanical behavior of the coated systems. CSEM greatly acknowledges their support. The specifications of the MVD-100 system are: [1] • Large deposition chamber for multiple batch www.appliedmst.com/pdf/MVD100E_overview.pdf processing (Figure 1) • In situ substrate cleaning by oxygen plasma treatment at the deposition chamber • Flexible chemistry by using continuous connection to 4 vapor-phase precursors

62 A Compact Radioactivity Monitor for Medical Applications R. Eckert, R. Ischer, S. Weber •, A. Buck •, B. Weber •, R. P. Stanley Quantitative positron emission tomography requires an accurate measurement of the concentration of radioactive tracers in the blood of the patient or animal. In collaboration with Swisstrace GmbH, CSEM developed a novel radioactivity monitor. Its compact modular design makes it ideal for the use in a clinical environment and with animal tomography scanners. By using non-ferromagnetic materials, it can be used with NMR systems. Positron emission tomography (PET) is an important tool in 60x60x55 mm3 (Figure 1, right). As a consequence, the lead medical diagnostics and research. It produces three- shield protecting it against background radioactivity is much dimensional images and maps functional processes within the smaller than that of commonly used monitors. The dimensions body by tracing the radioactive decay of radio-labeled of the shield can be even further reduced because scintillating molecules. Positron emitters (i.e. beta radiation emitters) are events caused by weak background radioactivity are filtered commonly used for labeling specific tracers. by the coincidence electronics. The shielded sensing head is therefore small enough to be placed inside or close to an Quantitative PET requires an accurate measurement of the animal PET scanner. ii) The sensing head contains no radioactive concentration in the blood at any time. In animals electronics and when it is made out of non-ferromagnetic the concentration is commonly determined by extracting blood materials it enables operation in high magnetic fields such as in a shunt from the body and measuring continuously the those arising in an NMR system. radioactive level with an external monitor. The principal components of such a monitor are scintillators which convert The radioactive decay of Nitrogen 13 in a hydrous solution is the high-energy gamma rays created during the positron shown in Figure 2. The single channel A (blue diamonds) and decay into an avalanche of low-energy luminescent photons, the coincidence channel (red crosses) are displayed. The photodetectors and signal processing electronics. N-13 half-life is determined by fitting an exponential decay function to the data (solid lines). For both channels the half-life The radioactivity monitors currently on the market are bulky is 598.211 s, corresponding well to the literature value. The and heavy. Their size and design makes them unsuitable for linearity of the coincidence channel is a strong indication that applications with animal PET scanners and nuclear magnetic true rather than random coincidences are being measured by resonance (NMR) machines. In collaboration with Swisstrace the system. GmbH, CSEM developed the prototype of a compact and NMR compatible radioactivity monitor. 106 Coincident Count Rate Single Channel Count Rate 105

104 Counts per Second per Counts 103

102 0 1000 2000 3000 4000 5000 Time (S) Figure 2: Radioactive decay of Nitrogen-13. Time traces of the single channel A (top line diamonds) and coincidence channel (bottom line Figure 1: Working principle of the radioactivity monitor (left). Compact crosses). The corresponding solid lines are exponential fits. sensing head containing the two scintillator crystals with attached light guides (right). The shunt with the radioactive labeled blood is In conclusion, a prototype monitor for determining the inserted on the back side of the head (not shown). concentration of radioactive tracers in fluids was designed and tested. Its compact, modular design makes it ideal for the use Figure 1 (left) shows the principle of the monitor. The main with animal PET scanners and in clinical applications. Its innovations are: i) The spatial and temporal coincidence magnetically neutral sensing head allows operation in counting scheme. Twin gamma rays from the positron decay magnetic sensitive environments like in the vicinity of NMR propagate into opposite directions. Spatial coincidence is systems. achieved by detecting them with separate scintillator crystals. The time coincidence of the two detection events is The day-to-day performance and handling of the developed determined by a custom-made coincidence electronics with a radioactivity monitor will be further evaluated at the University time window ΔT of about 100 ns. ii) The spatial separation of Hospital Zürich. Sales launch is foreseen for the end of 2011. the scintillator crystals and photodetectors, which are This work was partly funded by the KTI-CTI project 9889.1 connected by liquid light guides. iii) Packaging all the PFLS-LS. CSEM thanks KTI-CTI for the support. electronics including the counters for the two single and one coincidence channels, as well as the photodetectors in a standard 19” rack. • Swisstrace GmbH, Zürich This modular design has the following advantages: i) The sensing head with the scintillators has a volume of only

63 Metallic Membranes for Chemical Sensing L. A. Dunbar, M. J. K. Klein, R. Eckert, P. Niedermann, J. Brugger •, R. Pugin, R. P. Stanley This work details the technique to make micro and nano structured metallic membranes which are to be used as enhanced chemical sensing surfaces. These surfaces can work either at visible or mid-infrared wavelengths. Commercially viable sensors should have a good signal to lithography cannot be used. However, an advantage at visible noise ratio, be easy to use and cheap. In view of this, CSEM wavelengths is that there is a much wider range of cost- has developed enhanced sensing membrane structures that effective emitters and detectors. can be fabricated cheaply. The metallic membrane surfaces A technique to make sensing surfaces for visible wavelengths act as enhanced sensors either at mid-infrared (mid-IR) or has been developed at CSEM. The processes uses self visible wavelengths. assembled beads as an etch mask. This enables large scale By nanostructuring, metals are used as enhanced sensing surfaces to be made at a lowercost. A typical membrane surfaces [1]. The enhancement comes through increased fabricated this way is shown in Figure 1 (c & d). The holes interaction between the absorbing particle and the optical show narrow pore size distribution and good short range field. Metallic surfaces have the advantage that they often order. Nanoporous gold membranes are produced at wafer allow chemisorptions. This results in the formation of self scale in a standard clean room infrastructure. Thus, the assembled monolayers of chemicals [2] allowing absorption of nanofabrication processes become cost effective and relevant the species to be measured reproducibly. for industrial application. Both sets of membranes were measured in transmission. The enhanced transmission, eta, is defined as the transmission of light through the membrane divided by the amount of light impinging on the hole. A figure or merit greater than one indicates more light gets through the membrane hole than directly impinging on it, i.e. some of the light is travelling parallel to the membrane surface. This will increase the interaction of the light with the molecule and thus improve the sensitivity of the sensor. The enhanced transmission of the membranes working at mid-IR and visible wavelengths are

shown in Figure 2a and 2b respectively. Figure 1: a) and b) Sensing surfaces for Mid-IR wavelengths, c) and d) for visible wavelengths. a) Membrane area 1.2x1.2 mm; b) Corresponding SEM image; c) Photo of wafer scale nanoporous membrane made by bead self assembly technique, and d) Corresponding SEM image of membrane.

Chemical identification at mid-IR wavelengths can make use of vibrational spectroscopy which measures the absorption lines of the material. The simplicity of this detection and the fact that the sensing surface is made using standard (a) (b) photolithography are advantages over detection at visible Figure 2: Enhanced transmission of metallic membrane sensing wavelengths. However, the sources and detectors in the mid- surfaces at (a) visible wavelengths and (b) mid-IR wavelengths, with IR lag behind their well developed and cheaper visible two different diameters 2 and 3 microns counterparts. Further investigations are being made to increase the The sensing membranes for the Mid-IR are made by micro interaction between the optical field and the chemical structuring 500 nm thick silicon nitride membranes in a molecule through waveguiding and field enhancement. standard CSEM process [3]. The membranes are then sputtered by metal. The sputtering technique gives good metal The next step is to develop chemical specific membranes and coverage inside the holes. A typical membrane is shown in to integrate these into detection systems. Figure 1 (a & b). The membranes can be as large as 2x2 mm and are physically very robust. There is excellent uniformity of hole and period size. The hole diameter can be as small as • Laboratoire de microsystèmes 1, EPFL STI IMT LMIS1 BM 3115 1 µm with wafer scale fabrication. (Bâtiment BM) Station 17 CH-1015 Lausanne [1] A. G. Brolo, R. Gordon, B. Leathem, K. L. Kavanagh, “Surface In contrast to the mid-IR, chemical identification at visible Plasmon Sensor Based on the Enhanced Light Transmission wavelengths is usually achieved by probing a physical trough Arrays of nanoholes in Gold Films”, Langmuir, 20 (2004), change. An example of this is the measurement of a refractive 12, p. 4813 index change due to molecules binding to a surface. Sensing [2] C. D. Bain, G. M. Whitesides, Science (1988) 240, 62-63 surfaces that work at visible wavelengths have hole sizes in [3] CSEM Scientific and Technical report (2007) p.45 the range of 100s of nanometers and therefore standard

64 MEMS Tunable Quantum Cascade Lasers for IR Spectroscopy M. Tormen, T. Overstolz, R. Lockhart, B. Timotijevic, J. Pierer, C. A. Bosshard, D. Arnone •, T. Day •, R. P. Stanley Tunable Quantum Cascade Lasers are becoming key instruments in different domains, from industrial process control to medical diagnostics and environmental monitoring. CSEM, in collaboration with Daylight Solutions, has successfully demonstrated a compact Quantum Cascade Laser emitting at a wavelength of 9.5 μm and tunable over 150 nm. The laser, based on MEMS gratings, represents the smallest tunable Quantum Cascade in the world. Mid-InfraRed (Mid-IR) is the key spectral region to detect and The resulting laser operates at 9.5 µm and is tunable over analyze molecules and proteins. Therefore, mid-IR sources 150 nm. The tuning range is greatly increased by small and detectors are essential tools in industrial process control, changes in the gain and tuning elements. This laser currently scientific research, medical diagnostics, environmental represents the smallest tunable external cavity Quantum monitoring, and security applications. Cascade Laser in the world (see Figure 2) [2] In this context tunable Quantum Cascade Lasers (QCLs) are a key light source. Fixed wavelength QCLs are readily available thanks to the recent advances in the technology. But for tunable QCLs to reach high-volume applications the QCL modules have to become smaller and more affordable. By replacing the mechanical tuning element with a MEMS tunable grating the module is made smaller and more cost-effective. CSEM and Daylight Solutions have successfully demonstrated a very small (10 cm3) Quantum Cascade Laser emitting at 9.5 μm, tunable over 150 nm.

CSEM developed a proprietary MEMS grating technology and Figure 2: Compact monochromator based on the MEMS tunable provided the package solution. Daylight Solutions provided the blazed grating technology. The driving electronics is external. optical design of the external cavity, the laser chips and the optics. The MEMS tunable blazed grating technology can also be used for spectrometers. Again a key issue is compactness. To The MEMS tunable blazed grating [1] is manufactured from a this end an extremely compact monochromator and 500 μm thick Silicon wafer. The chip dimensions are 5 by spectroscopic system (see Figure 2) have been developed for 5 mm. The maximum achieved tuning range is 8% of the the visible spectral region (300-700 nm) and in the near-IR central wavelength. The first mechanical resonance is at (800 nm, 1550 nm) [3]. The core of the spectrometer is the 12 kHz, enabling the chip to be operated safely up to 1 kHz. same blazed MEMS grating that is used for the QCLs. Light The technology has successfully passed severe shock enters and exits the monochromator via a collimated pair of (3200 g) and vibration tests (60 g in the frequency range fibers. The MEMS tunable blazed grating is as well suitable for 100 Hz–10 kHz). operations in the mid-IR, up to 10 μm. In conclusion, the demonstrated Quantum Cascade Laser and monochromator, both based on CSEM proprietary MEMS grating technology, open the path for future compact and affordable devices for spectroscopic applications in the mid-IR spectral region.

• Daylight Solutions, San Diego (USA) [1] M. Tormen, et al., “Deformable MEMS Grating for Wide Tunability and High Operating Speed”, SPIE, v 6114 (2006) p 61140C [2] M. Tormen, et al., “MEMS Grating Based Micro-Spectrometers and Tunable Lasers”, Conference PittCon 2010, Orlando (USA) Figure 1: The compact butterfly-type package contains the Quantum [3] M. Tormen., et al., “MEMS Tunable Grating Microspectrometer”, Cascade Laser chip, the MEMS grating chip, lens and the driver International Conference for Space Optics (ICSO) (2008) 3 electronics. The dimensions are 3x3x1 cm . The package solution was developed to fit into a compact 3 butterfly-type housing, 3x3x1 cm (see Figure 1). It contains the Quantum Cascade Laser chip, the MEMS grating chip (on a tilted platform), the optics and the driver electronics. All the optical elements have to be aligned with high precision in a very small package.

65 Fully Programmable MEMS Gratings for Space Applications B. Timotijevic, R. Lockhart, M. Tormen, R. P. Stanley CSEM has developed a programmable micro-diffraction grating (PMDG) device for spectral shaping in the (near) infrared wavelength range, from 900 nm to 2500 nm. The design, microfabrication and preliminary electrostatic characterization of the PMDG for a space spectroscopic system have been demonstrated. The advantages of MEMS for space applications are well flexures. The design of the optical ribbon, flexures and known: they are small, reliable, light weight, they consume electrodes is such that there is no direct electrostatic force on little power and can be robust enough for the demands of the optical part but only on the mechanical flexures, which space exploration. Programmable micro-diffraction gratings vastly reduces the degree of ribbon bending throughout (PMDG) made in the MEMS technology are of special interest actuation. for space spectrometers in planetary probes. Compact spectrometers are easily made in the visible wavelength range by combining silicon photodetector arrays and fixed gratings. Outside of this range detector arrays have limited commercial success since they are either inefficient or expensive. A single detector and a tunable grating is a viable alternative. The standard approach is to tune the grating by rotation by using bulky mechanisms, which require precise control around all six axes. By using PMDG a variety of new geometries are now possible. One possibility is to first Figure 1: PMDG chip mounted on a PGA disperse light by a fixed grating and then scan through the dispersed wavelengths by using the second, tunable grating – Figure 2 shows a part of the fabricated chip when a single PMDG, which can switch on/off dispersed wavelengths ribbon (#7) is actuated by using the electrostatic prober λ through vertical displacement of every other ribbon by λ/4 mounted on a WYKO microscope. The chips fabricated so far (so called spatial light modulator). Another possibility is to use have the gap under the ribbons of ~2.5 µm, which allows for the PMDG where every ribbon is controlled independently, in vertical displacements up to 0.8 µm by using voltages 50 – which case better control over spectra is possible and only 150 V, depending on the ribbon thickness and the flexure one grating (PMDG) and one detector are sufficitent to realize design. Measured ribbon bowing on these devices is typically the system. below 100 nm in both actuated and non-actuated states. Futhermore, the ribbons remain immune to the lateral rotation Despite the initial excitement about using the PMDG directly (tilt) and tip in both states. Cross-talk is also minimal since the to make arbitrary spectral filter functions [1], there are no ribbons adjacent to the actuated ribbon are typically not commercial spectrometers using this principle. As a part of the affected by actuation. ongoing PMDG project with the European Space Agency, CSEM and partners from Institut of Microengineering (IMT) in Switzerland, Laboratoire d’Astrophysique de Marseille (LAM) in France and Thales Alenia Space in France are developing the PMDG device for spectral shaping in the (near) infrared, from 900 nm to 2500 nm. One of the main project tasks is fabrication of large numbers of optical ribbons (> 1000) in a small footprint in order to achieve good spectral resolution. These ribbons also need to be relatively long (> 0.7 mm) in order to be used in imaging applications. Another important requirement of the device is that it has to provide vertical displacement of 1-1.5 µm while retaining wavefront Figure 2: WYKO 3D image of a part of the PMDG chip when the deformation of less than λ/10 = 90 nm over each grating 7th ribbon top right is actuated. element. The ribbon flatness is of paramaount importance for high-efficiency devices since for visible light (λ = 632.8 nm), By increasing the vertical gap to 4 µm and the number of the the diffraction efficiency drops by more than 50% for peak-to- ribbons to ~1000, the near-infrared spectroscopic system with valley curvatures of λ/4. resolution below 5 nm in the wavelength range 0.9-2.5 µm can be realized. Figure 1 shows a PMDG chip fabricated by CSEM and mounted on a pin grid array (PGA). The current PMDG chip is formed of 64 independently addressable ribbons with the [1] S. D. Senturia, D. R. Day, M. A. Butler, M. C. Smith, ribbon width of either 50 µm or 80 µm and the lateral gap of “Programmable Diffraction Gratings and their Uses in Displays, 4 µm and 5 µm respectively. The length of the optical ribbons Spectroscopy, and Communications”, J. Microlith., Microfab., is 700 µm. They are connected at both ends via linkage arms Microsyst. 4 4, (2005) to the centre of 300-500 µm long compliant mechanical

66 Manufacturing of Micro- Nano-structured Plastic Components N. Blondiaux, I. Zhurminsky, B. Satilmis, V. Monnier, G. Franc, M. Schnieper, R. Pugin The development of a cost effective manufacturing chain for the fabrication of plastic components with high aspect-ratio surface micro- nanostructures is reported in this paper. In the proposed approach, top down and bottom up techniques have been used for the fabrication of a structured embossing master which has been used later for replication into thermoplastics by hot-embossing and injection molding. There is a growing trend towards production of plastic In the manufacturing chain proposed, the first step is components and devices containing functional micro- and dedicated to the fabrication of micro- nano-structured nano-structures with lateral size from micron to hundreds of embossing masters and inserts. Specific patterning and nanometer and surface roughness in the 1 to 10 nm range. etching processes have been developed for the production of Recording media (CD, DVD, and Blue Ray) as well as security embossing masters and inserts with high aspect ratio features features for anti-counterfeiting (holograms, diffractive and well defined profiles tailored for replication. identification devices [1]) are very significant examples which demonstrate the high potential of micro- and nano-structured plastic products with regard to innovation, performances, and cost efficiency. During the last few decades, replication technologies such as injection molding or hot embossing have been intensively developed for the serial manufacturing of such micro- nano-structures into polymeric materials – however those techniques were limited to 2D devices of simple geometry and to low aspect ratio structures (< 1:1).

Figure 2: (Top) Scanning electron microscope image of structures made in silicon. (Bottom) Photograph of an embossing master presenting a pattern of nanostructures (12 squares of 8x8 mm structured).

Figure 1: Schematic showing the manufacturing approach Typical results of fabricated structures are shown in Figure 2. considered The processes developed also enable large area tool 2 In this context, CSEM, in collaboration with several research structuring (above hundreds of cm ). Depending on the institutes and industrial partners, is currently developing a new replication process different materials are used for the intelligent and scalable injection molding platform for micro- nanostructured part of the tool (embossing master, mold, nano-manufacturing. The targeted platform brings together insert), for instance steel, silicon or nickel. capabilities in tool-making for the micro- nano-structuring of In order to demonstrate the potential of the developed replication masters, process optimization and advance platform three industrially relevant demonstrators are process control modules for the production of micro- nano- considered. Thermoplastic components with high aspect ratio structured plastic parts with the requirements of high precision structures tailored for specific surface properties such as and throughput (Figure 1). More specifically CSEM main water-repellency, tunable biological-cell/substrate interactions objectives are: and novel optical effects will be produced. The targeted • The fabrication of 3D micro- nano-structured replication markets are mainly health, biotechnology, energy and tools (masters, molds, inserts) with highly controlled security. feature sizes in the 200-1000 nm range and high aspect This work was partly funded by the OFES and the European ratio (> 1:2) using established lithography techniques. Commission via the integrated project IMPRESS. CSEM • The development of innovative structuring techniques thanks them for their support. based on self-assembly [2] as an alternative to conventional micro- nano-structuring processes. This [1] US2008278815 (A1), CSEM, “Isotropic zero-order diffractive approach offers in particular advantages such as the filter” fabrication of smaller feature sizes at lower cost and on [2] R. Pugin, et al., « Surface Nanopatterning by Polymer Self- larger areas. Assembly : from Applied Research to Industrial Applications », • The optimization of the replication process and J. Photopol. Sci. Tech., 22-2 (2009) 223-228 parameters when using nano-structured tools.

67 Ultrathin Nanoporous SiN Membranes for Biotech Applications F. Montagne, M. J. K. Klein, N. Bondiaux, R. Pugin Membrane technology is widely used in biotechnology for the separation and purification of small molecules and biological entities such as sugars, antibiotics, proteins, viruses and bacteria. The existing ultra- and micro-filtration polymer membranes often have a broad pore size distribution with no clear cut-off values and are a few hundred times thicker than the molecules to be separated. This may lead to poor selectivity, sample retention and low transport rates. Newly developed ultrathin nanoporous silicon membranes overcome these limitations by offering precise control of nanopore dimensions. The result is a new class of inorganic nanosieves that exhibit unique specifications for applications in biotechnology, where fast and selective molecular separation is required. There is an ongoing need for new processes, devices or bars thus demonstrating their excellent mechanical modules allowing for fast and selective separation, robustness. Silicon nitride offers several other advantages concentration or purification of (bio)molecules at various over standard polymeric membranes, such as superior scales. In this context, ultrathin nanoporous silicon thermal and chemical stability, biocompatibility and facile membranes (NSiMs) were recently developed at CSEM using modification with reactive coatings in order to introduce new proprietary fabrication processes combining polymer self- surface functionalities. assembly and standard clean room techniques. NSiMs are manufactured on four inch wafers resulting in more than 150 silicon chips per wafer, containing arrays of individual membranes in various sizes and arrangements, as shown in Figure 1a and 1b. Depending on the desired application for ultra- or micro- filtration (pore size in the 0.001-0.1 µm or 0.1-10 µm range resp.), either block copolymer or nanosphere lithography can be used to produce well defined pores of adjustable diameters [1], [2]. NSiMs as thin as 100 nm were fabricated in various lateral dimensions and narrowly distributed pore sizes in the range of 40 to 500 nm (Figure 1). Pore density was Figure 2: Incorporation of NSiMs in a laboratory filtration device, e.g. typically comprised between 1010-1011 pores/cm2, which is a centrifugal tube. a) Series of NSiMs used for size-based superior to the commercially available track etch membranes fractionation of proteins or particles. b) NSiMs of larger aspect ratio by two orders of magnitude. used for affinity capture of biomolecules.

The unique specifications of NSiMs result in a novel class of nanosieves offering superior performances for selective and high throughput separation of biological molecules and nanomaterials. Performance evaluation is conducted within the frame of EU funded SELFMEM [3] and Swiss funded ISYPEM [4] projects. Current developments include the continuous optimization of fabrication processes, functionalization with smart polymers and active biomolecules (proteins, DNA), and membrane integration in basic laboratory devices for size or affinity based (bio) molecular separations (Figure 2). CSEM thanks OFES and the European Community for their financial support.

[1] A. M. Popa, P. Niedermann, H. Heinzelmann, J. A. Hubbell, R. Pugin, “Fabrication of Nanoporous Arrays and Ultrathin Silicon Nitride Membranes by Block-Copolymer Assisted Lithography“, Nanotechnology, 20 (2009) 485303 [2] M. J. K. Klein, F. Montagne, N. Blondiaux, H. Heinzelmann, R. Pugin, J. Brugger et V. Savu, submitted to J. Vac. Sci. Figure 1: a) and b) 4-inch silicon wafer carrying arrays of 6x6 mm Technol. (2010) chips containing individual free-standing NSiMs of various lateral [3] dimensions (up to few mm2); c) and d) Narrow-size distributed Self-Assembled Polymer Membranes, FP7, NMP3-SL-2008- 228652 nanopores produced by block copolymer lithography (pore size ∼ [4] 70 nm) and colloidal lithography (pore size ∼ 300 nm). Intelligent Integrated Systems for Personalized Medicine, NanoTera The membranes were manufactured from low stress silicon nitride. They exhibit a Young’s modulus of 150 GPa and a residual stress of around 600 MPa. The membranes were bulge-tested and withstood differential pressures up to five

68 Silicon Nanostructures by Metal-assisted Chemical Etching F. Montagne, L. Wang, R. Pugin Nanostructured silicon surfaces are attracting considerable attention due to their potential applications in electronics, photonics and sensing. Here, CSEM demonstrates that metal-assisted chemical etching (MAC-Etch) used in combination with self-assembled block copolymer templates is a facile and versatile pathway for producing high aspect ratio silicon nanostructures, such as nanopores and vertically aligned nanowires. High aspect ratio silicon nanostructures are usually produced The MAC-Etch method has also been used to produce either by bottom-up growth methods or top-down lithography ordered and densely packed arrays of high aspect ratio silicon techniques. These methods allow for excellent control of nanowires. Various procedures have been developed.2 nanostructure shapes and dimensions, however they are Figure 2 shows a method where a 10 nm thick layer of gold is rather demanding in terms of process and equipment, making evaporated onto self-assembled block copolymer micelles, the structures expensive to produce at large scale. followed by immersion in a HF/H2O2 etching solution. Depending on the etching time, vertically aligned nanowires of Metal-assisted chemical etching (MAC-Etch) represents a various lengths (here, up to 1.5 µm) and similar diameter simple and cost-effective alternative for fabricating (∼50 nm) and periodicity (∼185 nm) more than the initial nanostructures at full wafer scale. The method was first masking micelles were produced (Figure 2b and 2c). described by Li and Bohn [1] who showed that a thin layer of noble metal deposited on the top of a silicon surface was transferred into the bulk material when immersed in a solution containing an oxidant (e.g. hydrogen peroxide) and hydrofluoric acid (HF). When the surface is only partially covered with the metal layer, e.g. patterned with block copolymer micelles, the silicon beneath the noble metal is etched much faster than the uncovered area, resulting in the formation of high aspect ratio nanostructures, such as nanopores and nanowires [2]. In a first example, Figure 1a and 1b shows the formation of highly porous silicon surfaces produced using 50 nm silver nanodot catalysts patterned via a block copolymer micellar Figure 2: a) Fabrication process for high aspect ratio silicon nanowires; b) and c) 1.5 µm in length after 10 min of etching. film. Immersion of the substrate in a mixture solution of 10% Nanowires stick together into sheaf-like structures because of HF and 30% H2O2 (10:1 v/v%) at room temperature for 2 and capillary forces. 10 min resulted in the formation of straight nanopores having homogeneous depths of 2.5 and 15 µm, respectively. SEM In conclusion, the block copolymer based MAC-Etch process pictures clearly show that the remaining silver catalysts are enables the fabrication of high aspect ratio nanopores and engraved and still in contact with the silicon surface, meaning nanowires in silicon of various doping, resistivity and crystal that deeper nanopores can be produced for longer etching orientations. Final diameters and spacings are defined by the times. Using a similar etching procedure on 20 µm thick parent polymer template, whereas depths or lengths are silicon film, even suspended nanoporous membranes could mainly controlled by the etching time. Due to its simplicity, be fabricated at the wafer scale, as shown in Figure 1c and level of control, low cost and reproducibility, MAC-Etch is a 1d. viable pathway for reliable and large scale fabrication of silicon nanostructures (pores or wires), e.g. for ultra-filtration or photovoltaic applications. This work was partly supported by the Swiss Nanoscience Institute (SNI) of the National Center of Competences in Research (NCCR “Nanoscale Science”).

[1] X. Li, P. W. Bohn, “Metal-assisted Chemical Etching in HF/H202 Produces Porous Silicon”, Appl. Phys. Lett. (2000), 77, 2572 [2] L. Wang, “Fabrication of Functional Silicon Surfaces using Metal Nanostructures Produces by Block Copolymer Self-Assembly”, EPFL Thesis, 2011

Figure 1: a) and b) Nanoporous silicon surfaces produced by MAC- Etch using silver dot catalysts patterned by self-assembled block copolymer micelles. Pore depths are of 2.5 and 15 µm, respectively; c) and d) 20 µm thick suspended nanoporous silicon membrane.

69 Nanodispensing for Antibody Nanoarrays A. Meister, J. Bitterli, P. Ellmark •, C. Wingren •, M. Liley, C. A. K. Borrebeack •, H. Heinzelmann A new generation of antibody nanoarrays has been developed where each attovial is individually functionalized with antibodies using the nanoscale dispensing (NADIS) technique. Standard protein microarrays have a typical spot size of 100 μm. Attovials can be up to a thousand times smaller. Such a small size allows the arrays to be functionalized with much higher numbers of antibodies and with extremely high spot densities like those required for global proteomic analysis. Antibody microarrays consist of a multitude of different The NADIS probe is similar to an atomic force microscope capture antibodies that are spotted and fixed on a solid (AFM) probe, except that the tip and cantilever are hollow and surface for the purpose of detecting multiple antigens, typically filled with liquid. The liquid is dispensed via a small aperture proteins. They are used for detecting protein expressions from located at the tip apex (Figure 2). The microchannel formed by cell lysates. Antibody microarrays are also used in diagnostics the hollow core inside the cantilever is connected to a to detect biomarkers in physiological fluids such as urine or reservoir located in the body of the probe. The transfer of serum. Conventional antibody arrays are limited in the number liquid from the tip to the attovials occurs when the tip is in of analytes that can be simultaneously detected due to the contact with the surface, and is governed by capillary action. size of the spots (100 to 300 μm). Increasing the spot density AFM imaging allows the tip to be precisely positioned, the by decreasing their size offers several advantages such as: contacting force being controlled via the AFM force feedback. faster kinetics and reaction times, reduced sample The quantity of the dispensed liquid can be precisely adjusted consumption, and smaller microarray dimensions allowing by connecting the NADIS probe to a microinjector pressure easier integration into microfluidic devices. controller. The connection is realized via fluidic channels A novel microarray structure based on attovials has been inside the NADIS probe holder (Figure 3). The pressure of the developed, that enables a 100 to 1000-fold reduction in the liquid loaded inside the NADIS probe can be controlled over dimension of the spots of active capture antibodies [1]. The time to ensure precise dispensing. attovials are very small containers made by e-beam lithography, with diameters ranging from 500 nm to 4 μm, in a 200 nm thin PMMA layer. Each attovial is functionalized with antibodies using the nanoscale dispensing. The presence of the antigens is detected by fluorescence (Figure 1).

Figure 3: Adapter that operates NADIS on commercial AFMs. The adapter is equipped with two fluidic channels connected to the reservoirs of the NADIS probe. Inlets 1 & 2 are used to connect the

system to a microinjector pressure controller via standard tubes. Figure 1: Antibody/antigen binding assay. The antigens are fluorescently labeled. The planar waveguide optical readout ensures The bio-reactivity of the molecules spotted by NADIS inside that only the antigens directly linked to the waveguide inside the attovials are detected. the attovials was demonstrated by spotting antibodies against the complementary factor C1q inside 1, 2 and 4 μm wide attovials. The nanoarray was then incubated with biotinylated C1q, and successfully revealed using fluorescently labeled streptavidin [1]. The feasibility to create bioreactive antibody nanoarrays with a spot size in the order of 1 μm, based on attovials functionalized with the NADIS technique, has been demonstrated. This should enable the production of nanoarrays with extreme spot densities. The next challenge Figure 2: NADIS dispenser spotting molecules onto an antibody will be to multiplex hundreds to thousands of analytes. microarray The spotting of the different antibodies in the attovials requires • Department of Immunology, Lund Unversity, Sweden a technique that is able to dispense ultrasmall volumes at the [1] P. Ellmark, S. Ghatnekar-Nilsson, A. Meister, H. Heinzelmann, precise vial position with a large number of different antibodies L. Montelius, C. Wingren and C.A.K. Borrebaeck, "Attovial-based to allow multiplexing. Standard plotting tools write spots in the antibody nanoarrays", Proteomics 9 (2009) 5406 order of 100 μm. In this study, the nanoscale dispensing technique was used for the functionalization of the individual vials. Nanoscale dispensing, or "NADIS", is a technique able to dispense liquid in the attoliter range at a precise position.

70 Towards Replacing Animal Test in Nanotoxicology M. Favre, M. Liley, A. Ahluwalia •, V. Stone ••, E. M. Collnot ∗, W. G. Kreyling ∗∗, J. M. Wilkinson ♦, G. Oberdörster ♦♦, R. Catena ♦♦ The European FP7 project InLiveTox (www.inlivetox.eu) is developing a fluidics-based in vitro model for the study of nanoparticle (NP) uptake, transport and cellular interaction, thus advancing our understanding of NP toxicity. This represents an important step towards the European Union 3R objective – refinement, reduction and replacement of animal testing. There is currently a high level of concern about the potential The system will include at least two microfluidics circuits, risks to health posed by novel substances, particularly representing, for example, the gastrointestinal tract and the nanoparticles. Governments and regulatory bodies are asked cardiovascular system (Figure 2) to set guidelines and controls that will help protect those Ultrathin highly porous microfabricated membranes (Figure 3) involved in the manufacturing or use of these materials. are used to give improved NP transport properties in the However, there are serious gaps in our understanding of the intestinal models. Electrodes are integrated on the chip to test way that such materials interact with the human body and the the permeability of the intestinal model in the system. This level of risk that exposure to them might involve. The project model is brought together with other cell types to form a InLiveTox aims to address these needs through improving our system of connected model tissues, bringing the system understanding and providing tools and assays that might form closer to an in vivo experiment. Thus, it will enable more the basis of new testing methods for safety and toxicity accurate prediction of the response of an organism to NP in without the use of animals. the bloodstream and gut. The in vitro system will be validated The InLiveTox project is developing a modular in vitro model by an in vivo study of NP toxicity by ingestion. for the study of NP uptake and NP impact on different cell types. The project is focusing on NP exposure via ingestion. Cell culture modules of target tissues such as the gastrointestinal tract, the liver and the endothelium will be connected via a microfluidics system so that knock-on and cross-talk effects between organs and tissues can be monitored (Figure 1).

Figure 3: Microfabricated silicon chip with several microporous membranes in the center, onto which a model intestinal epithelium will be cultured. The circular platinum electrodes permit testing the quality of the cell layer (left). SEM image of cells grown on such a membrane (right).

The long-term objectives of the project are: • To ensure the safe development and use of NPs for commercial applications • To commercialise a test system to screen NPs for their toxicity Figure 1: Modular microfluidics-based system for the study of the crosstalk between different cell types • University of Pisa, Italy A major innovative aspect of this project pertains to the •• implementation of biological tissue models in a Heriot-Watt University, UK ∗ microfabricated cell-culture system that allows multiple cell Saarland University, Germany types to be addressed and investigated in combination. This ∗∗ Helmholtz Zentrum München, Germany system will be much more convenient and ethically less ♦ Kirkstall, UK questionable than animal testing, as well as more relevant ♦♦ University of Rochester Medical Center, USA than the single co-culture cell in vitro models currently used.

Figure 2: Schematic of the InLiveTox system, showing the connection of the different tissues in the microfluidic system.

71 Ultra-thin Microporous Support for In Vitro Model of Biological Barriers S. Angeloni, S. Ahmed, M. Favre, M. Liley Biological barriers protect our bodies from free access of external entities ranging from simple inorganic particulates to complex viruses. In vitro models of such barriers imply the set-up of two separate compartments locally mimicking the interface between the outside and the inside of the human body. Novel extremely thin silicon nitride microporous supports compatible with cell cultures were developed at CSEM. Their mechanical, chemical and optical properties improve the design of in-vitro models of biological barriers. In vitro models of biological barriers are used to screen the biological barriers. Due to the hugely reduced thickness, the ability of potential pharmaceuticals and toxins to enter the mechanical support is less invasive towards the supported cell human body. Commonly studied biological barriers include the layer, which increases the relevance of the model as a lung, skin, intestines and the blood-brain barrier. They are biomimetic system. always asymmetric (polarized), because they locally interface In general complex models are achieved by growing different between two completely different media: for example in the cell lines simultaneously in co-culture. This results in the lungs, between air and blood. The design of in vitro models of model being more representative of nature. In this context it is biological barriers implies the set-up of two separate crucial to keep the different cell lines physically apart while compartments locally mimicking the interface between the allowing, as in nature, cell-cell signalling through the ultra thin outside and the inside of the human body. This is obtained by porous support. This approach is particularly required for culturing cells on commercially available inserts (porous models of biological barriers which are particularly thin in supports) developed for the growth of polarized cell layers. nature (few microns and less), such as the alveolar air-blood Desirable parameters for such a support are: optical barrier (lungs) or the blood-brain barrier. Because the diffusion transparency for observation; low intrinsic fluorescence for time through a support depends on its thickness, the reduced staining techniques including fluorescence and thickness is a crucial characteristic for the study of transport immunofluorescence; good acid, base and solvent resistance; properties through biological barriers. This is relevant for new temperature and electron beam resistance for SEM drugs and new drug carriers (e.g. nanoparticles), and for the examination; suitable surface chemistry for cell growth; study of the specific mechanism of nanoobject translocation. mechanical robustness; pore size and density; compatibility The latter is the first step to be studied to assess the toxicity of with sterilization procedures; reduced membrane thickness new nano-materials, and nowadays the toxicity of materials and low cost. The commercial inserts are single-use issued in nanotechnology is a general major concern. consumables. They can broadly be divided into polymer (eg. polycarbonate track etched inserts, Transwell®) and aluminum oxide (Anapore®) based types. Both types display only a subset of the above desirable features. Novel porous supports in silicon nitride were manufactured at CSEM using standard photolithography techniques. They consist of 1 cm2 sized chips covered by an array of on average 1 mm2 porous pads (see Figure 1). They are compatible with the growth of cell cultures (see Figure 2). Figure 2: a) Optical image of 3 microns pore membrane with cultured There is an unprecedented level of control over pore size and cells; b) Confocal scanning laser microscopy of green actin staining density. Both are finely tunable according to the specific cell of cytoskeleton; c) Red vinculin staining for focal adhesions and model to be studied. CSEM porous supports combine all d) blue DAPI staining of nuclei for Calu-3 cell after 24 hours. Calu-3 mechanical, chemical and optical properties desirable by the is a human immortalized airway epithelial cell line. end users. They can also be used several times while displaying a key parameter which is the much reduced Given these major advantages over the existing inserts, the thickness. novel porous supports are expected to easily meet the interest of academic and industrial researchers in the fields of biology, pharmaceutics, cosmetics and nanotoxicology, where the improved quality of in vitro models represents a major requirement. This is in line with the general commitment of the scientific community, encouraged by recent EU regulation, on reducing, refining and replacing in vivo models (European Partnership for Alternative Approaches to Animal Testing, Figure 1: [left to right] wafer (diameter 10 cm) with 25 chips, close-up EPAA), where this new technology is likely to play a major of chips (1x1 cm), close-up of 7x7 porous pads (each 1x1 mm), one role. porous pad (1x1 mm), 1 µm holes in the porous area This work was partly developed within the framework of the With their 500 nm thickness they are at 20 times thinner than EU projects Meditrans (NMP4-CT-2006-026668) and the commercially available polymer based inserts which are InLiveTox (NMP4-SL-2009-228625). CSEM thanks the EU for the thinnest available on the market (10 microns). Such financial support. supports may play a key role in the design of in vitro models of

72 Use of Biosensors for the Detection of Cocaine at Airport Security Controls B. Wenger, R. Ischer, H. Sigrist •, H. Chai-Gao •, V. Monnier, G. Franc, G. Voirin Detection of drugs and is a major concern for public security. At airport security controls, screening of luggage is performed by collecting particles manually with a swipe pad. To automate this process a European consortium was set up to develop a full analytical solution including air sampling, extraction and biosensing. The system is able to find traces of cocaine by direct air sampling and is ready for systematic analysis of luggage without human intervention. The goal of the Nanosecure EU funded project is to improve WIOS [2] platform developed at CSEM. The optical chips are public security using advanced nanotechnological detection functionalized with cocaine analogues using Arrayon OptoDex and detoxification techniques [1]. Among several scenarios technology. When the surface is exposed to the sample considered, sampling and analysis of air contained in luggage solution, antibodies bind competitively to the chip surface or to was selected to demonstrate potential applications. free cocaine. Thus, the amount of cocaine extracted from luggage can be quantified with a detection limit of less than Drugs and explosives are the main substances screened in 1 ppb. luggage at airport security controls (Figure 1). For efficient protection, luggage has to be analyzed systematically. Ideally, The detection system developed is depicted in Figure 3. The every bag is checked separately. Therefore, the total analysis, automated fluidic distribution system takes up to six different including sampling, detection and signal processing, must be samples. Reagents and samples are sequentially circulated achieved within minutes. through a flow cell so as to interact with the functionalized optical chip. The system can be easily interfaced with the water extraction device. A single computer interface has been developed to control all devices. At the time of writing, the developed system is able to identify positive samples in less than 10 minutes (including sampling) with only 1 ng of drug compounds sampled from luggage.

Figure 1: Air sampling scheme for the detection of drugs and In contrast to existing systems, drugs are sampled directly explosives in luggage at airport security controls from the gas phase and not by collecting particles with a swab or a collection pad. Thus, automated analysis of every piece Within the framework of the Nanosecure project, the focus is of luggage at airport security controls can be achieved. on the detection of TNT and TATP for explosives and cocaine Moreover it could find application for automated point of care for drugs. Amperometric biosensors based on genetically measurement based on biochemical measurement. modified enzymes have been used to detect trace vapours of compounds. For cocaine, a solution was developed in collaboration with several partners including CSEM. The search for cocaine in luggage consists of three basic steps (see Figure 2): • Sampling of air contained in luggage • Extraction of cocaine

• Optical immunoassay Figure 3: Automated biosensor platform including fluidics handling and label-free refractometric sensing

This work was funded by the European Community via the integrated project Nanosecure. CSEM thanks them for their Figure 2: Sampling and detection scheme support. Air is extracted from luggage by applying alternatively positive and negative pressures to a ventilated container. Cocaine • vapours are then captured by filters functionalized with Arrayon Biotechnology cocaine-binding antibodies to enhance the extraction yield. [1] www.nanosecure.eu Due to the very low volatility of cocaine, air has to be [2] K. Cottier, M. Wiki, G. Voirin, H. Gao, R. E. Kunz, “Label-free circulated for a few minutes in order to extract enough drug Highly Sensitive Detection of (small) Molecules with Wavelength molecules to reach the analytical detection limit. In the last Interrogation of Integrated Optical Chips”, Sensors and Actuators B, 91 (2003) 241 step of the sampling phase, antibodies and cocaine are transferred into aqueous phase by a simple water elution process. A sensitive immunoassay has been developed to detect cocaine traces in liquid samples. The detection scheme is based on label-free evanescent wave biosensing using the

73

NANOMEDICINE Peter Seitz It sounds like marvellous news for medical diagnostics – reactions between target analytes and specific receptor leading researchers have recently been reporting in presti- molecules are detected, and that any accidental changes in gious scientific journals that the label-free detection of ana- the experimental conditions are not erroneously taken for a lytes down to the single-molecule level has been diagnostic result. demonstrated [1]. Simultaneity: the reliable detection of a biological state such It is, indeed, true that optical micro-cavities and nano-photonic as an infection or a disease can rarely be accomplished by devices can sense the presence of a single molecule bound to measuring the concentration of a single indicator molecule - a their surfaces. While this is a remarkable accomplishment, its so-called biomarker. Instead, a set of analyte molecules significance for medical diagnostics is rather limited. The real (biomarker panel) is employed, and only the combined result problem in medical diagnostics is rarely the sensitivity of a represents the diagnostic finding. For this reason, the detection method, but rather the selectivity. Just consider that concentrations of several analytes need to be determined in even at a femto-molar concentration of a target analyte, the the same sample, if possible measured simultaneously. tiny volume of one microliter still contains the rather In conclusion, CSEM is very well placed to make significant substantial number of 602 analyte molecules. Furthermore, contributions to medical diagnostics. It possesses extensive analytes of biomedical interest vary over a huge range of know-how in microelectronics and photonics, including ultra- concentrations in natural samples: in human blood serum, for low-noise image sensing of electromagnetic radiation or 2D example, the most abundant protein (Albumin) is present in charge distributions. This is required, for example, in close to a milli-molar concentration, while important cell- advanced fluorescence-based biochemical assays, where signalling proteins (cytokines) circulate only in a pico-molar time-gated low-noise image sensors with a timing resolution of concentration. a few tens of nanoseconds are necessary for the exclusion of The scope of the diagnostic problem becomes even more background fluorescence signals. Furthermore, CSEM has apparent if one bears in mind that, in human plasma, about significant experience in optimizing the form factor of cost- 500,000 different protein forms are found [2]. Obviously, the effective micro-fluidic systems, capable of exploiting both the required selectivity of reacting to only one type of target properties of a photonic and/or microelectronic detection molecule while being absolutely insensitive to trillions of other technique as well as the particulars of a specific biochemical molecules is not a physical but clearly a biochemical problem. assay. Therefore, the difficult aspect of designing a new diagnostic In any case, it is clear from the above that making progress test is the development of a chemical assay which is highly and having significant socio-economic impact in medical selective and reliable. The realization of a sensitive physical diagnostics calls for a highly interdisciplinary team, in which detection system for reading out the results of a biochemical biochemists, physicists, electrical engineers, photonic reaction is of only secondary importance. scientists, experts in micro-fluidics and surface functionali- As a consequence, any useful new diagnostic method must zation, signal processing specialists and system engineers fulfil four major requirements (“The four Ss of medical work closely together. Just concentrating on one aspect of the diagnostics”): multi-faceted domain of medical diagnostics is not enough; the comprehensive talents of an interdisciplinary research Selectivity: this is accomplished by the development of a very organization such as CSEM are required to cope with the specific receptor molecule that is immobilized on the surface complexities of affordable and reliable diagnostic micro- of a detection device so that a target analyte binds only to this systems for the healthcare of the future. specific receptor. For increased selectivity, a sandwich assay can be employed, in which a second type of (marked) receptor molecule needs to bind with the analyte that has already [1] A. M. Armani, et al., “Label-Free, Single-Molecule Detection with reacted with the receptor molecule on the sensor surface. Optical Micro-Cavities“, Nature Vol. 317, 783 (2007) Sensitivity: detecting the presence of an analyte bound to a [2] N. L. Anderson and N. G. Anderson, “The Human Plasma Prote- receptor that had been immobilized on the sensor’s surface ome”, Molecular and Cellular Proteomics Vol. 1.11, 845 (2002) often employs an optical method. Of particular importance are fluorescence methods, where the analytes are marked with dye molecules which are then excited optically and read out with close to single-photon resolution using high-sensitivity photosensors. Stability: the physical detection method employed to read out the result of the biochemical reaction needs to be highly insensitive to ambient changes such as those in temperature or pressure. This stability ensures that only chemical-binding

75 Lab-in-a-pipette-tip for Small Volume Rapid Biosensing S. Follonier, P. Jurcevic, H. Zepik The core value proposition of the Lab-in-a-pipette-tip concept is to move the ELISA immunoassays from a microtiter plate to disposable pipette tips allowing increased sensitivity, minimized sample volume, and faster time-to-result without changing the established immunoassay workflow. Microtiter plates from 6/12/24 wells to 96/384 wells are the “on-demand immunoassay testing” is achieved using parallel standards for biological applications ranging from Life Science LIPT tips mounted on a single arm. to clinical diagnostics. Moving to higher densities, e.g. This opens the route for: 1536/3456, proves to be a taxing effort as issues such as air bubbles, fluid mixing (diffusion limit) are more prevalent and • Flexible assay design (from 1 to 8/12/96/384 or more harder to overcome, and smaller volumes are more difficult to assays in parallel) handle due to evaporation and other effects. Secondly, novel • Mix&match of targets possible through parallel concepts such as on-demand diagnostics, mix&match multiplexing multiplexing, random access and others cannot be readily implemented using traditional microtiter plates. The first tested application is a sandwich immunoassay (FIA, Fluorescence Immuno-Assay) for Human Eotaxin (preliminary results in Figure 2) using an off-the-shelf reader. These results show the potential of the LIPT concept but also point out the need for further development to achieve the Life Science and clinical diagnostics requirements.

Human Eotaxin Sandwich Immunoassay

1.0E+05

1.0E+04

Figure 1: Lab-in-a-pipette-tip prototype 1.0E+03

The lab-in-a-pipette-tip (LIPT) concept [1], depicted in Figure 1, 1.0E+02

was developed as the first step towards replacing microtiter Fluorescence Intensity Units plates with pipette tips for biological applications. To prove the 1.0E+01 1.0E+02 1.0E+03 1.0E+04 1.0E+05 1.0E+06 potential of such pipette tips, prototype tips were designed Concentration [pg/ml] specifically for sandwich immunoassays. Thanks to the Figure 2: Preliminary sandwich immunoassay standard curve with combination of plastics with specific material properties and 4PL fit overlay (insert: Typical ELISA concentration range) employing a novel geometrical design, the following LIPT advantages are realized at the immunoassay level: As a next step towards replacing microtiter plates with pipette tips for biological applications, a dedicated reader is in the • Smaller sample volume (microliters) design phase that will yield better sensitivity through both waveguided light excitation and fluorescence response • Limited amount of primary and secondary reagents collection. • Shorter time-to-result due to a large surface-to-volume Beyond immunoassay applications, the Lab-in-a-pipette-tips ratio and/or to active mixing (almost flow-through cell) are also suitable for assays involving cells, magnetic particles • Enhanced limit of detection (LOD) thanks to better and more complex experiments. fluorescence light collection In summary, the LIPT prototype has shown to address the • Enhanced robustness thanks to increasing needs for flexibility (e.g. in number of samples, in mix-and-match of targets), for short time-to-result (e.g. shorter – the ease of use incubation, active mixing, on-demand testing) and for cost- – the precise volume control effective high performance solutions (e.g. compatible with existing workflows and with existing readers) of current life- – the large capture agent surface science and clinical diagnostics applications. – the minimization of air bubbles

At the workflow level, when compared to a workflow optimized [1] S. Follonier, P. Indermühle, L. Fan, “Laboratory in a pipette tip”, for 96-well microtiter plates, the LIPT concept has several patent pending advantages that are fully realized in a standard high throughput liquid handling system such as in use in Life Science applications or in a bioanalyzer as employed in clinical diagnostics. Among the most important advantages,

76 Cost-effective Infrared CO2 Sensor for Food Packaging Inspection S. Cattaneo, T. Gay, S. Angeloni

A demonstrator for the cost-effective and fast in-situ detection of CO2 in food packages was realized. Preliminary results show that the method is feasible and has important advantages compared to current leak detection methods based on trace gas detection in a vacuum chamber. Package integrity is crucial for maintaining the quality and absorption is due to the plastic foils. The variations between shelf life of perishable items, such as modified atmosphere packages are largely due to differences in absorption path packaged (MAP) foods. Currently, non-destructive leak testing length (package thickness), and can be drastically reduced by of MAPs uses carbon dioxide (CO2) as trace gas, and it is standardizing the path length or by measuring it independently conducted in an airtight chamber which is evacuated by a by, e.g., triangulation. vacuum system. Although very sensitive, vacuum-based 8 methods require expensive equipment and are relatively slow 7 (time to result ~ 1 min), i.e., they are not suited for 100% in- 6 line testing in large production facilities with throughputs of 5 hundreds of packages per minute. Alternative detection 4 Sealed packages (1-19) strategies that are potentially more compatible with in-line 3 Leaky package (20) 2 testing are, however, becoming possible due to recent No package Measured signal (mV) progress in miniaturized infrared (IR) light sources and multi- 1 wavelength IR detectors, offering increased accuracy and 0 0 5 10 15 20 25 30 35 stability at lower cost. Measurement number

In this work, CSEM investigated the possibility of directly Figure 2: Comparison of sealed packages and evacuated one measuring CO2 levels inside the food package, instead of detecting what leaks out from it, as commonly done in the Since the packages are filled at ambient pressure, the gas process line today. Preliminary measurements were carried exchange is entirely governed by diffusion. To investigate the out with an FT-IR spectrometer to verify that the plastic foils dynamics of the process, CSEM carried out a time lapse constituting the package were sufficiently transparent at the measurement. At time t=0, six small holes (diameter 0.59 mm) wavelength of interest (4.26 μm), and that the CO2 absorption were punched in one package and the CO2 absorption was peaks could be detected. All measurements were carried out monitored over time. For each data point, the packages were on empty food packages that were prefilled with a protective removed and replaced under the sensor, to include atmosphere consisting of 30% CO2 and 70% N2. inaccuracies in sample placement. The results, normalized with respect to an empty package, are shown in Figure 3. Under the present conditions, the leaky package is detected in less than an hour. The time limit for a single hole of the same diameter would be six times longer. This is not a relevant limitation of the proposed technique for the food industry because packages often remain at the production site for one or more days before being shipped.

0.4

0.3

0.2 sealed package leaky package 0.1

Figure 1: IR CO2 sensor demonstrator for food packaging inspection 0.0

Following the first positive tests, a demonstrator was realized Normalized absortion (arb. units) -0.1 0 60 120 180 240 using commercially available, cost-effective components Time (minutes) (Figure 1). The system included a high-performance broadband (0.8-15 μm) thermal IR emitter, a dual-channel Figure 3: Time lapse measurement showing the decrease in CO2 (signal and reference) thin-film thermopile detector with absorption in a leaky package integrated band-pass filters, and in-house electronics. These preliminary results demonstrate the potential of in-situ Figure 2 shows the results obtained when measuring in CO2 sensing for package inspection. The method is succession 19 sealed packages, alternated by one package complementary to existing ones: it requires a certain period of that was opened and carefully reclosed. The series was time before a leak can be detected but, on the other hand, it is repeated twice in reverse order. As it can be seen, the leaky far less expensive than current vacuum-based methods for package is clearly distinguishable from the sealed ones, leak testing, and it is characterized by a much shorter despite the fact that a significant part of the measured measurement time of significantly less than one second.

77 Angle Interrogating Optical Sensor ARGOS: Scanning MEMS Mirror for Higher Performance Label-free Optical Biosensing F. Kehl, S. Follonier, M. Wiki An angle interrogating optical sensor (ARGOS) based on optical waveguide gratings is described. The label-free optical biosensor system relies on a micromirror device to interrogate waveguide grating sensing regions on an integrated optical chip by scanning the angle of the incident coherent light, resulting in the determination of effective refractive-index changes at the chemically functionalized interface at a high data rate. Label-free biosensors have a long tradition in the fields of drug The current system is to monitor two sensing channels discovery and environmental monitoring, and they are of simultaneously for multiplexed or referenced sensing. ongoing interest for several life science applications [1], [2], [3]. Preliminary experiments with a bench-top optical setup have Miscellaneous systems have been introduced over the years. been performed to demonstrate and verify the biosensing Among these, one of the most successful technical capability of the system (Figure 2). Ad- and desorption of anti- approaches was wavelength interrogating optical system Rabbit-IgG antibodies (50 µg/ml) have been observed at a (WIOS), leading to a successful product commercialization in high sampling-rate, useful for increasing the signal-to-noise 2007 and to practical use in many diverse applications. This ratio. Temperature control and closed-loop angular read-out system employs a vertical-cavity surface-emitting laser diode and feed-back will further increase the overall sensitivity of the (VCSEL) to interrogate effective refractive-index changes on system, which is currently being implemented. the surface of a chemically functionalized waveguide grating by scanning the wavelength of the incident light. Due to the nature of the sensing principle, the scanning of the wavelength can be interchanged with the scanning of the angle of the incident coherent light [4].

Figure 2: anti-Rabbit-IgG (50 µg/ml) adsorption to sensor surface

A particular advantage of the prestend system is its large Figure 1: Illustration of the optical setup dynamic range and its flexibility, enabled by the MEMS micromirror. Changing the offset of the scanning mirror, In a novel implementation, a collimated laser beam (764 nm, frequency and amplitude allows flexible, high-resolution 1 mW) is deflected by a scanning micro-mirror device zooming into a range region of interest and makes this novel (currently a TALP1000B from Texas Instruments™) at a system a versatile instrument for many biosensing frequency of 130 Hz, resulting in a modulation of the angle of applications. ±7° by applying a sinusoidal voltage (10 mVpp) to one of the two mirror axes (Figure 1). The deflected beam is collimated by a plano-convex lens (f = 80 mm) and refocused by another [1] K. Cottier, M. Wiki, G. Voirin, H. Gao, R. E. Kunz, “Label-free plano-convex lens at a distance of 2f to compensate the finite Highly Sensitive Detection of (small) Molecules by Wavelength beam width. By positioning the waveguide grating sensor at Interrogation of Integrated optical Chips”, Sensors and Actuators the focal point of the second lens, the angle of the incident B: Chemical, 91, 1-3, June 2003, 241-251 light is interrogated. A second grating on the optical chip [2] M. Wiki, H. Gao, M. Juvet, R. E. Kunz, “Compact Integrated couples the guided light out of the waveguide onto a Optical Sensor System”, Biosensors and Bioelectronics, photodiode. By fitting the intensity at the photodiode as a 16, 1-2, January 2001, 37-45 [3] function of the incident angle, a resonance curve is extracted S. Pasche, B. Wenger, R. Ischer, M. Giazzon, S. Angeloni, for the determination of the effective refractive index. G. Voirin, “Integrated Optical Biosensor for In-line Monitoring of Cell Cultures”, Biosensors and Bioelectronics, 26, 4, Selected As different samples are passing on the surface of the grating Papers from the World Congress on Biosensors, Glasgow (UK) through a microfluidic system on the far side of the sensor May 26-28, 2010, 15 December 2010, Pages 1478-1485 chip, the effective refractive-index is changing (e.g. by [4] J. Voros, J. J. Ramsden, G. Csucs, I. Szendro, S. M. De Paul, adsorption of biomolecules to the sensing region) and the M Textor, N. D Spencer, “Optical Grating Coupler Biosensors”, Biomaterials, 23, 17, September 2002, 3699-3710 coupling condition of the waveguide grating are altered, resulting in a shift of the resonance curve. Monitoring the resonance curve peak position over time allows temporal information about the refractive-index change at the surface.

78 Electrochemical Monitoring System for Hepatic Function Detoxification Capability S. Generelli, H. Graf, L. Barbe, O. T. Guenat

NH4+ selective microelectrodes are developed and aim at the monitoring in real time of the detoxification capability of a hepatocyte culture. The liver is the central system of the body for drug modifi- cation and clearance and thus is highly susceptible to toxic substances. The capability of detecting drug-induced toxicity is thus of primary importance at the earliest stage of drug development. Nowadays, animal assays are still the golden standard in toxicology. Nevertheless, for scientific, economic and ethical reasons the trend is to develop novel high-throughput in-vitro assays that can predict human toxicity with a higher accuracy by using human rather than animal cells. CSEM has obtained promising results of a novel detection Figure 1: Calibration curves of the ion-selective sensor in different electrolyte media. The sensitivities obtained were (background technique, aiming at the monitoring in real time of the electrolyte indicated in brackets): 56.7 mV/dec (10-6 MKCl), detoxification function of a hepatocyte culture. In fact, one of 53.2 mV/dec (0.01 M NaCl), 48.9 mV/dec (cell culture medium). the critical hepatic functions is the ability to detoxify ammonia Offset for the sake of clarity. by converting it to urea within the urea cycle. At physiological pH, ammonia NH3 mainly appears in the protonated form NH4+ that can be detected by ion-selective microelectrode techniques. The quantitative study of metabolic activity of a hepatocyte culture is based on the Miyoshi kinetic reaction [1]. It describes the NH3 concentration decay in a physiological medium. When adding ammonium to a metabolically active hepatocyte cell culture, the detoxification of the NH4+ ion to Figure 2: Schematic view of a pipette-tip based ion sensor, urea is expected to induce a decrease of the NH4+ performing continuous monitoring in a 96-well plate. The reference concentration. If the cell culture is injured, the metabolic electrode was either an Ag/AgCl “DRIREF” electrode or a Pt wire. activity of the cells is impaired, which causes a loss in the detoxification capability of cells and thus a slower elimination of ammonium from the cell culture medium.

NH4+ microelectrodes are integrated in a polymeric hot embossed liver microreactor and located in the direct vicinity of the cell cultures. As a result, the external structure of the Figure 3: Schematic view of the flow-through ion sensor microelectrodes needs to chemically resist the compounds of the ion-selective membrane, in particular the cyclohexanone, used to dissolve the membrane components. Preliminary assays were performed using polypropylene pipette-tips. These pipette-tip based microelectrodes were characterized either in a 96-well plate (Figure 2) or in flow-through mode (Figure 3). The sensitivity of the pipette-tip microelectrodes showed a near-Nernstian behavior when calibrated with standards including a low level of potassium chloride (Figure 1). As expected, the sensitivity of the microelectrodes in cell culture medium was lower, due to the interferences of the proteins present in the medium and the ion-selective membrane. Figure 4: Primary hepatocytes and 3T3 fibroblasts co-culture

Viability assays were performed to discover the optimum range of NH4Cl that can be added to hepatocyte cultures. It [1] H. Miyoshi, et al., “Long-term continuous culture of hepatocytes was found that a concentration below 0.1 M NH4Cl could be in a packed-bed reactor utilizing porous resin”, Biotechnol. added without harming the cells. These assays were Bioeng. 1994; 43: 635-44 performed using HepG2 cell lines. Assays using rat primary hepatocytes co-cultured with NIH 3T3 fibroblasts are ongoing (Figure 4).

79 Cell-based Microfluidic Platforms for Relevant 3D in-vitro Models aimed at Personalized and Regenerative Medicine L. Barbe, P. Sallin, A. Schoeni, A. Hostettler, O. Guenat With an increasing interest in personalized and regenerative medicine, there is a pressing need for biologically relevant in-vitro models enabling long-term, 3D co-culture models. Most cell-based assays apply monolayer or suspension cultures, which present a largely artificial cellular environment with limited relevance for in-vivo studies. For this reason, such conventional 2D cell cultures often have little value in predicting clinical efficacy. 3D cultures better reflect the in-vivo behaviour of cells, and the increasing number of publications confirms that they are valuable tools for assessing the efficacy of therapeutic interventions. Microfluidics is another important element to mimic in-vivo conditions, since it offers structures Figure 2: Time-lapse microscopy of epithelial wound-healing assay with length scales that are comparable with the intrinsic performed on chip. 1) Before the addition of HGF; 2) after 2h30 of dimensions of mammalian cells and small tissue samples. For HGF exposure; 3) after 5h, 4) after 7 h, 5) after 9h, 6) after 13h30 this reason the diffusion of oxygen and carbon dioxide in such structures corresponds very well to the properties of living tissues. These concepts were applied to three different projects in collaboration with the Lungs Clinic at Inselspital and the Artorg Center in Berne. Two projects were focused on the development of a novel in-vitro tool to understand the mechanisms involved in idiopathic pulmonary fibrosis, also known as “scarring of the lungs”, a chronic, progressive and lethal disease. The modular platform (Figure 1) allows testing for the influence of hepatic growth factor (HGF), an epithelial- Figure 3: Picture of the microfluidic platform, including a second specific growth and an anti-fibrotic factor on the one hand, and microfluidic top layer, separated from the bottom microchannel with a porous membrane (used for the intervertebral disc project). the stem cells recruitment after injury on the other hand.

Perfusion The second project dealt with the interaction of recruited stem 1 Cells Cells loading loading cells with injured epithelium. On-chip co-cultures of these two 2 cell types were successfully achieved and interactions observed using confocal microscopy. The third project in collaboration with the Spine Research Center at Artorg focused on intervertebral disc injury and repair mechanisms. Top and bottom layers were assembled, separated by a porous membrane (Figure 3). The channel from the bottom layer was filled with a biocompatible hydrogel 5 3 4 A 200um 200um B in which human mesenchymal stem cells (MSC) were cultured 300um for up to two weeks. Different growth factors were tested to Figure 1: a) Schematic view of two microfluidic systems, one for evaluate their potential to differentiate MSC towards control and the second for the assay, with 2 inlets (1, 2) and 3 outlets “discogenic like” progenitor cells. After culture, cells were (3, 4 and 5). b) The central channel is organized as three sections retrieved and RT-PCR performed to monitor the up and down with two arrays of (80x50 µm) elliptical micropillars to confine the regulation of specific genes. cells in the outer channels, whereas the central channel remains mostly free of cells for the perfusion. This configuration was used for the pulmonary fibrosis projects.

A flow focusing technique was used to create reproducible scars, as shown on the top left inset of Figure 2. Perfusion of HGF increased the speed of the wound closure (Figure 2, insets 1 to 6).

80 TestChest: a Real-time Simulator of Human Respiration including Heart-Lung Interaction J. X. Brunner, S. H. Böhm Ventilators help patients to overcome an acute disease state or illness impairing their natural ability to breathe. However, due to inappropriate settings and inadvertent errors, more patients die of acute lung injury than of breast cancer every year. A new generation of closed-loop-control ventilators promises fundamental improvement of this intolerable situation. However, such devices need to pass a recently published ISO standard before they can be released to the market. Unfortunately, these tests cannot be conducted because of the blatant lack of a physiologically accurate non-linear simulator, incorporating all relevant interactions with haemodynamics. The CSEM TestChest was developed to address this problem. Simple lung models have existed for many years. They are spontaneously. The applied respiratory activity as measured usually constructed using bellows and springs, and they are by the pressure depression during the initial 0.1 s (P0.1) was completely passive devices. Elasticity and airways resistance in close agreement with measured P0.1 (r2=0.988). Volume are adjusted manually. However, spontaneous breathing is measurement was accurate to -0.8 % +/-0.5% between largely impossible, real-life pathologies are difficult to set, and 100 ml and 1558 ml. Figure 3 shows how TestChest the simulation of heart-lung interaction is completely absent. responded to external treatment, in this case positive end TestChest of the CSEM implements all of these missing expiratory pressure (PEEP) was applied by the attached features. mechanical ventilator. Implementation The fundamental design is given in Figure 1 while Figure 2 shows the actual implementation in a device. The lungs are represented by two bellows, the muscles and the passive compliance by a linear motor and the airways resistance by a scissor valve. The peripheral circulation is represented by an artificial finger. The impact of metabolic activity is represented by the controlled influx of CO2 into the lung cavities, the result Figure 2: Design of TestChest. two bellows represent the two lungs. of blood oxygenation by a pulse-oximeter simulator, and the Manual data entry is done via a small touch-screen. impact of lung pressure on the heart by the perfusion of the artificial finger. All functions are controlled by a mathematical model of the lungs and the lung-heart interaction as implemented on the embedded microcontroller.

Figure 3: Arterial oxygenation as measured by pulse oximetry against functional residual capacity (FRC) in percent of predicted FRC as a measure of lung expansion

Conclusion TestChest provides realistic representations of the human respiration including lung-heart interaction as measured by pulse-oximetry. TestChest is fully programmable and can easily be expanded to simulate lung recruitment, dead space ventilation as well as the effect of blood loss on hemodynamic parameters. Most importantly, TestChest can be programmed Figure 1: Block diagram of key TestChest components. Note that to simulate different diseases and rapid changes in only one lung is shown. physiologic parameters. As such it is able to test closed-loop Test methods and results controlled ventilators according to ISO 60601-1-10 since it carries out step-response measurements. Additionally, TestChest was connected to a Hamilton G5 ventilator with TestChest is perfectly suited to replace animals in the training integrated lung function package and the parameters set on of medical personnel on mechanical ventilators. Such replace- TestChest were compared with the reference values. ments would not only reduce the number of laboratory animals Respiratory system compliance agreed well with the set used but it would also improve the quality and intensity of the values. The maximum deviation was 1 ml/hPa over a range of training and finally of patient care. 8 to 60 ml/hPa. TestChest has the ability to breathe

81 Phantom based System to Evaluate Electrical Impedance Tomography (EIT) Performance A. Adler, Y. Maimaitijiang, P. O. Gaggero, S. L. Reidt, S. H. Böhm A systematic methodology for the evaluation of the global performance of medical EIT systems has been developed. It consists of a saline phantom in which calibrated contrasting test objects are reproducibly positioned using a position controller and a set of evaluation parameters to characterize: i) data and image noise, ii) data accuracy, iii) detectability of single contrasts, iv) distinguishability of multiple contrasts, and v) accuracy of reconstructed image i.e. amplitude, resolution, position and shape. Using this approach, CSEM has evaluated three different EIT systems. Electrical impedance tomography (EIT) is a non-invasive entire image (arbitrary units, proportional to impedance imaging technique with high potential in medicine and other values). The region of interest (ROI), i.e. the potential disciplines. Changes in electrical conductivity within a body boundary of the test-object, is defined by the pixels with >25% are visualized using current injections and voltage readings of the maximal value. via an array of electrodes arranged around a body. To correctly interpret EIT images it is essential to know the performance characteristics of the EIT system used. While many approaches have been proposed to measure isolated aspects of performance, the goal of this work is to present a systematic methodology to evaluate the performance of complete EIT systems. Robotic phantom: Components in the system performance evaluation consist of an acrylic phantom, calibrated test objects, a test robot to place and move objects, and analysis software (Figure 1). Figure 2: Procedures for analyzing image data. First row: phantom system, EIT measurement system and reconstruction algorithm (DUT); second row: calculation of ROI; third row: calculation of parameters.

Three different EIT systems (coded as A, B, and C) were evaluated and their amplitude response was measured for different radial positions of the test-object (Figure 3).

Figure 1: a) Photo of acrylic EIT phantom and position controller; b) Schematic drawing of movement protocols showing horizontal movement of a single object in two planes (3D view).

The robot is programmed to place the calibrated test objects in different locations. The measurements rendered by the Figure 3: Comparison the amplitude response (AR); + and - are used connected EIT device-under-test (DUT) are compared to the to indicate the direction of test-object displacement along the X axis. known test-object locations. System B is close to expectations (horizontal line).

The DUT is connected to the phantom and measurements are The robotic approach was indispensible to create reproducible performed with three different protocols: test results. Figure 3 shows the amplitude response for three • No test-object in tank, to evaluate system noise and EIT systems as a function of distance from the center of the accuracy acrylic phantom (radius fraction), and clearly reveals differences, strengths and weaknesses of the three EIT • One test object placed in different positions within the systems in a quantifiable way. As more work is needed to tank, to measure amplitude response, position accuracy, define the clinical relevance of the technical metrics. resolution, and detectability limits, To encourage use of the methodology presented, all details of • Two test objects placed in different locations, designed to the robotic phantom are publicly available under the URL measure limits of distinguishability of contrasts. http://eidors3d.sourceforge.net/ The resulting images created by the DUT are analyzed This project has been carried out in collaboration with according to the metrics given in Figure 2 and according to the Carleton University, Ottawa, Canada. following definitions: The potential position of the test-object is defined by the pixel which has the maximum value in the

82 HorseVent: A Novel Anesthesia Ventilator for Large Animals S. H. Böhm, J. X. Brunner, T. Gay The drugs administered during general anesthesia suppress breathing activities in humans and animals alike. Therefore, mechanical ventilators are needed to support or even take over breathing activity in the peri-operative period. While such ventilators are routinely used in human anesthesia, they are rarely employed when delivering anesthesia to horses since these devices do not match clinical needs. The large animal ventilators existing today are nothing else but large pumps that deliver pre-set volumes at pre-set rates and do not interact with the living being. The lack of more sophisticated devices contributes to the high mortality in large animals. The goal of this project was to build a modern anesthesia ventilator which meets both, the needs of the animals as well as the ones of the veterinary anesthesiologist using it. Introduction of inhalation anaesthesia for horses in the sixties opened the way for more complicated and lengthy surgical procedures. However, the frequency of anaesthesia-related mortality in horses is 1 in 100 procedures and thus 100 to 1000 times higher than in humans. The lack of sufficient oxygen supply to brain, heart and muscular tissue leads to typical complications such as epileptic seizure, sudden cardiac arrest and intra-operative muscular destruction. Horses show a pronounced impairment of gas exchange following induction of anaesthesia and placement on their back. Even at high inspired concentration for oxygen, arterial oxygen tensions remains critically low. There is ample evidence that gravitational forces lead to massive collapse of lung tissue (up to 50%) in the lower parts of the large thorax which in turn causes blood to shunt through these non aerated Figure 1: Schematic representation of HorseVent connected to a standard anesthesia circuit shown in the upper part. FG = fresh gas parts. Although highly desirable, horses under general anaesthesia cannot breathe spontaneously due to limitations of current anaesthesia ventilators. This is detrimental for gas exchange since spontaneous breathing does not only distribute ventilation gases more evenly but – above all – prevents lung collapse. Thus, a novel large animal ventilator is needed that allows the horses to breathe freely during anesthesia. HorseVent must drive a conventional anesthesia delivery circuit and should be capable of providing pressure controlled modes of ventilation and of delivering positive end expiratory pressures (PEEP) – a feature missing in present day volume controlled ventilators. The following ranges for key parameters had to be met: Pmax = 10 – 80 mbar, PEEP = 5 – 30 mbar, inspiration and expiration times both between 2 and 20 s. Most importantly, HorseVent will allow spontaneous breathing Figure 2: HorseVent in use. Note that the final system has been as well as manual ventilation at all times. inverted such that the bellows are now standing instead of hanging. The principle design is given in Figure 1. A linear motor drives HorseVent was tested in the laboratory, and its use was a bellow that is suspended in a robust metal frame. The explored in five horses at the Veterinary Clinic in Vienna fundamental idea is to use the linear motor in force-controlled (Figure 2). Preliminary results showed significant improvement mode and not position controlled. The pressure in the bellows of ventilation as measured by blood gas analysis. The (P) then becomes a function of the motor current (i) and the operation of HorseVent was reported to be superior to existing area of the bellows (A) on which the force acts: P = k*i/A. devices. Calibration routines were implemented in the on-board HorseVent is currently being tested in the clinical environment microprocessor (control unit) to cancel out the weight of motor and first feedback on performance, interaction with the and bellows. Dedicated friction control algorithms were horse´s breathing and usability has been highly encouraging. implemented to enable smooth movements. The pressure Systematic clinical studies and system upgrades are planned. contour is fully programmable and allows new functional elements such as automatic lung expansion maneuvers.

83

SYSTEMS Jens Krauss The activities of the Systems Division aim at building up designed and manufactured for prestigious ESA and NASA competences in the application fields of medical device space programs as well as for international observatories technology, scientific instrumentation and environmental including ESO, KECK and the GTC. During 2010, CSEM technologies and require contributions from such diverse pursued its applied research activities into complex and technical disciplines as software and mechanical-, electrical- precise micro-mechanisms adapted to harsh environments, or medical engineering. The challenges of the interdisciplinary particularly cryogenic temperatures and vacuums. These and application-oriented system-engineering field comprise activities are mainly performed in the framework of (i) the sensor signal fusion, miniaturization, energy consumption, astrophysical MOSFIRE instrumentation program and (ii) the reliability and cost reduction for applications which are European Extremely Large Telescope (E-ELT) demanding in terms of resource limitation, dimension, instrumentation program, in collaboration with teams from the precision, comfort and environmental conditions. However, the California Astronomy Research Association (Caltech) and the core competence within the application domains remains at European Southern Observation (ESO) respectively. the system level with systems engineering and integration Today, a considerable effort is dedicated to the leveraging of being their common denominator. The main success factors precision mechanism expertise through CSEM’s MEMS and when offering new and innovative product and service MOEMS research activities. The smart combination will pave concepts to our customers stem from coordination across the way for the realization of new mechatronics systems technical disciplines to find the best set of possibilities for involving state of the art sensing and actuating capabilities, tradeoffs between components and/or sub-systems in the and achieving high levels of miniaturization and performance. following application domains: The medium term objective is to offer to CSEM’s customers a • Portable biomedical devices ‘one-stop-shop’ for the design, development, fabrication and • High precision mechanisms characterization of silicon-based mechanisms. A special focus has been the development of innovative and complex • Time references mechanical watch components and, during 2011, CSEM will • Environmental technologies present to its watch-making partners a set of components CSEM’s leading position in the innovation of portable which reflects its strategy in this domain. biomedical devices has been strengthened by its on-going During 2010, time and frequency research activities were Long Term Medical Survey system (LTMS) and corresponding consolidated by generating important synergies within the commercial SENSE activities. The LTMS system is an scientific instrumentation domain, and more specifically in integrated, wearable and unobtrusive patient monitoring combination with the activities of precision mechanisms: today system which measures a set of vital signs of the crew a total of 34 physicists and engineers are working at the site of members of the Concordia-station in Antarctica. In 2010 the former Neuchâtel Observatory. Development efforts for CSEM delivered the second generation of LTMS devices to the miniaturization of atomic clocks were pursued during 2010 the European Space Agency (ESA) and they will undergo resulting in a first working prototype with dimensions of clinical trials at the Concordia Base from 2011 to 2013. As a 5x5 cm and with a frequency stability of 4x10-11 @ 1s. This next step of the LTMS program a space application of this strategic activity, pursued within the framework of a multi- innovative patient monitoring system is under discussion with disciplinary CSEM research project, addresses the key the final goal of equipping the astronauts of the International building blocks of a miniature atomic clock such as low-power Space Station (ISS) with the LTMS system to monitor electronics, MEMS cells, physics packaging and system continuously and comfortably the health status of crew integration, and will continue in 2011. members. In 2010 CSEM initiated research into environmental The LTMS system’s development is commercialized in the technologies in order to frame on-going research activities into context of the start-up company SenseCore AG, founded in sensing and control technologies for energy efficient systems. 2008. Together with a Swiss consortium and with the support As part of these environmental technology activities, and in of the CTI, a professional sports application is being collaboration with the start-up company NEUROBAT AG, an developed. The sensing electrodes feature multi-parameter energy-efficient control system has been developed and human vital-sign sensing and wireless communication installed on different test sites for the purposes of validation. capabilities and will be both totally autonomous and easily The market entry of this innovative heating control concept is detachable from the garment for recharging and data planned for the end of 2011. CSEM also contributes to the download. SenseCore AG was nominated in 2010 as a finalist highly innovative and reputed Belenos Clean Power energy for the Swiss Technology Award. concept: in the framework of a NanoTera research project, CSEM offers more than 30 years of expertise in the design CSEM develops and implements the control station to and realization of advanced precision opto-mechatronics optimize energy flow between the different building blocks – a systems based on compliant mechanics, also known as demonstration pilot is planned for implementation during 2011 FlexTec. Several advanced mechatronics systems have been at the site of the former Neuchâtel Observatory.

85 New Generation of Domestic Heat Controller E. Onillon, P. Theurillat, M. Bertschi, Y. Stauffer, S. Dasen, L. Zhou, A. Pollini A new generation of heat control has been developed under the frame of a CTI project with Neurobat SA. The resulting heating controller relies on a predictive and adaptive approach, aiming at minimizing the power consumption while maintaining user comfort. The heating control system has been firstly validated through a simulation campaign, before realizing a first hardware version that is being tested under real conditions during the heating season 2010-2011 on different test sites. CSEM together with ESTIA GmbH and the Swiss Federal controller with heating curves referring to the external Institute of Technology in Lausanne (EPFL) had designed and temperature. Figure 2 presents the simulation interface. developed in the late 90’s an innovative heating controller concept for warm-water heating circuits. The patented NEUROBAT controller [1] enables considerable energy savings and simplifies commissioning. Based on this patent, Neurobat SA was created to bring up to date the NEUROBAT control concept, taking advantage of recent signal processing methods and available computational power. The NEUROBAT concept aims at controlling the circulating flow temperature and circulating pump. It relies, as depicted in Figure 1, on four main blocks: Figure 2: NEUROBAT simulation environment interface • Building model Neurobat SA has established a commercial partnership with • Climate model Elesta Control AG with the aim of developing a NEUROBAT module as an extension of the Elesta Econesta controller. • User model

• Optimization block Econesta module

Climate Building User DHW Additional Model Model Model Model Constraints (costs)

Optimization block Cost function: energy needs + discomfort + energy cost

Flow temperature DHW optimization Neurobat module Heat demand + pump Figure 3: NEUROBAT installation - St Niklausen test site Figure 1: NEUROBAT building blocks The NEUROBAT module has been commissioned on two test The climate model, based on an adaptive filter approach, is sites for the 2010-2011 heating season, namely on one used to predict the evolution of solar radiation and external heating zone of CSEM headquarter (Figure 3) and on a temperature in the coming hours. The building model, relying residential building in St Niklausen (LU, CH). The validation on a similar method, is used to predict the evolution of the aims at comparing user comfort and consumed energy, using internal temperature of the building, based on certain external the extended Elesta Econesta heating controller, while conditions (solar radiation and external temperature), previous normalizing obtained results as function of the external values of internal temperature, the occupation of the user and conditions. the commanded flow temperatures. The implemented user’s The NEUROBAT controller is to bring substantial energy model is a simple occupation scheduler, indicating whether savings thanks to its predictive and adaptive aspects, while the building is occupied or not. The various models feed the maintaining user comfort and easing the installation optimization block that minimizes a cost function over a pre- commissioning, as no parameter tuning is required. defined time range (typically 24 hours). The development of the NEUROBAT controller is being Simulations have been performed using a 30-nodes building carried out in the framework of a CTI project [2]. CSEM thanks model, showing for a comparable user comfort, energy the CTI for the financial support. savings of up to 12 percent with respect to an advanced adaptive heating controller (taking into account the internal temperature of the building and with an optimal start-stop [1] Patent EP0980034 (registered in August 1998) algorithm) and of up to 30 percent with a basic heating [2] CTI Contract No.10605.1 PFIW_IW

86 A Micro-robot for Astrophysics M. Gumy, F. Barrot, S. Droz The objective of the project is to design, manufacture and test a micro-robot that will be placed on the focal plane of the European Extremely Large Telescope (E-ELT) and used to select celestial objects for spectrometry. To select celestial objects for spectral analysis with the European Extremely Large Telescope (E-ELT), tens of micro- robots are planned to be placed on its focal plane; the role of each robot, is to redirect the light of a specific celestial object, such as a star, to a photo-detector. A first version of this robot has been designed by a team lead by the United Kingdom Astronomy Technology Centre (UK-ATC). The role of CSEM is to improve the precision of the robot by a mechanical re- design after having analyzed the current prototype. To complete this task UK-ATC has provided CSEM with the on- board electronic modules. Figure 2: Typical graph of micro-steps test In a first step, the motors selected for the first prototype were In Figure 3 it is possible to observe the effect of the proposed characterized experimentally and proved to be not accurate magnetic shielding on the magnetic field of the two motors. enough for the application. Taking into consideration the limitation in size and the precision requirements, the Penny- motor 1307D004BH from FAULHABER was identified to be the best commercially available motor for the E-ELT application. This motor is compatible with the current electronics based on a small size controller board specifically designed for the first micro-robot prototype. The re-design of the chassis of the robot was focused on simplicity and accuracy. The main constraint was to implement the existing electronic boards and keep the robot footprint as small as possible. The result of this mechanical re- design is a robot of 25x21 mm with a height of about 50 mm (due to the main electronic card) (Figure 1 and Figure 4). Figure 3: Simulation of the magnetic field without shielding (left) and with a possible shielding (right)

Figure 1: Exploded view of the robot

The test results of the re-designed robot matches the requirements of the targeted application with a resolution of Figure 4: The micro-robot developed at CSEM [1] 6 µm for the position displacements (Figure 2). This work was funded by the European Commission and the However, when the motors were placed in the robot chassis, Swiss Confederation. CSEM thanks them for their support, as an unexpected behavior was observed: the two motors well as the UK-ATC for their collaboration. strongly interacted with each other. In fact, the magnetic field is not closed on the rear of the motor, which explains the observed coupling. To solve this problem, the design of a [1] M. Gumy, “Conception et Construction d’un Micro-Robot Mobile magnetic shielding for the motors was proposed and pour l’Astrophysique Bachelor thesis”, CSEM & Haute Ecole implemented and is currently under test. d’Ingénierie et de Gestion du canton de Vaud, décembre 2010

87 Ball Bearing Fomblin Lubrication for Space Mechanisms P. Spanoudakis, L. Giriens, P. Schwab The objective of this project was to deliver flight model ball bearings lubricated with Fomblin Z25 for the OLCI Calibration Mechanism. This project is conducted in the frame of the Sentinel-3 The lubrication and impregnation setup developed for the mission, part of the ESA GMES Program implementing a MERIS project was re-installed using specialized and certain number of individual satellites for Earth observation. dedicated equipment. The installation was used to perform the Sentinel-3 is devoted to operational oceanography and global following steps covering the entire process: land application, thanks to its payload composed of a set of • Precision cleaning of ball bearings and raceways optical and microwave instruments. It requires the concurrent (Figure 2) operations of two similar satellites in orbit with additional satellites to provide the sustained operational services over a • Specialized cleaning process of phenolic resin cages twenty years period following the first satellite launch. • Outgassing of cages to evacuate air and humidity within The ocean and land colour instrument (OLCI) is a multi- porous cage material (Figure 3) spectral optical imager that fulfils ocean color and land-cover • Cage impregnation with Fomblin Z25 oil under vacuum objectives based on the MERIS instrument of the Envisat. The and 100°C (Figure 3) instrument embarks a Calibration Assembly that includes a five-position multi-functional disc carrying useful optical • Final mass measurement of impregnated cages components : 3 calibration diffusers, 1 shutter and 1 aperture • Assembly under Class 100 conditions and packaging for stop. The wheel is fixed to the rotor part of the driving transport and storage (Figure 4) assembly by a bearing preloading ring.

disc

Mechanical

interface to satellite Figure 2: Bearing cages on support prior to impregnation

Figure 1: Multi-functional disc (source CSL) Vacuum The Centre Spatial de Liège (CSL) is responsible for the chamber Calibration Assembly with CSEM providing design expertise and the ball bearing sub-assembly. The design philosophy is Bearing based on heritage from the MERIS Calibration Assembly, cages designed and developed by CSEM (1992-1995). The mechanism is still operating in orbit on Envisat since its launch Lubricating in 2002. CSEM’s knowhow was solicited for its expertise on oil reservoir the subject 15 years after the delivery of the MERIS hardware. The same driving components (SAGEM stepper motor, SKF Figure 3: Ball bearing cages Installed in oil impregnation chamber bearings and Codechamp encoder) were specified for procurement, which demonstrates the importance of space hardware documentation and quality assurance procedures by all partners. The mechanical design of the Calibration Assembly was based on MERIS with some design improvements and changes for specific mechanical and optical interfaces with the new instrument. Responsibility of CSEM was the procurement of the flight quality ball bearings from SKF (F), lubrication of the Spaulding phenolic resin bearing cages with Fomblin Z25 and the Figure 4: Flight Model ball bearing during assembly delivery of the assembly to CSL for integration. The balls from SKF were in stock from the original MERIS procurement lot This work is performed in partnership with Centre Spatial de and coated with the specialized TiC CVD process applied by Liège (CSL) and is funded by the European Space Agency. CSEM over 15 years ago. CSEM thanks them for their support.

88 Focusing Mechanism for Camera Focus System on Mars (ExoMars Rover) P. Spanoudakis, L. Giriens, S. Henein, I. Kjelberg, G. Perruchoud, P. Schwab The objective of this project is to design a focus mechanism to vary the working distance of a camera aboard a Mars rover.

The close-up imager (CLUPI) instrument is part of the Pasteur Actuator payload on the ExoMars Rover from ESA. Two missions are foreseen within the ExoMars program: one consisting of an orbiter plus an entry, descent and landing demonstrator (to be launched in 2016) and the other, with a launch date of 2018, consisting of two rovers. The 2018 mission is led by the NASA-led mission and includes two rovers, one European (the ExoMars Rover) and the other one American. Both rovers will be transported in the same aeroshell and will be delivered Mobile lens Position sensor to the same site on Mars. The ESA Rover, see Figure 1, will assembly carry a drill and a set of instruments dedicated to exobiology Flexure stage (3x) and geochemistry research [1]. Fixed frame

Figure 2: CAD model of the linear flexure guide

The focusing mechanism has the following key characteristics: • Rectilinear translation over 10 mm for a mechanism total length of only 100 mm and an outer diameter of 50 mm • Frictionless, lubrication-free and generates no particles that could harm the optics • Robust design for Martian atmosphere (should withstand CLUPI sandstorms and any fine particles that might get through the protective cover) Figure 1: View of the ExoMars Rover (source ESA) • First eigenmode of 8 Hz (natural axial motion direction) The CLUPI instrument is mounted on the rover drill of the ESA • Second eigenmode above 170 Hz (radial translation) rover and is a robotic substitute to a hand lens. The camera system will acquire high-resolution color close-up images of • Fail-safe: in case of an actuator electrical failure, the rocks, outcrops, drill fines and drill core samples. The focusing mobile lens assembly returns passively to its home mechanism of the camera varies the focus distance of CLUPI position (focusing distance of 20 cm) by moving a set of lenses along the optical axis. The project is in the detailed design phase with the next steps The CLUPI working distance ranges from 10 cm to infinity planned to optimize the design for low mass and perform a which requires moving the lens assembly along the optical detailed finite element analysis (Figure 3). axis over 10 mm. The key design drivers for the focusing mechanism are: • Lens displacement (Z-direction): 10 mm • Position accuracy (Z-direction) : 20 µm • Lateral deviations (X or Y-direction) : <50 µm • Operating temperatures : -120°C to +30°C

• Operation in Mars atmosphere : 6 mBar, 95% CO2 Figure 3: Complete view of CLUPI focusing mechanism (protective • Mass : below 150 g cover not shown) The selected solution is based on a novel, long-stroke This work is performed in partnership with Fisba Optik and rectilinear flexure-mechanism guiding the mobile lens Petitpierre, and is funded by the Space Exploration Institute assembly (Figure 2). This compliant mechanism consists of through Prodex-CH. CSEM thanks them for their support. three identical planar flexure-stages mounted symmetrically around the optical axis. It is driven by a contactless voice-coil actuator and its position is measured by a contactless LVDT [1] exploration.esa.int/science- sensor. The mechanism must withstand launch vibrations e/www/object/index.cfm?fobjectid=47068 without an additional device to block the mobile stage.

89 High Performance Stabilized Lasers S. Kundermann, G. Perruchoud, J.-F. Léchenne, S. Zivanov, S. Lecomte Stabilized lasers are key elements in future ultra-high performance optical atomic clocks. CSEM has developed continuous-wave and femtosecond mode-locked stabilized lasers with cutting-edge performances but also compactness and reliability aspects for future industrial applications. Time & frequency metrology is currently undergoing a revolution with the new generation of atomic clocks based on optical reference transitions. The frequency stability and accuracy of these so-called optical atomic clocks outperform by two to three orders of magnitude the performances of the atomic clocks based on microwave reference transitions. The technologies that are required to build such optical atomic clocks are based on stabilized lasers. Several types of lasers are required typically:

• The clock laser: ultra-narrow stabilized continuous-wave Figure 2: Realized optical reference cavity setup initially simulated (cw) laser as local oscillator for the clock reference with finite-element software interrogation. The linewidth of such a laser is in the order of 1 Hz at 1 second averaging time. Concerning the optical frequency comb, complete control electronics for the full mode-locked femtosecond laser • The auxiliary lasers: stabilized continuous-wave lasers stabilization has been designed, manufactured, and validated. for atomic state preparation and cooling Moreover an octave spanning spectrum has been obtained • The optical frequency comb: stabilized mode-locked and a nonlinear f-2f interferometer for offset frequency femtosecond laser for coherent optical-to-microwave detection has been designed and implemented (see Figure 3). frequency division The next step will be to stabilize the diode-pumped passively mode-locked laser presently in use with the above-mentioned CSEM is interested in the development of these lasers and is developed hardware. currently focusing on the clock laser and on the optical frequency comb. The ultra-narrow cw stabilized clock laser was realized by using a very stable optical cavity as optical reference. Such a cavity therefore sets the stability limit and the spectral purity of the clock laser. Particular care was taken to design the optical cavity, the cavity mount, and the vacuum enclosure. Extensive thermal and structural simulations were performed with finite- element modeling. The optical length stability of the 10 cm long optical cavity is estimated to be below one femtometer after 1 second corresponding to less than 10-14 relative frequency stability of the laser frequency in a laboratory environment. The Figure 1 below illustrates the sensibility of Figure 3: Partial view of the f-2f nonlinear interferometer used to the reference cavity to vertical acceleration. detect the offset frequency of a mode-locked femtosecond laser

In conclusion, CSEM has made major progress towards the stabilization of high-performance cw and femtosecond mode- locked lasers. The optical reference setup based on an optical reference cavity is ready for the stabilization of a cw laser and the complete setup is also ready for the stabilization of a mode-locked femtosecond laser. It is important to mention that the applications of such lasers are not limited to optical atomic clocks but they can be used as well to further improve the performance of high precision spectroscopy or high precision ranging systems. Figure 1: Magnified optical reference cavity deformation under vertical acceleration This work was funded by the Commission for Technology and Innovation (CTI) and the Canton de Neuchâtel. CSEM thanks Once the results of the simulations were validated, the them for their support. approach and the hardware was manufactured (see Figure 2). It is important to mention that the chosen approach has taken into account constraints relevant for an industrial product like robustness, compactness, design simplicity, and cost.

90 Compact and Reliable Optical Bench for Industrial Cesium Beam Clock S. Kundermann, D. L. Boiko, J.-F. Léchenne, R. Ruffieux, S. Lecomte Industrial atomic clocks are used in applications such as telecommunication network synchronization, ground navigation or radio astronomy. CSEM has developed a compact and reliable optical bench for such an industrial atomic clock based on an optically-pumped cesium beam clock technology in the framework of an ESA project. Cesium beam clocks have been employed since 50 years in The development of the optical functions and schematics, the time keeping applications for the international atomic time. For mechanical design, and the manufacturing of mechanical applications such as network synchronization in parts were performed by CSEM. The optical bench of the telecommunication, small footprint and reliable Cesium beam clock employs a working principle patented by CSEM [1], which clocks are required. To improve the frequency stability enables a design simplification of the Cesium beam atomic performances of Cesium beam clocks, traditional magnetic resonator. A detail of the bench is depicted in Figure 1. atomic state selection is replaced by optical pumping. For The optical bench was tested in a CSEM clock setup and such an optically-pumped Cesium beam clock, CSEM demonstrated a very good performance (see Figure 2). The developed a compact and reliable bench for an industrial clock stability using the compact CSEM optical bench prototype. The atomic clock fitting in a 19’’ housing was exhibited a clock stability of 2.3x10-12τ-1/2 for an averaging developed with Oscilloquartz SA and Thales Electronic time τ up to 5000s. This frequency stability is better than the Devices in the framework of a project funded by the European frequency stability of all available commercial Cesium atomic Space Agency (ESA). clocks and represents a major asset for a future industrial The following points of the optical bench development are product. crucial for the optically-pumped cesium beam clock: • Compactness: the optical bench has to fit in a very small package in order to fit with all the other clock system parts in a 19’’ rack package. This requirement is especially challenging since the alignment of different output beams has to be largely adjustable in order to compensate geometrical tolerances of the atomic resonator containing the Cesium. It was achieved by careful engineering of the mechanical parts and dense packing of the bench. • Stiff fixation and stable alignment of optics: the optical bench needs to be fixed stiffly to the clock system and the alignment of the optics needs to be stable against

temperature variations and vibrations. Especially stable optics mounts were chosen for the optics which need to Figure 2: Relative frequency stability measurement of an optically be adjusted. Special care was taken to choose pumped Cesium beam clock using the CSEM optical bench prototype appropriate materials and geometry to achieve thermal stability. In conclusion, a first industrial prototype of a very stable and • With easy alignment: for an industrial product it is compact optical bench for an optically-pumped cesium beam important to have an optical system, which is fast to clock has been demonstrated. This optical bench will be align. All optical parts are fixed in order to provide no integrated in the 19’’ packaged atomic clock prototype more than the required degrees of freedom for alignment. developed by Oscilloquartz SA with contribution of Thales Electron Devices and CSEM. The expected frequency stability performances will outperform any commercially available Cesium beam clock. This work was funded by the European Space Agency and the Canton de Neuchâtel. CSEM thanks them for their support.

[1] Patent EP 1691 242 B1

Figure 1: Image of a detail of the optical bench

91 Towards Femtosecond Blue Laser Diode S. Grossmann, A. Hoogerwerf, D. L. Boiko Together with a European research consortium CSEM is developing an emerging technology platform for ultrafast InGaN/GaN semiconductor laser diode devices. The foreseen applications for such devices are multiple, ranging from Life Science to 3D optical data storage disks. The goal of the FP7 research project FEMTOBLUE [1] is to actively mode-locked lasers have been designed for 1 mm create a new technology platform for ultrafast semiconductor long lasers, capable of achieving 40 GHz pulse repetition laser diodes producing femtosecond optical pulses in the blue- rates, with predicted sub-picosecond pulse widths and peak violet spectral range. The project Consortium led by CSEM is powers of >0.5 W. For passively mode-locked devices, a composed of EPFL, Fraunhofer IAF, Cambridge University, possibility to generate 5 W pulses with the pulse width 0.5 ps Technical University of Berlin, and P. N. Lebedev Physical has been predicted. Dicke superradiance in InGaN/GaN Institute. cavities with optimized design has been predicted to enable reaching several tenths of watts pulses (up to 100 W peak The new technology lays the foundation for miniature portable power) with sub-picosecond width. femtosecond lasers in the blue-violet range that can replace traditional sources, like mode-locked frequency doubled Ti: sapphire lasers, xenon and dye lasers. It will enable numerous techniques and developments in the fields of ultrafast optical spectroscopy, high-resolution lithography, quantum optics, optical comb frequency standards, fluorescence decay analysis and biomedical diagnostic without the need of bulky laboratory installations. A perfect match between the optical Figure 1: Simulations and mask design for multisection InGaN/GaN emission spectrum of wide-bandgap nitride alloys and the LD in Dicke superradiance regime absorption spectrum in the majority of organic components indicates that one of the main application fields for ultrafast Index-guided multisection InGaN based lasers have been GaN/InGaN lasers is related to bio-sensing technologies and fabricated using standard processing techniques to define medical applications, such as autofluorescence diagnostic separately contacted gain and absorber sections of the cavity. (e.g. in endoscopy). Another application domain for ultrafast The ability to control the laser output characteristics through blue-violet semiconductor lasers has emerged recently. Such the absorber section bias voltage has been demonstrated. as laser might become an enabling component for the next- Lasers implemented in group-III nitride alloys are generation three-dimensional optical data storage disk characterized by a high voltage drop across the structure technology that will replace the Blu-ray standard in consumer (~8 V) and are subjected to severe thermal loading. CSEM and professional electronics. has developed a mounting approach, which enables efficient thermal heat sinking and is compatible with the high frequency The concept of a blue femtosecond semiconductor laser diode operation requirements (Figure 2). Preliminary dynamic tests is inspired by the growing impact of emerging device have been performed in the gain switching and self technologies based on InGaN/AlGaN alloys combined with the Q-switching regimes. In the next phase of the project, lasers proven approaches to generate ultrashort optical pulses in the will be tested in the regimes of Dicke superradiance and near-infrared spectral range developed for conventional modelocking. GaAlAs and InGaAsP alloy systems. However, despite variety of ultrafast dynamic regimes achieved with well-studied and technologically advanced III-V alloys, femtosecond monolithic GaAlAs or InGaAsP semiconductor laser diodes in the red or infrared spectral range are not yet available on the market and still remain in the laboratory study phase. This project is therefore very challenging as it intends to develop ultrafast lasers using InGaN which is a relatively new material for this application. Figure 2: Fabricated multisection InGaN/GaN laser In the first phase of the project, two InGaN/GaN/AlGaN CSEM would like to thank the European Commission, the multiple quantum well heterostructure designs have been SFOTE, the Canton of Neuchâtel, as well as the Cantons of realized emitting in the 400-425 nm (violet) or 425-450 nm Central Switzerland for their financial support. (blue) wavelength range. The differential modal gain and absorption conditioned by the quantum-confined Stark effect (QCSE) have been measured. This data has been used to [1] Project web page www.femtoblue.epfl.ch/ simulate and design monolithic multisection laser cavities [2] D. L. Boiko, P. P. Vasil’ev, “Dicke superradiance in GaN incorporating gain section and saturable absorber (Figure 1). Quantum wells”, 22d IEEE International Semiconductor Laser Three cavity design approaches were pursued to enable Conference, Kyoto, 2010 ultrafast optical pulses: Dicke superradiance [2], active and [3] I. V. Smetanin, P. P. Vasil’ev, D. L. Boiko, “Theory of Mode passive modelocking [3]. Optimized laser cavities for hybrid locked InGaN/GaN Lasers in Large Signal Regime”, International Workshop on Nitride semiconductors, Tampa (USA) 2010

92 A 3D Laser Printer for the Fabrication of In-glass Microstructures F. Barrot, S. Droz, C. Meier, I. Kjelberg, J.-M. Breguet This project is being carried out in the frame of a 3 years European Union project, called Femtoprint [1]; its objective is to design and prototype a 3D laser printer for the fabrication of in-glass microstructures. The Femtoprint project aims at developing a 3D laser printer for the fabrication of in-glass microstructures (Figure 1) with nano-scale features (Figure 3); it involves eight research partners across Europe [2] and is coordinated by the Eindhoven University of Technology in the Netherlands.

Figure 3: Typical images engraved in silica glass with a femtolaser. The image size is ~1 mm with features as small as 20 nm (source Optoelectronics Research Center, University of Southampton).

The main objectives of the Femtoprint project are: • To develop a shoe-box size femtosecond laser, suitable for glass micro-/nano- manufacturing • To integrate the laser in a machine, similar to a printer that can position and manipulate glass sheets of various thicknesses; • To demonstrate the use of the femtroprinter to fabricate a Figure 1: Typical applications of the Femtoprint project (source variety of micro-/nano-systems with optical, mechanical Eindhoven University of Technology) and fluid-handling capabilities (Figure 1) The resulting femtoprinter will enable the fabrication of Within the Femtoprint project CSEM is responsible for: microstructures combining fluidic, optical and mechanical functions in a simple two-step process (Figure 2), without the • The definition of the overall system architecture constraints of a clean room environment. The idea is to affect (Figure 4) a silica glass substrate with a low energy femtosecond laser. • The integration of the various subcomponents of the In a second step, the laser affected zone (affected below the femtoprinter ablation threshold) will be chemically etched to create three dimensional mechanical or fluidic functions, or used directly as • The electronics and control unit of the whole system wave guides. A sub-micron resolution is expected and even • The design of the glass-sheets sample holder/ sub-patterns smaller than the laser wavelength will be formed manipulator (see Figure 2). The ultimate goal is to provide an integrated table-top system that could be used for rapid prototyping of micro-systems without the need to use a heavy and costly architecture.

Figure 4: General Architecture of the Femtoprint System – from left to right: Electronic and control unit, Femtoprint unit, laser unit. (source CSEM)

This work is funded by the European Commission, the Swiss Confederation and Canton de Neuchâtel. CSEM thanks them for their support.

[1] Project website under www.femtoprint.eu [2] Eindhoven University of Technology (NL); Amplitude Systèmes (F); CSEM (CH); Mecartex (CH); AlphaNOV (F); University of Figure 2: Two-step machining of glass with a femtosecond laser Southampton (UK); Quintenz HybridTechnik (D); Ecole (source Eindhoven University of Technology) Polytechnique Fédérale de Lausanne (CH)

93 A Chest Sensor for Continuous Measurement of Blood Pressure and Arterial Stiffness J. M. Solà i Carós, O. Chételat The technology allowing the continuous non-invasive measurement of blood pressure is attracting multiple players in the medical industry. The demand is a consequence of the aging of the population which requires new devices to assess cardiovascular health status at home. Today blood pressure is measured by an inflation cuff placed either round the upper arm or the wrist. Patients complain that inflation cuffs are too occlusive and cumbersome, while clinicians allege cuff measurements to be intermittent. Based on CSEM proprietary technology for the ambulatory monitoring of physiological parameters, a chest sensor is presented capable of providing continuous measurements of blood pressure and arterial stiffness. During the past few years a promising family of techniques based on the so-called Pulse Wave Velocity (PWV) principle [1] has been explored to estimate Blood Pressure (BP) non- invasively and continuously.

In brief, these techniques rely on the existing relationship between BP and the velocity of propagation of pressure pulses through the arterial tree (in m/s): by applying a subject- dependent calibration procedure one is able to provide BP estimates from PWV measurements.

Because several solutions have been proposed to measure PWV beat by beat in humans [1], this approach has gained widespread popularity. Unfortunately, every unsupervised existing technique to assess PWV relies on the measurement of pressure pulses at distal arteries [1] (arteries located far away from the heart). Being affected by local phenomena such as vasomotion and changes in hydrostatic pressure, PWV at these peripheral PCG sites is not a good representative of central BP. Aortic-valve PEP ICG opening detection CSEM presents a novel sensor capable of measuring pulse - PTT PTT to PWV pressure propagation velocities within central arteries in a fully ECG PWV conversion non-invasive, non-occlusive and unsupervised manner. PAT PPG Pulse Arrival Being fully integrated in a wearable chest belt, the sensor Time estimation provides continuous estimates of PWV and BP by applying Calibration BP multi-parametric processing techniques to the signals obtained from electrical and optical embedded sensors (Figure 1). Figure 1: Description of the chest sensor for the measurement of blood pressure (BP) and pulse wave velocity (PWV) • A phono-cardiogram (PCG) and an impedance cardiogram (ICG) are combined to detect the opening of The accuracy of the chest sensor in measuring central PWV the aortic valve, producing a pre-ejection period (PEP) was assessed in collaboration with the Swiss Cardiovascular value. Center in Bern. • A multi-channel photo plethysmograph (PPG) provides The goal of this study was to determine whether PWV values an estimation [2] of the arrival time (PAT) of the pressure as provided by the chest sensor (chest PWV) did correlate pulse at the capillary bed at the sternum with the standard clinical measurement of arterial stiffness, the so-called carotid-to-femoral PWV. Note that since 2007, the • The pulse transit time (PTT) from the aortic valve to the European Society of Hypertension (ESH) has recommended chest is computed by subtracting PEP from PAT. PTT is the measurement of such parameter in its guidelines for the a transit time value normally ranging between 10 and evaluation of cardiovascular risk. 30 m/s. A prospective validation study [3] was thus designed involving • A pulse wave velocity value (PWV) is obtained by n=29 normo- and hypertensive male subjects (age: 26…72 normalizing PTT by morphological measurements years). Reference PWV values were obtained from supervised performed on the user’s body. PWV is a pulse wave PWV measurements performed at the carotid and femoral propagation velocity value normally ranging between 6 arteries (COMPLIOR, Alam Medical, France). and 16 m/s. The study demonstrated Chest PWV to correlate with Carotid- • A blood pressure value (BP) is obtained by applying a to-Femoral PWV (r= 0.88), as shown in Figure 2. subject-dependent calibration to the PTT value. Mean blood pressure values normally range between 70 and 120 mmHg.

94 y= 0.93x + 1.75

r = 0.88 p < 10-9

Figure 3: Example of cardiovascular measurement setup at CSEM, in collaboration with the Swiss Cardiovascular Center in Bern 3.44

Figure 4 illustrates the results of the 60-minute experiment. Across the different cardiovascular manoeuvres, the chest BP 1.1 sensor was capable of tracking BP variations as provided by the reference measurement system. BP calibration was performed by applying a linear fitting of PTT data points to --1.24 simultaneously-measured BP values. The observed different time and amplitude dynamics of the estimated values are due to differences in the measurement principles of the Portapres and CSEM systems.

150

Figure 2: Correlation analysis and Bland-Altman plot when comparing Chest PWV values to COMPLIOR CF PWV values for the validation study (n=29 subjects) BP (mmHg) After demonstrating that the chest sensor was capable of providing central PWV values in basal conditions, its ability to mental hand cold [4] NO track continuous changes of BP was investigated : a 60 stress grip stress minute experiment was performed on an adult male, in 0 Time (min) collaboration with the Swiss Cardiovascular Center in Bern. 0 60 Continuous BP reference values were acquired by an Figure 4: Example of 60 minutes of BP monitoring at the chest occlusive measurement device placed on the finger involving several cardiovascular maneuvers (Portapres, FMS, The Netherlands). Figure 3 illustrates a typical cardiovascular measurement setup. Because of the high potential of this novel chest sensor in filling the gap between current ambulatory technology and The goal of this experiment was to induce fast measurable market demands, extensive research and developments tasks changes of BP by performing several cardiovascular on the chest sensor are currently being performed at CSEM. maneuvers. In particular, three tasks were implemented: This work is funded by the Swiss Confederation and CSEM • Mental stress: a series of arithmetic operations was thanks them for the support. proposed to the subject, requiring fast and accurate

verbal answering. BP was expected to increase due to increased activity of the sympathetic nervous system. [1] J. Solà i Carós, et al., “Ambulatory monitoring of the cardiovascular system: the role of Pulse Wave Velocity”, I-Tech • Hand grip: the subject was required to perform a Education Publishing, ISBN 978-953-7619-57-1, 2010 sustained handgrip on one hand, at 20% of his maximal [2] J. Solà i Carós, et al., “Parametric estimation of pulse arrival force. BP was expected to increase due to increased time: a robust approach to pulse wave velocity”, Physiol. Meas., activity of the sympathetic nervous system. 2009 [3] J. Solà i Carós, et al., “Chest Pulse Wave Velocity: a novel • Cold stress: subject’s left hand was immersed in cold approach to Assess Arterial Stiffness”, IEEE Transactions on water. BP was expected to increase due to increased Biomedical Engineering, 2010 peripheral resistance (peripheral vasoconstriction). [4] J. Solà i Carós. S. F. Rimoldi, “Continuous Non-invasive Monitoring of Blood Pressure”, Proc. DARPA CNIMBP2009, Coronado, 2009

95 Vital Parameter Sensing under Harsh Conditions R. Vetter, M. Correvon, Z. Lingchuan, P. Theurillat, A. Falhi, J.-A. Porchet, C. Verjus, L. Rossini, L. Giriens A device for the recording of vital parameters required in the monitoring of exhaustion and adaptation at high altitude has been developed. The design and manufacturing has been achieved such as to provide reliable measurements under very harsh conditions by a mechanically robust and non obtrusive device. Tests achieved during a high altitude expedition in Tibet have confirmed reliability and robustness of the device. Monitoring and analysis of adaptation and/or exhaustion system in the time frequency analysis of the HR. Frequency during many sport activities, such as the ones conducted at components change from the parasympathetic or vagal band high-altitude request the recording of several physiological at about 0.25 Hz to sympathetic band at about 0.1 Hz as the parameters including heart rate (HR), activities of the posture changes from supine to upright. These changes are autonomous nervous system and arterial oxygenation reflected in a quantitative manner by the sympatho-vagal saturation (SpO2). Nevertheless commercially available multi- balance which is the ratio of the power in the sympathetic and parameter devices with logging facilities are obtrusive, heavy parasympathetic bands respectively. and too fragile for the particularly harsh conditions as they appear in high altitude or on extreme expeditions in cold, humid or suffocating hot regions. These requirements have motivated the development of a multi-parameter monitoring and recording device for extremely harsh conditions. The device consists of a central unit which contains data logging, recording, processing and displaying facilities and a red/infrared sensor. To comply with the requirements of harsh environments, two sensors have been Figure 3: Time-frequency plot of the HR (right) and sympatho-vagal balance (left) during an orthostatic test (supine, upright and supine) developed. For normal conditions, a mechanically robust finger digit sensor has been designed as shown in figure 1. The tests at high altitude allow the monitoring of the subject’s For extremely cold temperature where constrictive effects adaptation to altitude. During the acclimatization period of 36 prohibit the accurate measurement of red and infrared blood days with temperature in the range from -25 to +30 degrees, properties at the finger digit, CSEM has developed a sensor the subject performed on a regular basis a 12 minute for the forehead where blood perfusion is supported by a recording under supine baseline condition using the higher subcutaneous temperature due to a protective head developed forehead sensor. Results shown in Figure 4 display band. Figure 2 highlights the forehead sensor manufactured the evolution of HR, sympathetic and vagal activity and SpO2 such as to be maximally ergonomic due to its flexible with respect to altitude. One can observe the acclimatization structure. phenomena on the recorded vital parameters. An increase in altitude highlighted by dark-shaded segments is accompanied by an increase in HR and the sympatho-vagal balance and a decrease in SpO2. During adaptation phases highlighted by bright-shaded segments, vital parameters recover due to the physiological adaptation process even though altitude successively increases from 2000 m to 7200 m.

Figure 1: Multi-parameter monitoring device with finger digit sensor

Figure 2: Flexible forehead sensor for cold temperature Figure 4: Analysis of vital parameter in supine resting condition during high altitude expedition (2700 m to 7200 m) The developed system has been tested during orthostatic tests (change from supine to upright posture) at low altitude The presented tests under extremely harsh conditions have and under extremely harsh conditions during a high altitude confirmed the robustness and reliability of the developed expedition in Tibet. A typical result of the orthostatic test, sensing unit. It is currently used in a joint collaboration with which is an important tool in exercise physiology for Dr C. Satori of the University Hospital of Lausanne (CHUV) in monitoring fatigue and exhaustion, is shown in Figure 3. As a monitoring application on a long lasting expedition through expected from the physiological point of view, one can note Mongolia, the mountains of Himalaya, the humidity of Burma the changes in the activities of the autonomous nervous and the suffocating heat of the desert of Australia.

96 Smart Electrodes for Vital Sign Monitoring M. Correvon, O. Chételat, R. Vetter, S. Dasen, J.-A. Porchet, O. Grossenmacher, A. De Sousa, R. Rusconi, P. Pilloud CSEM has designed several vital sign monitoring systems based on different technologies and topologies, either with centralized electronics and distributed passive electrodes, or smart electrodes with embedded electronics. The advantage of the integrated electrodes is that they no longer require a separate electronic box. However, the main challenges are weight, size, user comfort and, as a consequence, autonomy. Other issues are sealing, biocompatibility, easy-to-use interface and smart power management to reduce the energy consumption, when not used. In the framework of European, CTI and industrial projects, electrodes when placed on the skin. Then, a wireless link with CSEM is developing a new family of smart electrodes for the a smartphone, PDA, tablet or PC is automatically opened. For vital sign monitoring. Metrology and signal processing tasks this wireless link, several possibilities exist which may make remain a priority, because they impact on the final signal interoperability an issue. The implementation based on Serial quality. Nevertheless, other aspects, like wearable comfort, Port Profile is supported by a lot of handheld operating biocompatibility and/or ergonomics are important factors to be systems but not iOS using PAN profile. Moreover, the market taken into account. The targeted application with different entry of the Health Device Profile (IEEE 11073) and Bluetooth constraints and expectations is also an important factor. LE must be considered. The basic functions of Smart electrodes are highlighted in Charging the battery requires a connector socket, which can Figure 1. also be used for data exchange, such as for instance data download from the memory mass or a firmware update. In previous designs, a micro USB was used, inducing three issues, namely size, reliability, and sealing. To solve them, metallic pads integrated in the housing have now replaced the connector. Another alternative is to use inductive charging systems, which allow recharging the battery without any pads. Such systems make the verification of the compliance with the medical safety requirements (IEC 60601-1) trivial, since no current can accidentally flow from the device to the body. In any case, the removal of the USB connector imposes the use of a specific device, the so-called cradle (see Figure 2). The main function of the cradle is for battery charging, but it can also be used to download data and update the firmware with a wired connection as a faster alternative to the wireless link.

Figure 1: Diagram of the smart electrode features

In smart electrodes, the core of the monitoring system consists of embedded sensors, ultra-low power microcontroller, power computation capability, and user peripherals. Features such as medical quality, comfort and Figure 2: User Interface and smart electrodes autonomy allow using the system for many continuous monitoring applications such as: Systems like smart electrodes are required to address many aspects, sometimes unexpected such as the water tightness • Elderly in independent life of a simple USB connector. Several iterations of design and • Disease management solutions for cardiac patients detailed prototyping enabled CSEM to gain a precious experience in this promising technology. • Leisure and professional sports In order to manage easily a user monitoring session, an important feature is an automatic contact detection of the

97 Medical Multi-parameter Monitoring Sports Shirt O. Chételat, S. Droz, A. Fahli, R. Gentsch, A. Hutter, O. Grossenbacher, C. Kaeser, J. Oster, J.-A. Porchet, P. Renevey The CTI project SIGMA aims at investigating and developing a multi-parameter sensing system for sportsmen, integrated into a textile. The system is able to continuously record one lead ECG, impedance plethysmography and activity by means of integrated dry electrodes in a comfortable manner. The system complies with the medical device standards. The electrode sensors comprise wireless communication capabilities to a PC to plan training and recovery programs, and store and visualize the collected data. Sense, a joint-venture between CSEM and SUI10, aims to mechanical and electrical design together with the integration pioneer the introduction of biomedical devices in everyday life of all components into the initial prototype demonstrated the and to become the leading brand in this patient monitoring feasibility to realize an initial small-sized version of the domain. The aim is to achieve this via superior and innovative envisaged Sense system. biomedical monitoring products, combined with the marketing power that the endorsement of some of the world leading athletes will provide. An illustration of the hardware and software components of the Sense system is illustrated below in Figure 1.

Figure 2: Initial prototype of the Sense system

Since summer 2010 the project team has developed the field test prototypes, which have been aligned to the updated Sense product specifications and the new sports shirt concept. In order to assess the quality of the different sensor signals, Figure 1: Hardware and software components of the Sense system several pre-validations sessions were carried out at the Within the CTI project SIGMA, which started in September Landeyeux clinic near Neuchâtel. The pre-validation results 2009, CSEM designed the dry electrode sensors of the Sense showed that the signal quality for the ECG and respiration system and provides 10 prototypes that will be used for field signals is excellent with respect to state-of-the-art medical tests with high level athletes in 2011. devices, and thus for all encountered user cases regarding motion and perspiration. Consequently, the associated The system measures a medical-grade one-lead ECG, derived parameters, such as the heart and respiration rate, respiration based on body impedance and activity based on a are also excellent. An illustration of an ECG signal obtained multi-axis acceleration sensor. The challenges are associated from a medical Holter system and the one from the Sense with the dry electrodes that require additional intelligence to system is shown in the following Figure 3. The activity signal accurately measure ECG and body impedance under all has also been successfully validated. circumstances, e.g., motion, sweat and/or dry conditions. One of the technological innovations is that only one single galvanic connection between the sensors is required for the determination of the body impedance. Moreover, the ECG is measured so that the classical third electrode (guard electrode) is distributed and embedded in the two electrode sensors. The challenges for the full-functional demonstrator were associated with the required miniaturization and integration of all necessary electrical components into a small- sized sensor package. This also includes the optimization of the energy consumption of the system in order to attain for Figure 3: Illustration of Sense’s ECG signal quality (bottom graph) 24 hours of continuous monitoring. The production of the field test prototypes has been launched The project started with the realization of a dedicated and initial field tests with professional athletes are planned for validation electronic, which included the sensor electronics March 2011. Trials with high-level athletes are to follow in together with an electronic version of a skin model. This April. Based on the feedback gathered during the field test a realization was assessed for the tests of the single galvanic re-design is scheduled and first products are expected to connection concept. Based on this validation electronics of the come to the market at the end of 2011. first integrated prototype was developed, which is shown in This work was funded by Sense and CTI and CSEM thanks the following Figure 2. The successful finalization of the them for the support.

98 MICROROBOTICS & PACKAGING Helmut F. Knapp, Christian A. Bosshard and Philippe Steiert In Microrobotics & Packaging four technological platforms wafer scale compatible hermetic sealing of MEMS devices, have been developed to address current and future industrial low-temperature encapsulation for implants, and carbon needs in microassembly, lab automation, sensor integration, nanotube-based X-ray sources. and packaging of optoelectronic, microfluidic, biomedical and The next set of eight reports deals with customer-specific microelectronic devices. The objectives of these four platforms design, rapid prototyping and small series production of are: microsystems using technologies such as 2D+ laser • Development of fast and precise sensor-guided robots structuring, self-alignment, and semi-automated assembly for microsystem assembly processes for bonding or sensor/actuator integration. Several specific examples are given, such as microfluidic biosensors & • Handling of fluids and cells in fluids by combining nanoparticle filters, several meter long tapes containing microfluidics & robotics microchannels, electrokinetic micropumps, or high-power • Development of sensors and integration of sensors for laser modules. One of these reports shows a newly developed process control and quality monitoring process enabling the positioning, alignment, and electronic contact of very fragile MEMS components in only one process • Packaging and interconnect technologies for integration step. Also, a technology is presented for an automated of complex microsystems. method of optically controlling the quality of injection molded microfluidic devices. The following two reports show results from the robotic platform. The first, from the field of laboratory automation, is a modular system for automated sorting and handling of large biological entities needed for pharmaceutical research and toxicological screening. The second is a tool for gripping very small components without actually touching them, thereby circumventing the problem of stiction normally present when handling small items. Finally, the last two reports illustrate how in-situ frequency analysis can be used for process or quality control. The first describes a generic low-cost module which is preparing the Figure 1: Technology platforms for microrobotics & packaging way for this technology’s implementation in many applications. The second is a specific application for monitoring the liquid Although these four platforms are developing specific level during pipetting steps in the field of laboratory technologies in their respective domains, as detailed in automation. Figure 1, they interact strongly when it comes to the development of devices and systems. For example, sensor- In order to ensure a high technological standard for all of its guided robotics strongly depends on actuators with integrated platforms, the program Microrobotics & Packaging is active in sensors, which are cleverly packaged into a single unit. As several international research projects funded through the another example, solutions for laboratory automation combine European Commission or the European Space Agency. On a robotics with fluid-handling modules which can be additionally national level the research partners are the ETHZ equipped with integrated fluid-flow sensing technologies for (Eidgenössische Technische Hochschule Zürich), the EPFL on-line monitoring. (Ecole Polytechnique Fédérale de Lausanne), the IMT-NE (Institut de Microtechnique, Ecole Polytechnique Fédérale de The 2010 report starts with specific technology developments Lausanne, Site Neuchâtel), and the HSLU (Hochschule and ends with complex systems combining several of the Luzern). technologies and modules developed within this program. Research at CSEM’s Microrobotics & Packaging Division in The first three reports deal with an important aspect from the Alpnach is supported by the cantons of central Switzerland domain of packaging and of the integration of complex through the Micro Center Central Switzerland (MCCS). microsystems: hermetic encapsulation. Reliable, long-term hermetic sealing is crucial for M(O)EMS devices containing components that deteriorate if exposed to air or need to oscillate highly reproducibly, such as micromechanical time measuring units. Such components must either be sealed in a vacuum or in an inert atmosphere. Often hermetic sealing must be accomplished reliably on the wafer scale and without damaging the fragile components. Results are shown for

99 Reliable Hermetic Chip-scale Packaging Targeting Wafer-level Bonding G. Spinola-Durante, R. Jose James, C. Muller, J. Baborowski, A. Pezous, F. Cardot, M.-A. Dubois A new reliable hermetic chip-scale packaging approach has been developed and tested with gold-tin soldering on ~2x1.5 mm2 chips. Through careful chip layout, UBM adhesion improvements and soldering process optimization, the shear tests yielded forces above 5 kg on a bonding ring of ~0.5 mm2. With a shear stress >100 MPa it is now possible to address a wider application field where highly reliable hermetic solutions are required. Two actions were taken to speed-up and to mitigate risks when targeting highly reliable wafer-level bonding: the first one was to improve throughput the tacking process to get more data for statistical purposes and the second one was to perform soldering tests on chip arrays. During the last few years silicon resonators have been chip equipments and results in more chips available for developed at CSEM with a strong focus on vacuum hermetic statistical purposes in process optimization tasks. wafer-level packaging technology [1]. The current development phase aims to improve the reliability of the AuSn bonding. The solder melting happens at a high temperature (~280 C) and solidification embeds a large amount of stress in the package due to thermal mismatch of the package materials. This stress weakens the sealing ring and reduces the overall package reliability. Improvements have been made on UBM (under bump metallization) technology, on selection of a suitable AuSn solder deposition and on the soldering process. CSEM is currently also involved in the development of AuSn galvanic deposition to tailor the solder to the package requirements. As an outcome of these efforts shear tests on bonded chips of 2x1.5 mm2 yielded forces above 5 kg. This is equivalent to a shear stress >100 MPa if on considering the bonding ring is 100 microns wide and has a surface of ~0.5 mm2 (Figure 1).

Figure2: (top) Fast automatic pattern recognition and alignment of a glass cap (bottom) tacked glass cap on a silicon dummy chip

Test on arrays (Figure 3) have also been addressed to check planarity and vacuum encapsulation uniformity. When developing wafer-level bonding processes this approach helps to mitigate development risks.

Figure 1: Glass cap bonded to Silicon dummy resonator 2x1.5 mm2 (left); Glass cap after sheartest (right)

With these results it is now possible to address a wider application field where highly reliable and miniaturized hermetic MEMS are required. For example compatibility to injection molding processes and to lead-free soldering of the Figure 3: Silicon MEMS die 2x1.5 mm2 in comparison to 5x7 array molded component on a PCB is less prone to reliability issues. In conclusion CSEM is well positioned and offers This shortens time-to-market for MEMS consumer product competencies in the frame of R&D of reliable hermetic chip- developments. Other interesting applications are in the scale packaging, AuSn solder custom depositions, reliable domain of high reliability space and medical MEMS devices UBM deposition, and can also effectively scale these where specific solder depositions are required. processes targeting reliable hermetic wafer-level bonding. To speed-up the development targeting highly reliable wafer- This work was supported by MCCS Micro Center Central level bonding two approaches were selected: a fast and Switzerland. CSEM thanks them for their support. modern pick&place machine with ~10 µm alignment (Figure 2 top) capability was used to tack (Figure 2 bottom) the chips and the glass caps in the range of <1 minute and still with [1] J. Baborowski, et al., “Wafer Level Packaging Technology for room for speed improvement. Subsequent soldering is done in Silicon Resonators”, Procedia Chemistry 1, 1535-1538 (July a vacuum-capable reflow oven. This approach is faster if 2009) compared to a tacking process performed in conventional flip-

100 Semi-automatic Assembly Process for High Power Single Emitter Diode Lasers J. Pierer, M. Lützelschwab, S. Grossmann, G. Spinola Durante, C. A. Bosshard Coupling the emission of several laser diodes into a fiber enables compact high power modules achieving more than 100 W that can be used either in direct diode applications or for pumping of fiber lasers. The increasing number of optical components used multiplies the risk of handling and assembly errors. In order to achieve appropriate yield rates it is highly recommended to automate the whole assembly process. For this purpose CSEM developed an assembly machine which also allows for manual interaction by an operator. This (semi-)automatic approach yields to repeatable processes easily adaptable to small as well as large volume manufacturing. The output power of semiconductor multimode laser dies is Fixing the lens is done with UV curable adhesive previously increased to higher values every year reaching far more than dispensed by the machine. 10 W in its latest generation. By combining these to compact laser modules, output powers enabling direct diode applications as well as efficient fiber laser pumping are achieved. Unfortunately, the rising number of single lasers within a module multiplies handling and assembly risks making it more and more challenging to achieve acceptable yield rates. In order to assemble a laser module containing more than 40 optical components, each of them actively aligned, CSEM developed a machine allowing semi- and fully automated processes. The handling equipment consists of a 3 axis robot, a linear stage and two high precision 6 axis robots. The processes are assisted by vision systems for image recognition as well as for beam profiling and several sensors for power, temperature and so on. The setup with all Figure 2: Collimation lens assembly peripheral instruments is shown in Figure 1. Often components have to be aligned optimizing a single value such as power on a detector using several degrees of freedom. In such cases smart algorithms are used to find the optimal position. A typical case is the assembly of two mirrors at the same time, each featuring up to 6 degrees of freedom (Figure 3).

Figure 1: Assembly setup including handling robots and peripheral equipment

In order to obtain highest repeatability and reducing handling Figure 3: Schematic of simultaneous assembly of two mirrors risks fully automated processes are preferred. Alternatively, CSEM implemented the option to enable an operator to Complex processes as described above need a detailed directly interact at any step within the process. Hereby the design considering, amongst others, space constraints, machine provides all the information collected from sensors thermal load and optical tolerances. CSEM provides the and vision systems needed by the operator to decide on expertise as well as the tools needed to satisfy its customer proper action. As only a standard process needs to be needs. implemented within the initial process setup, the assembly remains very flexible permitting cost effective small series This work was supported by MCCS Micro Center Central production. Switzerland and by CTI. Components as well as part of the equipment were provided by Oclaro Switzerland AG. Figure 2 shows the assembly of a collimation lens. After CSEM thanks them for their support. manual feeding of the lens to a tray, its position is recognized by a vision system, automatically picked up and transferred to the assembly position. With powered up laser the information of a beam profiling setup is used to align the lens properly.

101 Miniature Carbon-nanotube-based X-ray Sources: Packaging Aspects S. Giudice, R. Jose James, G. Spinola Durante, C. A. Bosshard Hermetic sealing has gained a lot of interest in recent years due to the increase in MEMS devices requiring vacuum or controlled atmosphere. Small cavity volumes and sealing area, compatibility requirement to thin film technologies as well as the growing tendency to integrate getter materials in microsytems strongly increase the constraints for sealing technologies. New processes currently being developed for hermetic sealing, demonstrated the case of miniature X-ray sources, are presented in this paper. The miniature X-ray sources are based on carbon nanotube high-temperature melting metal to form intermetallic (CNT) cold electron emitters, which miniaturize X-ray sources compounds with a higher than the bonding down to a volume of 1 mm³. Moreover, field electron emission temperature. For example, according to the Au-Sn phase of CNTs is voltage controlled which allows high frequency diagram, a bond formed of Au-rich intermetallics could modulation. The possibility to fabricate an X-ray source as a theoretically have a melting point up to 519°C. Figure 2 matrix of micro sources that can be addressed and controlled presents the results obtained with this method. With a longer individually and the possible intensity modulation of the X-ray bonding time eutectic AuSn is nearly completely transformed signal bring up completely new applications in different to Au5Sn (Au-rich intermetallic). domains, for example for minimal-invasive surgery, radiation therapies, static tomography, etc. With the number of stacks to bond, the small internal volume targeted for the device and the high vacuum (10-5 mbar) 23 μm 24 μm 20 μm required for proper functioning of the CNT cold cathode, packaging of the X-ray source (Figure 1) becomes a highly challenging task. Additionally, the high deposition temperature of the CNTs and the integration of a getter to maintain a Figure 2: Bonding of Au/eutectic AuSn/Au preforms with an suitable vacuum in the cavity make this task even more increasing bonding time (from left to right) difficult. The development of a compatible under bump metallization (UBM), which can withstand the temperature required for the growth of the CNTs (650°C) and the getter activation, is important to achieve a good bond. Indeed, many reliability issues arise at the UBM-AuSn interface. Different diffusion barrier layers (TiW, W and Ta) and wettable layers (Au and Pt) were tested to define a suitable UBM. Figure 3 shows some of the results obtained for Pt-UBM. A combination of Ta and Pt was found to be the best for our application, though AuSn has more difficulties to wet Pt layers.

Figure 1: a) Anode wafer with a thin metal film coated diamond window for the X-ray generation and extraction; b) spacer wafer to provide for an acceleration region between the CNTs and the anode window; c) electron-source wafer, CNT cold cathode emitters.

Eutectic AuSn solder has been used extensively in hermetic sealing applications with ceramic packages. It has advantages such as high joint strength, excellent hermeticity, relatively low temperature processing and narrower seal ring geometry needed compared to other solders. For all these reasons, the Au-Sn system is the most promising candidate for hermetic Figure 3: Shear test of 2 Pt-UBM parts annealed and soldered together presents good shear strength (top). Cross-section of sealing of the X-ray source on the chip or wafer level scale. soldered annealed Pt-UBM reveals a void-free bond (bottom). However, high temperatures during subsequent processing of the bonded parts, for example for getter activation, may be Further tests will take place in 2011 with thinner layers of problematic for the integrity of a standard AuSn joint. In fact, Au/Sn deposited by electroplating to adapt the technology to eutectic AuSn has a melting point of 278°C, which is a lower wafer level or chip level hermetic sealing and development temperature than the one required for getter activation work on Ta/Pt UBM will be pursued to improve the (350°C-450°C). Therefore, AuSn transient liquid phase repeatability of the bonding process and solve the wetting bonding (TLP), which gives bonds stability at higher issues. temperatures than the processing temperature, has been This work was supported by MCCS Micro Center Central investigated as a possible bonding technique for this project. Switzerland. CSEM thanks them for their support. This technique relies on interdiffusion between a low- and a

102 2Dplus Laser Micromachining J. Auerswald, S. Berchtold, N. Schmid, R. Jose James, I. Pushkarsky, M. Wiki, F. Kehl, S. Follonier, P. Schüpp, H. F. Knapp Microtechnology is often based on expensive lithographic planar batch processes for a limited set of materials. Many applications, however, require prototyping for a heterogeneous variety of materials, multidimensional design freedom and reasonably low cost and lead times. Laser micromachining is a versatile tool for microtechnology component prototyping, particularly with respect to processable materials and design freedom. Suitable materials range from thermoplastics, thermosets and rubbers over metals to glass and ceramics. The design freedom is not only given on a two-dimensional scale, but also in the third dimension. The first example demonstrating the 2Dplus design freedom is a NBR rubber gasket for integrated connectors in a microfluidic diagnostic device. The actual sealing ring area is made protruding by ablating the non sealing area (Figure 1). This leads to enhanced sealing properties.

Figure 3: Microfluidic chip with laser ablated channels, laser drilled holes and laser cut contour. The channels are 300 μm wide and 30 μm deep with a surface roughness Ra of ca. 890 nm.

Photolithography is often rather expensive for prototyping of functional models. Figure 4 shows a pressure sensor carrier chip. First, the gold electrodes are structured by laser ablation from a 1 μm thick gold coating. Then, the sensor access openings and the chip contour are laser cut into the glass substrate. The entire chip prototyping process takes Figure 1: Laser-cut NBR gaskets for integrated microfluidic 15 minutes including cleaning. connectors with partially ablated surface to enhance sealing properties along the ring structure (hole diameter is 0.8 mm)

Another example is an alumina (aluminum oxide) micropart for medical applications (Figure 2). The part is completely laser microfabricated by ablating a cavity, drilling through-holes and cutting the outer contour.

Figure 4: Laser-cut glass sensor carrier chip (dimensions 9x6 mm) with laser ablation-structured gold electrodes

CSEM is also successfully offering prototyping services to its partners and customers, e.g. in R&D projects [1], [2]. This work was supported by MCCS Micro Center Central Switzerland. We thank them for their support.

[1] J. Nestler, A. Morschhauser, K. Hiller, T. Otto, S. Bigot, J. Auerswald, H. F. Knapp, J. Gavillet, T. Gessner, “Polymer Lab-on-Chip Systems with Integrated Electrochemical Pumps Figure 2: Alumina sample with laser ablated reservoir, laser drilled Suitable for Large Scale Fabrication”, International Journal of holes and laser cut contour; optical profilometer image and Advanced Manufacturing Technology 47,2010, 137-149 corresponding sample (chip dimensions are 3x3 mm) [2] N. A. Mishchuk, T. Heldal, T. Volden, J. Auerswald, H. F. Knapp: “Micropump based on Electroosmosis of the Second Kind”, Microfluidic chips on foil can also be entirely laser fabricated. Electrophoresis, 30, 2009, 1-8 Figure 3 shows a microfluidic chip on a polymer foil (polyimide) with laser ablated microchannels, laser drilled fluidic access holes and laser cut outer contour. The channels are 300 μm wide and 30 μm deep with a surface roughness Ra of ca 890 nm.

103 Customer-specific Small Series Production and Modeling of Microfluidic Components J. Auerswald, S. Berchtold, J. Kaufmann, Q. Lai, S. Follonier, M. Wiki, F. Kehl, M. Altmeyer•, S. Walpen•, A. Koller-Hodac•, E. Krüttli••, M. Lüthy••, N. Blondiaux, D. Leuenberger, G. Nisato, O. Hofmann ∗, H. F. Knapp Microfluidic assay platform development, especially for biomedical diagnostic target-applications, requires the capability of fast and flexible design modifications and corresponding small series production. CSEM offers the full spectrum from design and simulation to prototyping and small series production and the support of an excellent network of partners. One of the challenges in microfluidic assay platform development is the heterogeneous variety of used materials. The cost pressure in the diagnostics market dictates the use of plastic microfluidic chips or cartridges. Assay reproducibility, on the other hand, often depends on the use of glass or silicon substrate based sensor components. In addition, polymer based optical components may be required. Furthermore, the high number of diagnostic tests for the generation of reliable data in the assay development stage imposes the need of fast and flexible small series production of such cartridges. The use of laser cut adhesive tape gaskets with low non- specific binding properties is a suitable approach for the integration of heterogeneous material components into one cartridge [1]. Successive steps often comprise a roll-to-roll tape conversion and roll-to-chip, roll-to-sheet or gasket-to-chip lamination processes. Figure 1 shows a laser cut and roll-to- roll converted bonding tape. The laser cutting included both through-cut of channels and transport and alignment features as well as kiss-cut of tape gasket contours without cutting the Figure 2: Example of a dynamic contact angle measuremen (top), carrier. The converted tape was used in an automated glass where the contact angle is obtained on the left and right droplet edge chip to polymer cartridge bonding process developed at in degrees versus droplet base in mm. Upon dispension, the droplet Hochschule Rapperswil [2]. The corresponding robotic cell is base increases (top section of the graph). Upon aspiration, first the also shown in Figure 1. contact angle and then the droplet base decrease (right and bottom section of the graph). Filling simulation of a microfluidic flow chamber (bottom) using measured contact angles as input, and injection molded microfluidic chip scaffold, onto which assay specific laser cut fluidic and optical components are laminated.

For traceability in the assay development, each small series fabricated component is labelled, e.g. by laser marked serial numbers, bar codes or data matrices. This work was supported by MCCS Micro Center Central Switzerland, by the CTI (project Innobond, 9642.1 PFLS-LS) and by the EU (PhotoFET, grant agreement No. 248052). Figure 1: Laser cut and converted bonding tape roll (left). The kiss- CSEM thanks them for their support. cut and through-cut was made in one process. Tape-bonded and microfluidic cartridge above the sensor area, filled with colored fluid for a leak test (middle). Automated cartridge bonding station (right). • Hochschule für Technik Rapperswil, Inst. for Lab. Technology For small series production numbers above 1000 chips, it •• Weidmann Plastics Technology, Rapperswil, CH makes sense using injection molded microfluidic scaffolds ∗ Molecular Vision, London, UK onto which biochemical and optical sensor components can [1] J. Auerswald, P. Niedermann, F. Dias, H. Haquette, H. Keppner, be laminated. Before mold fabrication, the flow behavior of the H. F. Knapp, “Glass-Polymer Bonding”, Encyclopedia of micro microfluidic cartridge is simulated. Dynamic contact angle and nano fluidics, Dongqing Li, Springer-Verlag, Berlin, 1st measurements on all involved materials are required as edition (2008), p. 779-789. simulation input (Figure 2). Considering the high influence of [2] M. Altmeyer, A. Koller-Hodac, S. Walpen, E. Krüttli, M. Lüthy, surface effects in microfluidics and knowing that surface F. Kehl, J. Auerswald, “Hybrid Robot Gripper and Tools for properties of produced and/or surface treated components Handling and Bonding Micro Fluidic Chips”; Proceedings of the can vary from batch to batch depending on many factors International Symposium on Robotics (ISR) 2010, Munich (Germany), June 7-9, 2010. (including process specific or ambient factors), it is clear that simulation requires various iterations with actual experimental tests and a lot of experience before giving reliable results.

104 Manufacturing Strips with Deep Channels for Pressure Sensing Applications N. Schmid, J. Auerswald, T. Burch, H. F. Knapp In order to transmit pressure signals in long microchannels (several meters), channels require a relatively large cross-section to reduce response time. Manufacturing processes have been developed successfully using laser and micro-milling to produce strips with comparatively deep channels. This has particular importance in pressure sensing strip applications [1]. The response time in a channel leading to a pressure sensor fabrication has the advantage that it can produce channels in can be analytically calculated by looking at the pressure soft materials such as silicone (Figure 3), thus increasing the change response of the gas column in a channel with circular flexibility of the strip significantly without having to reduce the cross section with diameter d and length l, filled with a volume thickness of the strip. On the other hand, channels can be V of gas with a given mass m, viscosity η, and density ρ manufactured approximately 3 times faster using micro-milling (Figure 1). (Figure 4).

l, V pressure tap

p

pressure sensor p+Δp Δl, ΔV Figure 1: Schematic of microchannel for pressure signal transduction

The calculation is based on a damped harmonic oscillator:

s+ δ * s + ωo 2 * s = 0 where δ is the coefficient of damping and ωo is the oscillating frequency of the undamped air column. Taking the ideal gas law and assuming constant temperature and assuming the damping of the air column is due to the viscous drag in the Figure 3: 400 µm wide and 450 µm deep laser fabricated channel, δ and ωo can be described as: microchannels in a silicone strip with a thickness of 1 mm. Channels are covered with a transparent, one-sided adhesive tape in order to 32 *η δ = and obtain sealed channels. ρ * d 2

2 * ∆p ωo = p ρ * l 2 (1 − ) , respectively. p + ∆p

The time constant of the air column response can then be expressed as a function of the channel dimensions as shown in Figure 2, which demonstrates that a larger diameter or cross-section reduces response time of a long pressure transmitting microchannel significantly.

Figure 4: 600 µm wide and 400 µm deep micro-milled channels in a polycarbonate strip with a thickness of 0.5 mm. Channels are covered with a transparent, one-sided adhesive tape in order to obtain sealed channels.

This work was supported by MCCS Micro Center Central Switzerland. CSEM thanks them for their support.

Figure 2: Time constant (response time) as a function of channel [1] N. Schmid, et al., “Pressure Sensing Strip for Rapid diameter. Lines represent calculated data and dots show actual Aerodynamic Testing”, CSEM Scientific and Technical Report measured data. 2007, page 97

For experimental verification two fabrication approaches were followed: laser ablation and mechanical micromilling. Laser

105 Automated Optical Quality Control of Injection Moulded Micro Fluidic Devices J. Pierer, S. Grossmann, C. A. Bosshard Micro fluidic devices can be replicated by injection moulding. As subsequent processes such as surface functionalization are often expensive, it is important that low quality replicas are sorted out in advance. Diffraction of coherent light from nanostructures, replicated together with the fluidic micro structures, are used for automated optical quality control of moulded polymer parts. For years the market for microfluidic devices has been growing – slowly but steadily. Devices containing micro channel systems, micro pumps or reservoirs are mainly used in bio-chemical and medical applications. To be used in the above mentioned fields they need to be treated in order to functionalize the channels or to make them bio-compatible. The micro structures themselves are replicated by injection moulding making them cost effective for very large series. However, the subsequent treatment steps are usually expensive. Hence a stringent quality control of the mass produced structures is needed in order to avoid the post processing of low quality samples. This article shows, that diffraction of coherent light is a Figure 3: Typical diffraction pattern (plain) compared with theoretic suitable approach for automated optical quality control. As is values (clast) well known nanostructures diffract coherent light. The energy diffracted into the various orders, as well as the angular A typical intensity distribution is shown in Figure 3. Given in deviation of the orders, depend upon the structure width and Figure 4 are the diffraction measurement results compared to period as well as its quality, e.g. the height of the structures the quality of the replicated micro-structures (measured at and the edge shape. For tests a shim was produced by EPFL/IMT) for the different polymers and qualities. The data EPFL/IMT, 3DAG and INKA/FHNW containing both, micro and obtained from diffraction measurements correlate very well nanostructures. The shim, as well as the SEM image of a with the micro structure quality. Hence it is possible to nanostructure, are shown in Figure 1. implement the optical test in a production process to qualify the quality of the samples.

Figure 1: Nickel shim with micro structure and several nano structures for testing (right). SEM image of line structure (left).

Several different nanostructures have been implemented, like line and point patterns with various dimensions. For a selection of polymers (evaluated and provided by EMS Chemie), commonly used in medical applications, replicas of the shim have been produced by injection moulding

(INKA/FHNW). In order to compare low and high quality Figure 4: Comparison of diffraction measurements and quality of samples the parameters of the moulding process were varied. replicated micro-channel The diffraction pattern produced with a helium neon laser was measured with the setup shown in Figure 2. In conclusion, diffraction patterns from nanostructured polymer samples can be used for a fast automated optical quality control for mass production of micro fluidic and other devices. The developed solution is suitable for miniaturization and therefore can be directly integrated into production machines. This work was supported by Swiss-MNT and by CTI. CSEM thanks them for their support.

Figure 2: Test setup with schematic of beam propagation

106 Self Alignment assisted MEMS Packaging J. Taprogge, F. Beyeler •, A. Steinecker In the scope of the EU-funded project HYDROMEL, a self-alignment based technique for MEMS assembly has been developed. By loosening alignment requirements it has the potential of drastically reducing robotics requirements while at the same time allowing parallelization of the assembly process. After being manufactured, MEMS devices need to be attached purpose flip chip bonders implementations, the presented to a support substrate. In the case of the FemtoTools FT-S150 approach can be produced at a fraction of the cost. force sensors (Figure 1) are being used to verify the However, due to the fact that the new process imposes some developed process, the MEMS structures are attached to a constraints onto the design of the MEMS it might not be small interface PCB. A mechanical as well as an electrical suitable for every MEMS application. connection has to be established. To guarantee precise measurements, accurate positioning of the MEMS devices has to be guaranteed. Furthermore the fragile structures must not be damaged. In state of the art production, high precision, high speed flip chip bonders such as the SET FC250 are used.

Figure 2: Cross section of a solder connection between substrate (top) and MEMS chip (bottom) contact pads

Figure 1: A FT-S150 sensor that has been successfully mounted on the interface PCB. The white spot on the sensor is a leftover of the wafer manufacturing process.

The new method developed takes a different approach: MEMS chips and substrate are equipped with matching contact pads. During the assembly process the contact pads are aligned only coarsely by a robotic system. The corresponding pads of MEMS chip and substrate are connected by liquid solder. Then a self alignment process, caused by the capillary forces exerted by the solder, takes care of fine-aligning the pads and therefore also MEMS chip and substrate. Once the solder is cooled down a rigid Figure 3: On the bottom the wafer pre-heating table is depicted. connection is formed (Figure 2). Above that three identical substrate holding devices that are attached to a robotic actuator are located. The arrows are pointing onto the Since the presented process does not rely on precise robotic measuring tips of three MEMS chips that have just been separated alignment of MEMS device with respect to the substrate, it can from the wafer. The separation of the MEMS chips from the wafer is easily be parallelized: several MEMS devices are extracted assisted by suction needles that are reaching through the substrate. At this time heating of the solder is initiated. and bonded simultaneously by multiplying the substrate holding device (Figure 3). This work was supported by the European Commission via Testing three assemblies in parallel demonstrated the the project HYDROMEL (FP6 NMP2-CT-2006-026622). process. The amount of parallelization is only limited by the CSEM is thankful for this support. ratio of the size of the support substrate to the size of the wafer. It is this parallelization that allows the newly developed • process to compete with state of the art machines that have FemtoTools GmbH, Zürich, Switzerland much lower cycle times, but-because they need to fulfill the high alignment requirements solely by relying on robotics-take a serial approach. While not being as versatile as general

107 AC-electrokinetic Pump with Flow Rectifier for Unidirectional Flow T. Volden •, S. Berchtold, J. Auerswald, T. Heldal •, H. F. Knapp Electroosmotic micropumps driven in low-frequency AC mode to avoid hydrolysis and bubble formation have been combined with active microvalves to deliver a continuous, uni-directional flow of aqueous solutions and alcohols at low power consumption and with a compact form factor. Micropumps are increasingly required for miniaturized to avoid air bubbles, since they add compressibility to the systems involving analysis or consumption of liquids. Some system. A weakness of the diode bridge configuration is that a examples are micro fuel cells and portable lab-on-chip based small leak in a closed valve can inhibit the pressure build-up equipment. Electroosmotic pumps are of special interest, necessary to open the reciprocal valve. The passive nature of featuring low power consumption and pulse-free flow. the valve bridge also means that a misbehaving valve is not However, the working principle of driving electric current susceptible to re-establish a working condition by itself. through liquids often results in electrolysis and gas formation, The passive valves were therefore replaced by active valves. which have traditionally complicated or inhibited their use in Two-way (open-close) microvalves (LEE Hydraulische bubble-sensitive applications. Recent advances in Miniaturkomponenten GmbH) were tested in the same bridge electroosmotic micropumps by the company Osmotex in configuration as the passive valves. Rectified flow could be cooperation with CSEM have resulted in AC-operated obtained, however, intermittent leak flow in the closed state of micropumps with true gas-free operation. The combination of individual valves made this solution not reliable. To mitigate low driving current and hydrogen-storing electrodes enables these issues, three-way (one input, switchable A/B output) these pumps to recycle the hydrogen caused by initial microvalves (LEE Hydraulische Miniaturkomponenten GmbH) hydrolysis in such a way that further hydrolysis and formation were used in pairs instead (see Figure 1). This configuration of bubbles do not occur. both reduced the component count and improved the performance and reliability greatly. Active valves are either latching or they have to be kept powered in one of their states (normally-open or normally- closed). Since the switching frequency in this application is low, with normally symmetric phase durations, latching valves were chosen to keep total power consumption low. A valve control unit was designed to provide the necessary current pulses to actuate the valves. The unit contains a microcontroller which assures the pulse timings and current Figure 1: Pump system including flow rectifier drivers with reversible output (H-bridges) to the valves. The valve switching must be synchronized with the pump The minimal AC frequency is dependent on the liquid and the actuation, so the valve control unit senses the pump driving flow rate, essentially through the resulting electrical current polarity and switches valve direction accordingly. The control and the hydrogen storage capacity of the electrodes. For unit also has a USB interface for tuning timing parameters, or manufactured pumps generating flows up to 100 μl/min, at a for being controlled by a computer. The resulting flow from the few volts driving voltage, the optimal pumping period is from system can be seen in Figure 2. seconds for aqueous solutions to hours for alcohols. For some applications the resulting bidirectional flow in the pump can be μL/min used directly, especially since an asymmetric driving pulse can be applied. To also cater for remaining applications which 60 demand a continuous, unidirectional flow a valve system has been integrated with the pump to constitute a compact, high- performance pumping system. 40 To convert a bidirectional flow into a unidirectional one, a composition of check valves is applied analogue to a diode 20 bridge for rectifying electrical current. To investigate this approach, commercially available check valves were tested. 0 However, for small flow rates and low pressures their performance was not sufficient to rectify the flow reliably. Figure 2: Rectified flow (upper line) while pumping water. The driving direction of the pump is indicated by the current (lower line). A passive valve relies on the pressure difference over the valve to open or close, and the low flow rate implies that the The work was supported by CTI Swiss Commission for pressure builds up slowly after flow reversal. The pressure Technology and Innovation. CSEM is thankful for this support. build-up rate depends largely on the compressibility in the liquid system, which must be kept low by avoiding flexible tubes and joints within the pump system. Care has to be taken • Osmotex AG, Switzerland

108 Microfluidic Devices for Superparamagnetic Nanoparticle Handling J. Kaufmann, H. F. Knapp Functionalized superparamagnetic iron nanoparticles (SPION) are becoming increasingly important in various fields of modern medicine. They are used as biomarker carriers for disease detection, as contrast agents for MRI and even for drug delivery purposes. The handling of SPIONS during production, functionalization and post processing is challenging as they tend to coagulate and stick to most kinds of surfaces they encounter. Here a flow-through microfluidic system to immobilize SPION specifically on a surface, using magnetic forces, and release them again when a command is introduced. The advantage of this technique is that SPION in an immobilized state can be easily functionalized and processed without struggling with any coagulation phenomena. When the processing of the SPION is finished, the particles get eluted into the desired carrier fluid by introducing additional energy. By controlling the carrier fluid volume fraction between the immobilization and elution process, this method additionally allows a controlled change of particle concentration. The high gradient magnetic fields that are needed to trap the SPION’s are generated by placing small magnetizable structures in strong magnetic fields. The structures material should have a high magnetisation in external magnetic fields, Figure 3: Test setup for the microfluidic devices. Particle but only low remanence when the external field is removed. concentrations are determined via measuring power of transmitted laser light through the SPION colloid before and after the filter. These of course are both important characteristics for the trapping and the realising of SPION. Figure 1 shows a two In Figure 4 the measurement resulting from a retention and dimensional simulation of the relative magnetic field strength elution run of SPION colloid are shown. In a first step the that builds up in the vicinity of such a structure when placed in values of both sensors, before and after the filter, are an external homogeneous magnetic field. normalized to the transmission values through pure DI water. Then SPION colloid is pumped through the system: the value at sensor one drops whereas the value at sensor two does not change because practically all SPIONs are retained in the filter. For elution of the particles DI water is pumped through Figure 1: Norm of the vector Hx*dHx/dx + Hy*dHy/dy, directly the system, which is observed by the increase of transmitted proportional to the magnetic field strength. Source of the homogeneous magnetic field are two permanent magnets placed on light at sensor one. The elution of the SPIONs can be top and bottom of the structures Simulation and image provided by increased by additionally introducing a form of energy. The Prof. E. Casartelli group, CC Fluidmechanik, Hochschule Luzern für resulting increase of SPION concentration after the filter is Technik & Architektur, Switzerland. indicated by a significant drop of transmitted light at the second sensor. The best way to create high homogenous magnetic fields within distances of only a few millimeters is by using 105 permanent magnets. Figure 2 shows a prototype of a

) 100 microfluidic filter device sandwiched by two strong permanent - magnets. 95

90

85

1st Sensor, before filter Relative transmitted light( 80 Figure 2: Microfluidic device for separation, concentration and 2nd Sensor, after filter functionalization of SPION. The filter/reactor core is covered by two 75 permanent magnets. Outer dimensions: 52 mm x 36 mm x 20 mm. 0 1 2 3 4 5 Time (min) Filter chamber volume: 750 µl. Figure 4: Measurement curve showing transmitted light power before The successful operation of several prototypes of this and after the filter module. microfluidic device were tested at CSEM, Figure 3 shows the respective test setup. A peristaltic pump is used to control fluid This work is supported by the European Commission Seventh movement from individual sample or reagent containers, Framework Programme (project NanoDiaRA NMP4-LA-2009- through the devices into a collecting or waste container. 228929). CSEM thanks them for their support.

109 Modular System for Automated Sorting and Microinjection of Large Cells S. F. Graf, H. F. Knapp In pharmaceutical research or toxicity testing large single cells or small single organisms, such as Xenopus oocytes, Zebrafish larvae, or cell clusters, are becoming a new standard for replacing ethically questionable lab animal tests, while still providing biologically relevant information. As result, an increasing demand for automated solutions for cell sample preparation exists. The modular cell handling system presented here is a first worldwide for providing automated, high volume processing of large biological entities. By combining microfluidics with robotics and generic vision algorithms for achieving tasks such as storage, inspection, sorting, dosing, or microinjection, the automated systems drastically reduce the error rate and enhance the throughput compared to manual approach. Here two different module combinations are presented: the ZebraFactor, for placing Zebrafish eggs into multi-well plates and the XenoFactor, for sorting and injecting Xenopus laevis oocytes. In drug discovery, ADMET or toxicity testing often high In another example, termed XenoFactor, the same CellSorter numbers of large single cells or small single organisms, such is combined with the MicroInjector to automatically sort as Xenopus oocytes or Zebrafish embryos and larvae, are individual Xenopus oocytes, based on their quality, and dose used. Usually, these biological entities have to be singled out the viable ones into a dedicated microinjection carousel, from suspension, then subjected to some action, and finally where the oocytes are immobilized, microinjected, and finally analyzed. Currently, the main bottleneck is the cost-efficient collected in containers or, again, multi-well plates. The cycle removal of single unstained biological entities from a time for one oocyte is about 10 seconds compared to the suspension, which precedes all other steps. Either the manual 2 minutes (average for quality control, sorting, conventional manual removal significantly slows down the injecting and collecting). whole process or current automated removal methods make it These examples demonstrate two possible uses of the CSEM very expensive. The low-cost CellSorter module (Figure 1a) modular system. The savings in time and money enable uses CMOS-cameras and self-learning, generic vision researchers to concentrate on the characterization phase of algorithms for real-time analysis of individual, transparent or their experiments and to increase the statistical value of their opaque, and also fluorescently labelled biological entities with results. a size range of 200 to 2000 microns. These entities are circulated and stored in a semi-closed fluidic channel which allows continuous feeding with additional entities or exchange of fluid. The result of the vision algorithm triggers the actions a) b) of the compact fluidic system, containing miniature pumps and valves, in order to remove selected biological entities from the suspension. Criteria for the vision algorithm are easily set by the user and can consist of size, shape, colour, contrast but also more complex spatial combinations. Consequently, single biological entities are delivered-on-demand to a subsequent system, which makes the cost-efficient CellSorter module ideally suitable for integration into an automated process. Another bottleneck is the efficient transfection of the biological entities. Here the most efficient technique is microinjection. This method, however, lacks throughput, because it is mainly c) done manually, one entity at a time. The CelIinjector (Figure 1b) increases the throughput of microinjection thanks to the carousel principle. Here, the immobilization, injection and release of subsequent entities is performed simultaneously and accompanied by a constant vision-based quality control. Figure 1: a) CellSorter for large transparent or opaque cells; Finally, to bring the biological entities in a working format for b) CelIinjector for large cells; c) PlateFeeder for placing individual other laboratory automation machines, a PlateFeeder was cells into single wells of a multiwell plate. developed (Figure 1c) which uses novel bi-stable pinch valves for dispensing individual cells into the wells of a multiwall plate. In a first example, termed ZebraFactor, the CellSorter is combined with the PlateFeeder to demonstrate sorting of wild type and transgenic Zebrafish embryos, with and without chorion, into 96 multi-well plates. This is accomplished in only 9 minutes (less than 6 seconds per embryo), with a survival rate comparable to the control (6.6% control, 7.6% cell sorter) .

110 Low Temperature Hermetic Sealing for Implantable Medical Systems R. Jose James, G. Spinola Durante, C. A. Bosshard, J. Parker • Hermetic sealing of intelligent long term medical implantable devices is an expensive process and has not changed for decades. The main bottleneck for significant advancements is the limited number of materials that can be used and the need for low temperature processes which are compatible to the electronics that have to be sealed. Miniaturization of these devices has also been limited due to lack of innovative technologies in low temperature biocompatible hermetic sealing. For long term implantable medical devices, all the materials The key aspects of the selected sealing technology are: that come into contact with the human body must be • All materials used were certified by regulating biocompatible and should have long-term bio-stability. The organisations for long-term biocompatibility materials that can be used are limited to materials such as some ceramics, some metals like titanium and some • CMOS compatible technology biocompatible glasses [1]. This means that intelligent chips and • Reduced costs and increased miniaturization packages which are usually fabricated using materials like silicon, silicon oxide, silicon nitride and some non- The sizes of packages tested ranged from 500 µm to 3 mm biocompatible metals need to be packaged so that there is no square. Hermetic sealed samples were produced with these direct contact of these materials with the human body. technologies as can be seen in Figure 2 and Figure 3. Therefore, they should be hermetically sealed using long term biocompatible materials. In addition, hermetic feedthroughs using only biocompatible materials are required for the electrical interconnections. Hermetic sealing for long term implantable devices based on laser welding of titanium boxes is a standard but expensive process which cannot be miniaturized easily, the technology neither has changed for decades. The main bottle neck to make smaller, less expensive devices is the limited number of Figure 2: Transparent cap bonded on substrate (bonding area is the dark ring) biocompatible materials that can be used and the availability of innovative low temperature hermetic sealing processes using these materials. Hermetic sealing technologies used in MEMS and sensor packaging like soldering, glass frit bonding, fusion bonding, and anodic bonding produce miniature, low cost packages. Also anodic bonding is more and more used for biocompatible hermetic sealing for research purposes. However, none of the above mentioned hermetic sealing technologies have been certified to be long term biocompatible. Figure 3: Cross section of sealed sample The objective of the study here was to devise innovative ways Helium leak tests carried out confirmed hermeticity with leak to make small hermetic sealed packages which are generic, rates <10-12 mbar-l/s. Cross sections revealed a very good but with a specific focus for the application of implantable bond interface as can be seen in Figure 2. Shear strengths in spinal cord stimulators (Figure 1). the range of 50-100 MPa were achieved. Further developments are planned to make the processes more repeatable and manufacturable. This work was supported by the CTI Commission for Technology and Innovation Switzerland and MCCS Micro Center Central Switzerland. CSEM thanks them for their support.

Figure 1: Target is reduction of implants in volume by a factor of 10 • Nicta, Australian Technology Park, Level 5, 13 Garden Street, Eveleigh NSW 2015, Australia Such micro-packages can be used as intelligent electrodes of [1] B. D. Ratner, A. S. Hoffmann, F. J. Shoen, “An Introduction to the stimulator which gives the possibility for recording and Materials in Medicine”, Biomaterials Science, Elsevier Science, stimulating with the same electrode. 1996, 137-170 In this work, two different innovative solutions for miniaturized, long term biocompatible hermetic sealing technologies were selected and developed further.

111 Contactless Gripper with Ultrasonic Air Cushion F. Depetris, P. Glocker In microassembly, high precision handling of small planar objects still remains a challenge, owing to surface forces between object and tool which cause releasing problems and physical damage to fragile components. The contactless microgripper presented here allows the manipulation of micro-parts without any mechanical contact, thus avoiding the side effects of surface forces. The microgripper enables high precision, non-contact pick and place operations of small planar parts regardless of the material. The size of a device decreases, microassembly becomes the most expensive operation, due to the difficulties of automation. The classical assembly processes are no longer usable for and dimension. This phenomenon provides high precision in very small components, in the range of 10 μm to 10 mm, placing operations. owing to the problem of the adhesion forces between the A pressure sensor die MS7310D of square shape with a side component and the gripper. This effect makes it difficult to length of 2.4 mm has successfully been used as reference release a part once gripped, leading to extremely low object for the non-contact manipulation in this project. precision in the positioning phase of the object. Furthermore, the handling and mounting forces at microscale dimensions induce a high surface pressure causing damage or destruction of the handled part. At the same time each contact generates particles, which negatively affect the production quality. A possible solution consists in levitating the handled micro- component. This is realized by the presented microgripper, which is able to move a planar part without any mechanical contact to the object [1].

Figure 2: Realized micro-gripper and pressure sensor die (left), FEM micro-gripper structure (right)

Pick and place operations have been performed and improved, until reaching high speeds of movement. They confirm the high quality and reliability of the non-contact gripper.

Technical impact • Non-contact handling of small planar parts made of any kind of material • High accuracy in placing operations • Auto-centering effect

Figure 1: Ultrasonic-Vacuum micro-gripper working principle (left) Application & Markets and device during operation (right) • Semiconductor wafer and components The working principle of this technology, shown in Figure 1, • Solar cells and modules implies the use of near-field acoustic pressure, which repels • the planar object from the gripper surface, while a low Scratch sensitive components, watch making industries pressure vacuum force is used to attract the object to the This work was supported by MCCS Micro Center Central gripper surface. The study of the ultrasonic acoustic Switzerland. CSEM thanks them for their support. pressure [2] (Figure 2) provides the specifications for the design of the high power ultrasonic transducer [3]. A channel system has to be implemented inside the ultrasonic [1] F. Depetris, "Ultrasonic-Vacuum Micro-Gripper", Master Thesis, transducer to allow the presence of the vacuum attractive Master’s Degree in Micro & Nanotechnology Polytechnic of force at the gripper output surface. Torino-Grenoble-Lausanne, 2010 [2] B.-T. Chu, R. E. Apfel, "Acoustic Radiation Pressure Produced An equilibrium point between the repulsive and attractive force by a Beam Sound", Journal of acoustic soc, Am 72, Dec.1982, allows the object to levitate 50 μm below the gripper tip, and 1673-1687 consequently enables the non-contact manipulation. [3] A. Abdullah, M. Shahini, A. Pak. " An Approach to Design a high Power Piezoelectric Ultrasonic Transducer", Journal of Moreover, an auto-centring effect appears when the gripper Electrocertamics, 22, Nb4, 2008, 369-382 tip and the object to be lifted portray roughly the same shape

112 ANNEXES Publications [1] T. Baechler [8] O. Chételat, A. Fürer, A. Giannakis "Von den Ursprüngen der Chronofotografie zu "Innover par convergence d’excellences, digitalen CMOS-Hochgeschwindigkeitsbildsensoren" Développement d’un système pour le monitoring Laser+Photonik, 5, October 2010, 38-41 des signaux physiologiques" SEV Association pour l'électrotechnique, les [2] M. Belleville, H. Fanet, P. Fiorini, P. Nicole, technologies de l'énergie et de l'information, M. J. M. Pelgrom, C. Piguet, R. Hahn, C. Van Hoof, (Numéro spécial ITG), October 2010, 20-24 R. Vullers, M. Tartagni, E. Cantatore "Energy autonomous sensor systems: towards a [9] M. Contaldo, B. Banerjee, D. Ruffieux, J. Chabloz, ubiquitous sensor technology" E. Le Roux, C. C. Enz. Special Issue Microelectronics Journal, 41 (11), "A 2.4-GHz BAW-Based Transceiver for Wireless November 2010, 740-745 Body Area Networks" IEEE Transactions on Biomedical Circuits and [3] L. Benini, A. Bocca, A. Bonanno, A. Macii, E. Macii, Systems, 4 (6), December 2010, 391-399 J. L. Nagel, C. Piguet, M. Poncino, "A Refinement Methodology for Clock Gating [10] H. Cruz, S. H. Böhm Optimization at Layout Level in Digital Circuits" "Report from the Concertation and Consultation Journal of Low Power Electronics, 6 (1), April 2010, Workshop on Micro-Nano-Bio Convergence 44-55 Systems (MNBS)" mstnews, October 2010, 32-33 [4] S. H. Böhm, G. Tusman, F. Suarez Sipmann, G. Hedenstierna [11] J.-D. Decotignie, C. C. Enz, V. Peiris, M. Hübner "Lung Recruitment and PEEP Improve CO2 "WiseNET: An Ultra Low-Power Concept for Elimination in a Lavage Model of Acute Lung Injury" Wireless Sensor Networks" Anesth Analg, 111 (4), October 2010, 968-977 Technisches Messen - Platform für Methoden, Systems und Anwendungen in der Messtechnik, [5] S. H. Böhm, G. Tusman, F. Suarez Sipmann, 77 (2), February 2010, 107-112 J.-B. Borges, G. Hedenstierna "Slope of Volumetric Capnograms Correlates with [12] J. R. Farserotu, J. F.M. Gerrits, J. Rousselot V/Q Dispersion in Acute Lung Injury Model" "Low power and robust PHY-MAC solution for Anesthesiology 2010, San Diego (US), Medical BAN" October 2010 EICE TRANSACTIONS on Communications, E93-B (4), April 2010, 802-810 [6] M. Bonani, V. Longchamp, S. Magnenat, P. Rétornaz, D. Burnier, G. Roulet, F. Vaussard, [13] A. Giannakis, G. Kotrotsios, C. Stricker (2010) H. Bleuler, F. Mondada "Strategy deployment of High technology companies "The MarXbot, a Miniature Mobile Robot Opening entering the consumer sports electronics" new Perspectives for the Collective-robotic E. F. Moritz and S. Haake (Eds.), The Engineering Research" of Sports 6, (pp. 22-29), New York:Springer, International Conference on Intelligent Robots and ISBN-13: 978-0387-34680-9 Systems (IROS),2010, Taipei (TW), October 2010, 4187-4193 [14] J. F. M. Gerrits, M. Danesh, Y. Zhao, Y. Dong, G. van Veenendaal, J. R. Long, J. R. Farserotu [7] J. Charmet, O. Banakh, E. Laux, B. Graf, F. Dias, "System and Circuit Considerations for Low- A. Dunand, H. Keppner, G. Gorodyska, M. Textor, Complexity Constant-Envelope FM-UWB" W. Noell, N. F. de Rooij, A. Neels, M. M. Dadras, International Symposium on Circuits and Systems A. Dommann, H. F. Knapp, Ch. Borter, M. Benkhaira ISCAS 2010, Paris (FR), June 2010, 3300-3303 "Solid on liquid deposition" Thin Solid Films, 518 (18), July 2010, 5061-5065 [15] I. Glowacki, Z. Szamel "The nature of trapping sites and recombination centres in PVK and PVK–PBD electroluminescent matrices seen by spectrally resolved thermoluminescence" J. Phys. D: Appl. Phys., (43), July 2010, 295101-295110

113 [16] C. A. Griffiths, S. Bigot, E. Brousseau, M. Worgull, [24] S. Lani, M. Canonica, D. Bayat, C. Ataman, M. Heckele, J. Nestler, J. Auerswald W. Noell, N. de Rooij "Polymer inserts tooling for prototyping of micro "3D Assembly Using Au-Si Eutectic and Au-Au fluidic components in micro injection moulding" Thermocompression Wafer Level Bonding for International Journal of Advanced Manufacturing M(O)EMS Device Fabrication" Technology, 47, February 2010, 111-123 ECS transactions, 33 (4), October 2010, 37-46

[17] M. Guillaumée, A. Yu Nikitin, M. J. K. Klein, [25] M.-C. M. Lee, M. Wu, D. Leuenberger (2010) L. A. Dunbar, V. Spassov, R. Eckert, "MEMS-Tuned Microresonators" L. Martin-Moreno, F. J. Garcia-Vidal, R. P. Stanley W.T. Rhodes (Eds.), Photonic Microresonator "Observation of enhanced transmission for s- Research and Applications (pp. 459-484), Springer polarized light through a subwavelength slit" Science + Business Media, ISBN: 978-1-4419-1743 Optics Express, 18 (9), April 2010, 9722-9727 [26] D. Leuenberger, M. Ramuz (2010) [18] G. S. Iotti, A. Polito, M. Belliato, D. Pasero, "The Potential of Polymer Photonics for Microflow G. Beduneau, M. Wysocki, J. X. Brunner, Cytometry" A. Braschi, L. Brochard, J. Mancebo, V. M. Ranieri, F. S. Ligler, J.S. Kim (Eds.), The Microflow J-C. M. Richard, A. S. Slutsky Cytometer (pp. 159-175), Pan Stanford Publishing, "Adaptive support ventilation versus conventional ISBN: 978-981-4267-41-0 ventilation for total venitlatory support in acute respiratory failure" [27] A. Maccione, M. Gandolfo, M. Tedesco, T. Nieus, Intensive Care Med, 36(8), May 2010, 1371-1379 K. Imfeld, S. Martinoia, L. Berdondini "Experimental investigation on spontaneously active [19] D. Keene, I. Zimmermann, A. Neels, O. Sereda, hippocampal cultures recorded by means of high- J. Hauser, M. Bonin, M. B. Hursthouse, S. Decurtins density MEAs: analysis of the spatial resolution "Heterocyclic amine directed synthesis of metal(ii)- effects" oxolates : Investigating the magnetic properties of Frontiers in Neuroengineering, 3, May 2010 two complete series of chains with S = 5/2 to S = 1/2" [28] S. Magnenat, P. Rétornaz, M. Bonani, Dalton Transactions, (39), May 2010, 4937-4950 V. Longchamp, F. Mondada "ASEBA: A Modular Architecture for Event-Based [20] T. Keene, I. Zimmermann, A. Neels, O. Sereda, Control of Complex Robots" J. Hauser, S.-X. Liu, S. Decurtins IEEE/ASME Transactions on Mechatronics, 16 (2), "Crystal Engineering of a Series of Arylammonium March 2010, 321-329 Copper (II) Malonates" Crystal Growth & Design, (10), May 2010, 1854- [29] G. Martucci, R. Matthey, V. Mitev, H. Richner 1859 "Frequency of Boundary-Layer-Top Fluctuations in Convective and Stable Conditions Using Laser [21] M. J. K. Klein, M. Guillaumée, B. Wenger, Remote Sensing" L. A. Dunbar, J. Brügger, H. Heinzelmann, R. Pugin Boundary-Layer Meteorology, 135 (2), February "Inexpensive and fast wafer-scale fabrication of 2010, 313-331 nanohole arrays in thin gold films for plasmonics" Nanotechnology, 21, April 2010, 205301-205308 [30] G. Matmon, D. G. Paul, L. Lever, M. Califano, Z. Ikonic, R. W. Kelsall, J. Zhang, D. Chrastina, [22] C. Kottler, V. Revol, R. Kaufmann, C. Urban G. Isella, H. von Kaenel, E. Mueller, A. Neels "Dual energy phase contrast X-ray imaging with "Si/SiGe quantum cascade superlattice designs for Talbot-Lau interferometer" terahertz emission." Journal of Applied Physics, Vol.108 (Issue 11), Journal of Applied Physics, (107), April 2010, December 2010, 114906 053109/1 - 053109/7

[23] A. Krueger, A. Neels, M. Albrecht [31] A. Meister, P. Ellmark, J. Bitterli, M. Liley, "Rhodium-mediated activation of an alkane-type C-H C. Wingren, C. A. K Borrebeack, H. Heinzelmann bond" "NADIS: A Tool for Writing Antibody Nanoarrays" Chemical Communications, 46 (2), March 2010, MST News, 1, April 2010, 15-16 315-317

114 [32] S. Pasche, B. Wenger, R. Ischer, M. Giazzon, [42] O. Schleusing, R. Vetter, P. Renevey, J.-M. Vesin, S. Angeloni, G. Voirin V. Schweizer (2010) "Integrated optical biosensor for in-line monitoring of "Prosodic Speech Restoration Device: Glottal cell cultures" Excitation Restoration using a Multi-Resolution Biosensors & Bioelectronics, 26 (4), Approach" December 2010, 1478-1485 A. Fred, J. Filipe, H. Gamboa (Eds.), Biomedical Engineering Systems and Technologies (pp. 17- [33] C. Perroto 188), Heidelberg:Springer, ISBN:978-3-642-18471-7 "Horological time bases" Horological Times, November 2010, 20-27 [43] O. Schleusing, R. Vetter, P. Renevey, J.-M. Vesin, V. Schweizer [34] C. Piguet "Device for Prosodic Speech Restoration" "Loi de Moore; quand s’arrêtera-t-elle ? " International Conference on Biomedical Electronics Flash informatique, 10, December 2010 and Devices BioDevices 2010, Valencia (ES), January 2010 [35] C. Piguet "Quartz Watches. What does the future hold?" [44] J. M. Solà I Carós, O. Chételat, C. Sartori, Horological Times, September 2010, 6-11 Y. Allemann, S. F. Rimoldi [36] C. Piguet, R. Reis, D. Soudris (2010) "Chest Pulse Wave Velocity: a Novel Approach to "VLSI-SoC: Design Methodologies for SoC and SiP", Assess Arterial Stiffness" C. Piguet, R. Reis, D. Soudris (Eds.), Springer, IFIP IEEE Transactions on Biomedical Engineering, Advances in Information and Communication 58 (1), September 2010, 215-223 Technology, ISBN 978-3-642-12266-8 [45] J. M. Solà I Carós, S. F. Rimoldi, Y. Allemann (2010) [37] A.-M. Popa, S. Angeloni, T. Bürgi, J. A. Hubell, "Ambulatory monitoring of the cardiovascular H. Heinzelmann, R. Pugin system: the role of Pulse Wave Velocity" "A Dynamic perspective on the functioning of smart D. Campolo (Eds.), New Developments in Thermo-responsive nanopores from in situ AFM and Biomedical Engineering (pp. 391-424), InTech, ATR-IR investigation" ISBN: 978-953-7619-57-2 Langmuir, 26, October 2010, 15356-15365 [46] A. Steinecker (2010) [38] C. Proff, S. Abolhassani, M. M. Dadras, "Hybrid Assembly for Ultra-Precise Manufacturing" C. Lemaignan S. Ratchev (Eds.), Precision Assembly Technologies "In situ oxidation of zirconium binary alloys by and Systems, (pp. 89-96), Berlin:Springer, environmental SEM and analysis by AFM, FIB, and 10: 3-642-11597-7 TEM" Journal of Nuclear Materials, 404, September 2010, [47] D. Thevenet, R. Neier, O. Sereda, A. Neels, 97-108 H. Stoeckli-Evans "1,4-Bis(hex-yloxy)-2,5-diiodo-benzene." [39] M. Ramuz, D. Leuenberger, L. Bürgi Acta Cryst., (E66), April 2010, 0837-0838 "Optical Biosensors Based on Integrated Polymer Light Source and Polymer Photodiode" [48] G. Tusman, S. H. Böhm Journal of Polymer Science: Part B: Polymer "Prevention and reversal of lung collapse during the Physics, 49 (1), August 2010, 80-87 intra-operative period. " Best Practice & Research Clinical Anaesthesiology [40] V. Revol, C. Kottler, R. Kaufmann, U. Straumann, 2010, 24 (2), November 2010, 183-197 C. Urban "Noise analysis of grating-based X-ray differential [49] L. A. Vieira, M. Doebeli, A. Dommann, phase contrast imaging" E. Kalchbrenner, A. Neels, J. Ramm, H. Rudigier, Review of Scientific Instruments, 81 (7), July 2010, J. Thomas, B. Wirdrig 073709 "Approaches to influence the microstructure and the properties of Al-Cr-O layers synthesized by cathodic [41] J. Rousselot, J.-D. Decotignie arc evaporation." "An ultra-wideband impulse radio PHY layer model Surface and Coatings Technology, (204), for network simulation" February 2010, 1722-1728 Simulation, 87 (1), December 2010

115 [50] G. Weder, N. Blondiaux, M. Giazzon, N. Matthey, [53] C. Yi, C. Blum, M. Lehmann, S. Keller, S.-X. Liu, M. Klein, R. Pugin, H. Heinzelmann, M. Liley G. Frei, A. Neels, J. Hauser, S. Schurch, "Use of Force Spectroscopy to Investigate the S. Decurtins Adhesion of Living Adherent Cells" "Versatile Strategy To Acess Fully Functionalized Langmuir, 26 (11), January 2010, 8180-8186 Benzodifurans : Redox-Active Chromophores for the Construction of Extended -Conjugated Materials" [51] G. Weder, O. Guillaume-Gentil, N. Matthey, J. Org. Chem., (75), April 2010, 3350-3357 F. Montagne, H. Heinzelmann, J. Vörös, M. Liley "The quantification of single cell adhesion on [54] H. Zhang, G. Scalari, J. Faist, L. A. Dunbar, functionalized surfaces for cell sheet engineering" R. Houdré ScienceDirect Biomaterials, 31, June 2010, "Design and fabrication technology for high 6436-6443 performance electrical pumped terahertz photonic crystal band edge lasers with complete photonic [52] M. Wiatrowski, E. Dobruchowska, W. Maniukiewicz, band gap" U. Pietschc, J. Kowalski, Z. Szamel, J. Ulański Journal of Applied Physics, 108, November 2010, "Self-assembly of Perylenediimide Based 093104-1 - 093104-6 Semiconductor on Polymer Substrate" Thin Solid Films, 518, January 2010, 2266–2270

Proceedings [1] M. Altmeyer, A. Koller-Hodac, S. Walpen, E. Krüttli, [7] M. Contaldo, D. Ruffieux, C. C. Enz M. Lüthy, F. Kehl, J. Auerswald ''A 5.4 dBm 42 mW 2.4 GHz CMOS BAW-based "Hybrid Robot Gripper and Tools for Handling and Quasi-Direct Conversion Transmitter'' Bonding Micro Fluidic Chips" 2010 IEEE International Solid-State Circuits 41st International Symposium on Robotics, ISR Conference Digest of Technical Papers (ISSCC), 2010, München (DE), June 2010 San Fransisco (US), February 2010, 498-499

[2] V. Balasubramanian, A. Heragu, C. C. Enz [8] N. Chiurtu "Analysis of Ultralow-Power Asynchronous ADCs" "Space-Frequency Transmit Diversity in Multi-User International Symposium on Circuits and Systems Multiple Antenna Systems" ISCAS 2010, Paris (FR), June 2010, 3593-3596 International Conference on Wireless and Mobile Communications (ICWMC 2010), Valencia (ES), [3] B. Banerjee, C. C. Enz, E. Le Roux 20-25 September 2010, 238-243 "Detailed Analysis of a Phase ADC" International Symposium on Circuits and Systems [9] A. Dommann, A. Neels, A. Schifferle, E. Mazza ISCAS 2010, Paris (FR), June 2010, 4273-4276 "In-situ MEMS Testing" TechConnect World Conference & Expo 2010, [4] B. Banerjee, C. C. Enz, E. Le Roux Anaheim, CA (US), June 2010, 862 "A 290µA, 3.2MHz 4-bit phase ADC for constant envelope, ultra-low power radio" [10] S. Follonier NORCHIP 2010, Tampere (FI), November 2010, 1-4 "Microfluidics: Hype or Business?" EPMT Lausanne, Lausanne (CH), June 2010 [5] D. L. Boiko, P. P. Vasil’ev "Dicke Superradiance in GaN Quantum Wells" [11] E. Franzi IEEE International Semiconductor Laser Conference "IcyCAM: Development of low cost, low power (ISLC 2010), Kyoto (JP), September 2010, 103-104 decision making vision systems" Image Sensor Europe 2010, London (UK), [6] J. X. Brunner, P. Seitz, H. Zepik, O. Guenat, March 2010 A. Willi-Nebiker "Introduction and X-ray Imaging" [12] M. Fretz, N. Schmid, H. F. Knapp, C. A. Bosshard Schnuppertag for Teenagers interested in Scientific "Highly integrated novel flow sensors'" and Technical Professions, Landquart (CH), Smart System Integration (SSI 2010), Como (IT), March 2010 March 2010, 12

116 [13] M. Gandolfo, A. Maccione, M. Tedesco, T. Nieus, [21] I. Jerjen, V. Revol, C. Kottler, T. Lüthi, K. Imfeld, S. Martinoia, L. Berdondini U. Sennhauser, R. Kaufmann, C. Urban "Investigating neuronal networks dynamics in "Phase Contrast Cone Beam Tomography with an hippocampal cultures by means of high-density X-ray Grating Interferometer" CMOS-MEAs" Int. Conf. on Advanced Phase Measurement MEA Meeting 2010, Reutlingen (DE), June 2010 Methods in Optics and Imaging, Ascona (CH), May 2010, 227 [14] P. K. Gąsiorski, J. Konopka, Z. Szamel, D. Leuenberger [22] B. Kheradmand Boroujeni, C. Piguet, Y, Leblebici "Zintegrowane mikrosystemy elektro-mechaniczne "AVGS-Mux Style : A Novel technology and device (MEMS) w konstrukcjach obuwia inteligentnego" Independent technique for Reducing Power MAT-ECO-SHOES 2010, Cracow (PL), Consumption and Compensating Process variations November 2010, 40-47 in FPGA Fabrics " Design, Automation & Test in Europe Conference & [15] J. F. M. Gerrits, J. Rousselot, J. R. Farserotu, Exhibition (DATE, 2010), Dresden (DE), J.-D. Decotignie, J. Long March 2010, 339-344 "FM-UWB and WiseMAC-HA for Medical BAN Applications" [23] I. Kjelberg International Symposium on Applied Sciences in "Structural Analysis: Going Beyond Standard Load Biomedical and Communication Technologies Cases" (ISABEL), Rome (IT), November 2010 COMSOL Conference 2010, Paris (FR), November 2010 [16] J. F. M. Gerrits, R. Vetter, J. R. Farserotu, C. Hennemann, M. Hübner, J.-D. Decotignie [24] A. Kokonozi, A. Astaras, P. Semertzidis, E. Michail, "A Low-Complexity C-Band Radar for Non-invasive D. Filos, I. Chouvarda, O. Grossenbacher, Respiration Measurement" J.-M. Koller, L. Rossini, J.-A. Porchet, M. Correvon, International Symposium on Applied Sciences in J. Luprano, A. Sipilä, C. Zamboulis, N. Maglaveras Biomedical and Communication Technologies "Development and clinical evaluation of a (ISABEL), Rome (IT), November 2010, 1-5 physiological data acquisition device for monitoring and exercise guidance of heart failure and chronic [17] P. Glocker, A. Codouray heart disease patients" "Asyfeed Pocket: flrxibles Miniatur-Zuführsystem Computing in Cardiology, Belfast (IE), von Kleinstteilen" September 2010 Internationals Forum Mechatronik, Winterthur (CH), November 2010, 23 [25] J. Krauss, O. Chételat, A. Ridolfi "Remote human vital signs monitoring of Concordia [18] S. Henein crew" "Flexures: simply subtle" Space Medicine, Berlin (DE), October 2010 MEDSI-6, Oxford (UK), July 2010, 1-5 [26] E. Le Roux, N. Scolari, B. Banerjee, C. Arm, [19] S. Henein, P. Spanoudakis, P. Schwab, I. Kjelberg, P. Volet, D. Sigg, P. Heim, J.-F. Perotto, F. Kaess, L. Giriens, L. Dassa N. Raemy, A. Vouilloz, D. Ruffieux, M. Contaldo, "Flexure-based pointing mechanism with sub- F. Giroud, D. Séverac, M. Morgan, S. Gyger, microradian resolution for the Laser Interferometer C. Monneron, T.-C. Le, C. Henzelin, V. Peiris, Space Antenna" B. Perrin Euspen International Conference, Delft (NL), "Integrated Wireless SoCs for Wireless Sensor June 2010 Networks " Wireless Congress, Münich (DE), November 2010 [20] A. Heragu, V. Balasubramanian, C. C. Enz "A Multiband Concurrent Sampling based RF Front End for Biotelemetry Applications" International Symposium on Circuits and Systems ISCAS 2010, Paris (FR), June 2010, 2948-2951

117 [27] E. Le Roux, N. Scolari, B. Banerjee, C. Arm, [35] A. Prorok, A. Arfire, A. Bahr, J. R. Farserotu, P. Volet, D. Sigg, P. Heim, J.-R. Perotto, F. Kaess, A. Martinoli N. Raemy, A. Vouilloz, D. Ruffieux, M. Contaldo, "Indoor Navigation Research with the Khepera III" F. Giroud, D. Severac, M. Morgan, S. Gyger, 2010 International Conference on Indoor Positioning C. Monneron, T.-C. Le, C. Henzelin, and Indoor Navigation (IPIN), Zurich (CH), V. Peiris September 2010 "A 1V RF SoC with an 863-to-928MHz 400kb/s radio and a 32b Dual-MAC DSP core for Wireless Sensor [36] V. Revol, C. Kottler, R. Kaufmann, I. Jerjen, T. Lüthi, and Body Networks" F. Cardot, P. Niedermann, U. Sennhauser, 2010 IEEE International Solid-State Circuits U. Straumann, C. Urban Conference Digest of Technical Papers (ISSCC), "Application-Oriented X-ray Grating Interferometer" San Fransisco (US), February 2010, 464-465 Int. Conf. on Advanced Phase Measurement Methods in Optics and Imaging, P. Rastogi, E. Hack, [28] R. Lockhart, R. P. Stanley, M. Tormen Ascona (CH), May 2010, 213 "Pure Piston Motion of Optically Flat Micromirrors in a Fully Programmable Micro Diffraction Grating" [37] A. Ridolfi, R. Vetter, J. M. Solà I Carós, C. Sartori International Conference on Optical MEMS and "Physiological Monitoring System for High Altitude Nanophotonics (Opt MEMS), Sapporo (JP), Sports" August 2010, 103-104 Procedia Engineering, 4 (2), 8th Conference of the International Sports Engineering Association (ISEA), [29] S. Magnenat, P. Schoeneich, F. Rochat, Vienna (AT), July 2010, 2889-2894 P. Rétornaz, M. Bonani, V. Longchamp, M. Voelkle, T. Barras, D. Burnier, P. Noirat, T. Baaboura, [38] A. Ridolfi, R. Vetter, J. M. Solà I Carós, J. Krauss F. Vaussard, F. Mondada "Überwachen von physiologischen Parametern für "Autonomous Construction by a Mobile Robot in Outdoor-Sportarten / Physiological Monitoring Unknown Environments with Scarce Resources" System for Outdoor Sports " CogSys2010, Zürich (CH), January 2010 BMT, Rostock (DE), October 2010

[30] S. Magnenat, V. Longchamp, M. Bonani, [39] L. Rossini, E. Onillon, O. Chételat, C. Allegranza P. Rétornaz, P. Germano, H. Bleuler, F. Mondada "Electromagnetic Force Simulations on a Reaction "Affordable SLAM through the Co-Design of Sphere for Satellite Attitude Control" Hardware and Methodology" COMSOL Conference 2010, Paris (FR), 2010 IEEE International Conference on Robotics November 2010 and Automation (ICRA), Anchorage (US), May 2010, [40] J. Rousselot, J.-D. Decotignie 5395-5401 "On the best way to cut a body area network's wires" [31] V. Mitev, R. Matthey IEEE International Conference on Communications - "Consistency between backscatter lidar products ICC 2010, Cape Town (SA), May 2010, 1-5 and visibility range" [41] J. Rousselot, J.-D. Decotignie 25th International Laser Radar Conference, "When Ultra Low Power meets High Performance: St Petersburg (RU), July 2010, 1371-1374 The WiseMAC High Availability Protocol" [32] M. Morgan, S. Gray, J.-L. Nagel 8th ACM Conference on Embedded Networked "icyflex: an ultra-low power DSP core for portable Sensor Systems (SenSys 2010), Zürich (CH), applications" November 2010, 441-442 IP-SOC 2010, Grenoble (FR), November 2010 [42] D. Ruffieux, M. Contaldo, J. Chabloz, C. C. Enz [33] A. Neels, A. Dommann "Ultra Low Power and Miniaturized MEMS-based "Advanced in- and out-off plane high resolution Radio for BAN and WSN Applications" X-ray strain analysis on MEMS" ESSCIRC, Seville (ES), September 2010 TechConnect World Conference & Expo 2010, [43] A. Schifferle, A. Dommann, A. Neels, E. Mazza Anaheim, CA (US), June 2010, 182-185 "In-situ MEMS testing" [34] T. Offermans, J. Schleuniger, G. Nisato Nanotech Conference & Expo 2010 : An "Inkjet Printing of Polymer Solar Cells" Interdisciplinary Integrative Forum on Large-area, Organic and Printed Electronics Nanotechnology, Biotechnology and Convention LOPE-C, Frankfurt (DE), June 2010, Microtechnology, Anaheim (US), June 2010, 99-102 165-168

118 [44] P. Seitz [50] Z. Szamel, A. Von Mühlenen, F. Zanella, G. Nisato "CSEM Division Nanomedicine and Collaboration "Badanie stabilności parametrów Tranzystorów Opporutinities with NTB" Organicznych" Seminar of the University of Applied Sciences NTB Kryształy Molekularne 2010, Wrocław - Polanica Buchs, Landquart (CH), March 2010 Zdrój (PL), September 2010

[45] P. Seitz, S. Follonier, J. X. Brunner, O. Guenat, [51] A. von Mühlenen, H. Walter, G. Basset, S. Cattaneo T. Beierlein, G. Nisato "The CSEM Model for Value Creation implemented "Combinatorial Approach for Fast Screening" with its Nanomedicine Division in Landquart" International Conference on Organic Electronics Open Forum of the CVP Cantonal Party for (ICOE), Paris (FR), June 2010 interested Citizens, Landquart (CH), March 2010 [52] R. Wyss [46] P. Seitz, S. Follonier, J. X. Brunner, O. Guenat, "Tileye - Inspection of Implant Surfaces" S. Cattaneo 2nd MEET THE EXPERT Material- und "The Economic Impact of CSEM's Nanomedicine Oberflächentechnologie für Implantate, Interlaken Division on the Alpine Rhine Valley" (CH), May 2010 Meeting of the FDP Regional Party, Landquart (CH), March 2010 [53] H. Zhan J-Y. Le Boudec, J. Ayadi, J. R. Farserotu "Ziv-Zakai Lower Bound for Impulse Radio Ultra- [47] J. M. Solà I Carós, O. Chételat, M. Bertschi, WideBand Ranging Error Based on Geometry of S. F. Rimoldi Indoor Environments " "Reflective photo-plethysmography for the non- 2010 IEEE International Conference on invasive unsupervised measurement of arterial Communications (ICC), Cape Town (SA), stiffness" May 2010, 1-5 Swiss Laser - Biomedical Photonics, Bern (CH), November 2010 [54] H. Zhan, J-Y Le Boudec, J. Ayadi, J. R. Farserotu "Theoretical Limit of Impulse Radio Ultra-Wideband [48] P. Spanoudakis, S. Henein, P. Schwab, I. Kjelberg, TOA Positioning and TDOA Positioning" L. Giriens 2010 IEEE International Conference on "Design and Development of the Point Ahead Angle Communications (ICC), Cape Town (SA), Mechanism for the Laser Interferometer Space May 2010, 1-6 Antenna (LISA) Actuated by PiezoLEGS® Actuators" Actuator 2010, Bremen (DE), June 2010

[49] A. Steinecker "Technologies and Applications for Industrial Hybrid Assembly" 10th International Conference of the European Society for Precision Engineering and Nanotechnology, Delft (NL), June 2010, 2-5

Conferences and Workshops S. Ahmed, M. Favre, M. Liley T. Baechler "Transepithelial electrical resistance for in vitro toxicology" "Single-Photon Resolution CMOS Integrating Image European Congress on Alternatives to Animal Testing, Sensors" Linz (AT), September 2010 Image Sensor Europe ISE 2010, London (UK), March 2010

S. Angeloni, M. Favre, M. Giazzon, N. Matthey, M. Liley G. Basset, T. Offermans, M. Chrapa, G. Nisato "Microporous silicon membranes as a tool for nanoparticle "Combinatorial Studies of Organic Solar Cells Based on (NP) translocation in in vitro tests" Robotic High Throughput Fabrication and Characterization" Nanotoxicology 2010, Edinburgh (UK), June 2010 ISOS 3, Kopenhagen (DK), October 2010

S. Angeloni T. Beierlein, D. Leuenberger, M. Ramuz, T. Offermans, "Ultrathin porous membranes for biological barriers G. Basset, M. Chrapa, G. Nisato modeling" "Towards solution-processed electroluminescent integrated Haly-Sweden Forum, Roma (IT), October 2010 systems" SID EL-2010, St Petersburg (RU), September 2010

119 J. Bitterli, A. Meister, P. Niedermann, M. Liley, K. Gastinger, L. Johnsen, M. Kujawinska, M. Jozwik, H. Heinzelmann U. Zeitner, P. Dannberg, J. Albero, S. Bargiel, C. Schaeffel, "Atomic force microscopy probes for nanoscale dispensing S. Beer, R. Moosburger, P. Lambelet, M. Pizzi of liquids" "Next generation test equipment for micro-production" NanoBio Conference, Zürich (CH), August 2010 SPIE Photonics Europe 2010, Brussels (BE), April 2010

N. Blondiaux, G. Weder, M. Giazzon, M. Liley, M. Giazzon, G. Weder, N. Moritz, N. Matthey, M. Liley M. J. K. Klein, F. Montagne, R. Pugin "Micro-topographies for Composite Bone Implants" "Micro/Nanosctrurured surfaces: fabrication using self- Bonetec 2010, Hannover (DE), October 2010 assembly and top down processes and applications in biotechnology" S. F. Graf, R. Li, A. Lachaud, C. Chesné, H. F. Knapp EUPOC "Micro/Nanostructured surfaces:fabrication using "Fully Automated Fluidic System to Sort, Dose, Microinject, self-assembly and top down processes and applications in and Collect Cells" biotechnology", Gargnano (IT), June 2010 Lab Automation 2010, Palm Springs, CA (US), January 2010 D. L. Boiko, P. P. Vasil’ev "Dicke Superradiance in a Multi-Section GaN Edge Emitting O. Guenat Cavity" "Lecturer on Organotypic Tissue Culture for Drug International Workshop on Nitride semiconductors IWN Development" 2010, Tampa (US), September 2010 Technopark-InSphero, Zurich (CH), June 2010

J.-M. Breguet O. Guenat "De 10-9 mètres à 10+9 mètres, voire plus … la mécanique "Adaptives Gewebe mit druckgesteuerter Steifigkeit und de précision voit très grand … et très petit …" integrierter Sensorik nach dem Vorbild der Haut" Function Follows Materials, CSEM (CH), November 2010 BIONA Statusseminar, Berlin (DE), March 2010

J.-M. Breguet O. Guenat, S. Generelli "High Precision Manipulators for Scientific Instrumentation" "BioMEMS for biomedical applications" Colloque Micronarc – DTF: L’assemblage en micro-usines, Atelier Arc-et-Senans, Arc-et-Senans (FR), September 2010 Lausanne (CH), June 2010 M. Guillaumée, A. Y. Nikitin, L. A. Dunbar, V. Spassov, L. Davoine, M. Schnieper, A. Barranco, F. J. Aparicio M. J. K. Klein, R. Eckert, L. Martin-Moreno, F. Garcia-Vidal, "Visual gas sensor based on dye thin films and R. P. Stanley subwavelength structures" "Enhanced transmission of s-polarized light through a metal E-MRS Spring Meeting 2010, Strasbourg (FR), June 2010 slit" SPIE Photonics, Brussels (B), April 2010 N. de Rooij "MEMS for Space" H. Heinzelmann Integrated Microsystems Symposium, University of Michigan "Nanotechnology for Life Sciences" (US), September 2010 Conference on Nanosciences and Nanotechnologies, Halkidiki (GR), July 2010 L. A. Dunbar, M. Guillaumée, F. De Léon-Perez, P. Sol Carretero, V. Spassov, R. Eckert, F. Lopez-Teijeira, I. Jerjen, V. Revol, C. Kottler, T. Luethi, U. Sennhauser, F. Garcia-Vidal, L. Martin-Moreno, R. P. Stanley R. Kaufmann, C. Urban "Using Nanostructured Metallic Surface to Enhance "Differentielle Phasenkontrasttomographie: Eine Transmission, Polarization and Spectral Filtering on vielversprechende Methode für die zerstörungsfreie Photodetectors" Prüfung" SPIE Photonics Europe, Brussels (BE), April 2010 Industrielle Computertomografie Tagung, Wels (AT), September 2010 R. Eckert, R. P. Stanley, B. Weber, A. Buck "Compact Medical Radioactivity Monitor" C. Kassapoglou Faist, L. Liotti Medtech Event 2010, Bern (CH), August 2010 "Tracking and Positioning of Vehicle Parts: the IPAC Experience" M. Favre, A. Meister, S. Dasen, G. Gruener, R. Ischer, Wireless Sensor Networks in Industry - WSNI 2010, T. Overstolz, J. Bitterli, P. Vettiger, M. Liley, H. Heinzelmann Trento (IT), October 2010 "Parallel AFM imaging and force spectroscopy using 2-dimensional probe arrays for applications in cell biology" Nanobio Conference, Zürich (CH), August 2010

120 B. Kheradmand, C. Piguet, Y. Leblebici C. J. M. Lanting "Logic Architecture and VDD Selection for Reducing the "New eHealth: eWellness and the Internet of Things (IoT)" Impact of Intra-die Random VT Variations on Timing" Isabel 2010, Rome (IT), November 2010 International Workshop on Power and Timing Modeling, Optimization ans Simulation - PATMOS 2010, R. Lockhart, M. Canonica, W. Noell, F. Zamkotsian, Grenoble (FR), September 2010 R. P. Stanley, M. Tormen "Fully Programmable Micro Diffraction Grating for VIS and M. J. K. Klein, M. Guillaumée, B. Wenger, J. Brugger, NearIR spectroscopic applications" R. Pugin International Conference on Space Optics, Rhodes (GR), "Bead self-assembly for wafer-scale nanohole arrays in gold October 2010 membranes" Functionalized Plasmonic Nanostructures for Biosensing, R. Lockhart, M. Tormen, R. P. Stanley Monte Verità (CH), April 2010 "Design, Fabrication and Characterization of a Fully Programmable Micro Diffraction Grating" M. J. K. Klein, N. Blondiaux, R. Pugin, J. Brugger, Symposium on Design Test Integration and Packaging of H. Heinzelmann MEMS/MOEMS (DTIP), Seville (ES), May 2010 "Wafer-scale nanopatterning using self-assembled polymeric masking patterns" A. Meister, M. Favre, S. Dasen, G. Gruener, R. Ischer, CMI, Lausanne (CH), May 2010 T. Overstolz, J. Bitterli, P. Vettiger, M. Liley, H. Heinzelmann "Parallel AFM imaging and force spectroscopy using M. J. K. Klein, V. Savu, F. Montagne, J. Brugger, 2-dimensional probe arrays for applications in cell biology" H. Heinzelmann AFM BioMed Conference, Red Island (HR), May 2010 "Nanoporous SiN membranes patterned by wafer-scale nanosphere lithography for stencil and filtration applications" V. Mitev 54th International Conference on electron ion and photon "Compact micropulse backscatter lidar: Airborne and beam technology and nanofabrication, Anchorage (US), groundbased applications" June 2010 Optoelectronic Techniques for Environmental Monitoring – OTEM2010, Cluj-Napoca (RO), October 2010 H. F. Knapp "Microfluidics & Liquid Handling for Lab Automation" F. Montagne, M. J. Klein, N. Blondiaux, R. Pugin Environment Professionnel Microtechnologies – EPMT, "Nanofabricated Ultrathin Nanoporous Silicon Membranes" Lausanne (CH), June 2010 MEMPRO IV, Marseille (FR), October 2010

G. Kotrotsios M. Morgan "Le CSEM, accélérateur d’innovation et créateur de startup " "Low power electronics for medical applications in the frame Innovation et outils de soutien au financement : Atouts et of the FP7 ICT European project ULTRAsponder" opportunités d’un marché leader en Suisse, Paris (FR), ESSCIRC 2010 Workshop, Sevilla (ES), September 2010 November 2010 T. Offermans, J. Schleuniger, G. Nisato M. Krieger "Ink-jet printing of polymer solar cells" "Closing The Loop-Situation Awareness in Lab Automation; Plastic Optoelectronics workshop, Basel (CH), June 2010 Customer Benefit Analysis for disruptive Technology S. Pasche, B. Wenger, R. Ischer, M. Giazzon, S. Angeloni, Platforms" G. Voirin Toolpoint Scientific Forum, Hombrechtikon (CH), May 2010 "Integrated optical biosensor for in-line monitoring of cell M. Krieger cultures" "Ultra Low Power Wireless Technology and its applications– Biosensors 2010, Glasgow (UK), May 2010 The need for micro-energy harvesting" S. Pasche Ringvorlesung Micro-Energy Harvesting, "Wearable sensor for wound monitoring" Freiburg i. Breisgau (DE), May 2010 Bio Innovation Day, Lausanne (CH), November 2010 O. Kubova V. Peiris "Characterization and Cytocompatibility of Carbon layers" "The WiserBAN project - Smart miniature low-power 3rd International Conference on Advanced Nano Materials, wireless microsystem for Body Area Networks" Agadir (MA), September 2010 RF-MST Cluster'2010, Otranto (IT), June 2010 O. Kubova, M. Liley, R. Pugin, H. Heinzelmann A. Perret "Smart Coatings for Biomedical Application" "CSEM, un intégrateur de technologies" ECNF 2010, Liège (BE), March 2010 Université du Luxe, Paris (FR), October 2010

121 D. Piguet, J.-D. Decotignie, J. Rousselot P. Seitz "A MAC protocol for micro flying robots coordination" "The CSEM Nanomedicine-Innovation Engine for the Alpine 9th International Workshop on Real-Time Networks Rhine Valley" RTN'2010, Brussels (BE), July 2010 Annual Meeting of the Academia Raetica, Chur (CH), June 2010 R. Pugin, N. Blondiaux, A.-M. Popa, M. J. K. Klein, F. Montagne, M. Giazzon, G. Weder, M. Liley, P. Seitz H. Heinzelmann "The secret of creativity and happiness" "Micro/Nanostructured surfaces and devices: fabrication by TecDay, Swiss Academy of Technical Sciences, combining top-down and bottom-up approaches and Heerbrugg (CH), May 2010 industrial applications" 4th International Meeting on Developments in Materials, I. V. Smetanin, P. P. Vasil’ev, D. L. Boiko Processes and Applications of Emerging Technologies, "Theory of Mode-Locked InGaN/GaN Lasers in Large Signal Braga (PT), July 2010 Regime" International Workshop on Nitride semiconductors IWN R. Pugin, N. Blondiaux, A.-M. Popa, M. J. K. Klein, 2010, Tampa (US), September 2010 F. Montagne, M. Giazzon, G. Weder, B. Wenger, M. Liley, H. Heinzelmann A. Stuck, I. Zhurminsky, C. Schneider, B. Satilmis, "Production of Micro/Nano-structured surfaces and devices A .Luu-Dinh, M. Gloor, V. Guzenko, J. Gobrecht by combining 2D molecular self-assembly and standard "Injection Molding of Self-Centering Diffractive Optical micro-fabrication processes and their applications in Elements" biotechnology" Nanotechday Nordwestschweiz 2010, Basel (CH), International Conference on Nanostructured Materials, May 2010 Roma (IT), September 2010 M. Tormen, R. Lockhart, B. Timotijevic, T. Overstolz, M. Ramuz, D. Leuenberger R. P. Stanley "OLED and OPD-based Mini-Spectrometer Integrated on a "MEMS grating based micro-spectrometer and tunable Single-Mode Waveguide: Towards Monolithically Integrated lasers for visible, near- and mid- infrared applications" Optical Biosensors" Pittcon 2010, Orlando (US), February 2010 Biosensors 2010, Glasgow (UK), May 2010 A. von Mühlenen M. Ramuz, N. Adsul, D. Leuenberger, G. Nisato "Measurement protocols and OFET test structures in "Integrated Optical Biosensor based on Organic FLEXNET & POLARIC" Optoelectronic Components" 21st oe-a Working Group Meeting, Dresden (DE), NanoBio 2010, Zürich (CH), August 2010 September 2010

B. Schyrr A. von Mühlenen "Optical fibres for wound monitoring" "Organic ambipolar transistors and circuits" Workshop Nano-Tera, Nidelbad (CH), June 2010 POLARIC / COSMIC Workshop 2010: Organic Complementary Devices and Circuits, London (UK), E. Scolan, R. Pugin, S. Pasche, R. Steiger, B. Wenger, September 2010 G. Voirin "Design of nanostructured sol-gel coatings for targeted L. Wang, R. Pugin, H. Heinzelmann, P. Hoffmann, applications" F. Montagne 12th International Ceramics Congress (CIMTEC), "Guided Patterning of Nanoparticles using Block Copolymer Montecatini Terme (IT), June 2010 Templating Methods" PDD Student's Symposium 2010 EMPA, Dübendorf (CH), P. Seitz October 2010 "Annual Report and Startup Generation 2009" 2nd meeting of the Scientific Advisory Board of the CSEM L. Wang, R. Pugin, H. Heinzelmann, P. Hoffmann, Nanomedicine Division, Landquart (CH), March 2010 F. Montagne "Guided-Patterning of Gold Nanoparticles using Block P. Seitz, S. Follonier, J. X. Brunner Copolymer Templating Methods" "The CSEM Division Nanomedicine in Landquart" Swiss Physical Society - NCCR, Basel (CH), June 2010 LBBZ Plantahof, Das Plantahof AGRISEMINAR, Landquart (CH), May 2010 B. Wenger, K. Kugelbrey, H. Sigrist, H. Gao, G. Voirin "Fast immunodetection of cocaine with a waveguide grating P. Seitz sensor" "So-you want to innovate!" Nanosmat V, Reims (FR), October 2010 Kickoff Meeting of the National Research Program NRP-62 on Smart Materials, Muntelier (CH), April 2010

122 B. Wenger, M. Guillaumée, M. J. K. Klein, H. Heinzelmann, C. J. Winnewisser R. P. Stanley, R. Pugin, G. Voirin "Polymer OLED and organic photodiode arrays for "Functionalized Gold Nano-slit and -hole Arrays for integrated sensors applications" Biosensing" SPIE Photonics & Optics, San Diego (US), August 2010 Bioplasmonics 2010, Monte Verità (CH), April 2010

M. Werner, I. Barbré, M. Markanovic, L. Brand, H.-J. Fecht, A. Dommann, P. Gluche, A. Leson, C. Johnston, A. Crossley "Application and ecomomical aspects of thin films and nanomaterials : a focus on carbon based (nano-)materials" European Conference on Nano Films 2010, Liège (BE), March 2010

Competence Centre for Materials Science and Technology (CCMX), Nano-Tera, National Centers of Competence in Research (NCCR) and Swiss National Science Foundation (SNSF) Projects CCMX-MMNS Materials, devices and design technologies for nanoelectronic systems beyond ultimately scaled CMOS

CCMX-NMMC – Analytical Development of an X-ray phase contrast instrument for the characterization of materials with low Platform atomic mass

CCMX-NMMC – Analytical Study of complex interfacial properties with nanoscale resolution optical microscopy Platform

CCMX-SPERU Development of novel methods for surface modification and investigation of cell-particles interaction for superparamagnetic nanoparticles (PAPAMOD)

CCMX-SPERU High adhesive thick films with controlled hierarchical structured porosity enabling tailored structural and functional properties

CCMX-SPERU Zero order nano optical pigments (ZONOP)

Nano-Tera – Environment GreenPower – Connecting the renewable energy to green mobility using hydrogen as energy carrier under the Belenos clean power Initiative

Nano-Tera – Environment LiveSense – Cell-based sensing microsystem

Nano-Tera – Environment PlaCiTUS – Platform circuit technology underlying heterogeneous nano and tera systems

Nano-Tera – Health ISyPeM – Intelligent integrated systems for personalized medicine

Nano-Tera – Health PATLiSci – Probe array technology for life science applications

Nano-Tera – Health SelfSys – Fluidic-mediated self-assembly for hybrid functional micro/nanosystems

Nano-Tera – Health TecInTex – Technology integration into textiles: empowering health and security

Nano-Tera – Health TWIGS – Textiles with integrated gas sensors

Nano-Tera – Security Nexray – Network of integrated miniaturized X-ray systems operating in complex environments

NCCR-Nanoscale Science Development of diffractive optical elements with high diffraction efficiency, producable by injection moulding (DOEMOLD)

NCCR-Nanoscale Science Self-assembly at surfaces (module 5)

NCCR-Quantum Photonics Pure light

SNSF Active atomic clockwork: mode-locked GaN QW laser with Rb atomic vapor cell absorber

123

SNSF ONEBAT – Battery replacement using miniaturized solid oxide fuel cell

SNSF Stroke volume determination through electrical impedance tomography: research on the influence of cardiac and respiratory organ motion

SNSF Training embedded visions systems

SNSF Characterization and modeling of nanoscale MOS transistors in weak inversion for ultra low-power analog and RF circuit design

Swiss Commission for Technology and Innovation (CTI) 11941.1 PFLS-LS 3D CELL Advanced 3D cell biology - from cancer biopsies to physiologically relevant in vitro models

9802.2 PFNM-NM 3DBOND Three dimensional bonding for microelectronic components

12011.1 PFNM-NM AIRTEER New electrode systems for the measurement of transepithelial electrical resistance on models of the human airway epithelium

10918.2 PFNM-NM ARCTURUS DMT (discrete multitone transmission) MODEM for a 1 GBit/s optical data link

11116.1 PFNM-NM AUTOPILOT Vision-based autopilot for mini-drones

10705.1 PFNM-NM BUD Bubble detection - development of a sensor detecting bubbles to be integrated into an existing flowmeter for semiconductor applications

10796.2 PFIW-IW CALYPSO Steel band standing wave based peristaltic pump for precise dosing applications

9916.1 PFNM-NM CAPSYS_II Encapsulation systems for organic electronics

11508.2 PFNM-NM CASTOR Integrated ADC and baseband processing for a narrow-band radio transceiver

9889.1 PFLS-LS CORN FLAKE Compact in-line medical radioactivity monitor

10579.1 PFES-ES CUBIC Ultra low-power circuit for an active-to active very short range RF communication

9939.1 PFNM-NM DDP100 Direct diode power 100

8272.1 NMPP-NM DMS Digital motion sensor

10835.1 PFLS-LS HANDMOVE Feasibility study of a multi-movement surgical micro-saw handpiece

10784.3 PFNM-NM HDS Microholographic high density data storage

10207.1 PFLS-LS HiDRA High dynamic range amplifier

10737.1 PFNM-NM ICYAMR Development of an automatic meter reader (AMR) for retrofit applications on existing meters

9642.1 PFLS-LS INNOBOND Innobond for life sciences

10525.2 PFNM-NM INNOCHIP 1 GB/s optical data link over SI-POF (step-index plastic optical fiber)

9782.1 PFNM-NM JUPITER Development of a high-performance RF receiver IC for GNSS in standard digital 65 nm CMOS

11133.2 PFNM-NM LOCTAG Développement et implémentation de protocoles de communication pour systèmes d'Inventaire Temps Réel RFID sur réseau auto- adaptable avec fonction de localisation

124 10229.2 PFNM-NM MAGELLAN A 2.4 GHz low power radio transceiver with integrated DC-DC supply for wireless wirstwatches, automotive remote-controls, and wireless sensor network applications

10315.1 PFIW-IW MFT Micro-flip technologies for micro part feeder

11365.1 PFNM-NM MULTISENSE Etude de faisabilité d'un palpeur pour machines de mesure tridimensionnelles basé sur un encodeur optique multi-degrés de liberté

9741.1 PFNM-NM MyPump Low-power electrokinetic micropump

10913.2 PFNM-NM NANOINDENTATI0N Nanoindentation of soft materials and biological tissues

10605.1 PFIW-IW NBAT-3 Development of a self-commissioning, predictive controller for central heating system

10763.1 PFLS-LS NEUROPACK Feasibility study on hermetic implantable interface chips for neurostimulation

11147.1 PFNM-NM OPTIGAS Optical gas sensing based on distributed feedback lasers

12008.1 PFNM-NM OPTISENS Entwicklung von höchst empfindlichen Pixeln für CMOS Bildsensoren und deren Einsatz in der industriellen Bildverarbeitung

11509.1 PFNM-NM ORION Feasibility study of an integrated analog sensor interface for a miniature IO-Link SiP

E!5518 (Eurostar) PhasedCom Creation of an innovative shaped (non-planar) phased array antenna for mobile broadband communications

9865.2 PFNM-NM POLLUX Development of a wide-band sub-GHz RF transceiver in 0.18 um CMOS

10788.1 PFNM-NM POMME Material for OTFT

10724.1 PFNM-NM pTOF High-speed semiconductor image sensing for pulsed time-of-flight ranging and fluorescence microscopy

11290.1 PFNM-NM QUADLINE A novel micro mark register head camera based on an ultra high speed multi-linear image sensor

9793.1 PFNM-NM QUALINJECT Manufacturability and testability of micro and nanostructures simultaneously replicated by injection molding

10554.1 PFLS-LS REHA-AQUABIKE Autonomes Wassertherapie-Gerät mit intelligenter, patientenangepasster Leistungssteuerung zur beschleunigten Genesung

10546.1 PFLS-LS RGM The feasibility study remote gas monitoring (RGM) aims at the concept study of an advanced optical sensor for measurement of carbon dioxide.

11996.1 PFIW-IW ROLLOSENSE Feasibility study of a high precision optical metrological device for measuring the relative position of the tool with respect to the workpieceholder of a CNC machine

8621.2 PFNM-NM SCL ll Smart compliance labels

9442.1 PFNM-NM SECAT3 Système biométrique pour la mesure et la prévention des syndromes d'hyperutilisation liés à I'utilisation d'outils manuels chez les professionnels de la coupe et de la taille

125

12187.1 PFNM-NM SENSORFOIL Development of a hot embossing process for the production of microsensor arrays for biological sensor applications

10620.1 PFLS-LS SIGMA Development of medical multi-parameter monitoring sports-shirt

10773.1 PFNM-NM SIRIUS II RF CMOS technology assessment and circuit design for low-energy integrated radio communication solutions in ultra deep-submicron CMOS

10500.1 PFNM-NM SLIMLINE Narrow linewidth stabilized and tunable continuous-wave laser

9641.1 PFNM-NM SODA Design and integration of a new flexible low-power platform for OFDM mobile radio/TV applications

11524.1 PFNM-NM SPACETABLET Pre-study for space tablet, a new tool for information navigation

11716.1 PFES-ES SPHERIC Technology for auto-generation and integration of embedded software through a web interface

11367.1 PFIW-IW STEELTRACK Quality tracking system for steel mills

9947.1 PFLS-LS TESTCHEST The first physiologically accurate ventilation and breathing simulator incorporating lung/heart interactions for the certification of closed-loop control ventilators

9774.1 PFNM-NM TRACE 2 Breakthrough integrated tracking system and advanced data reporting solution for golf

11559.1 PFLS-LS UNCLEVENT An intelligent user interface for mechanically ventilated patients to empower them to adjust the ventilatory parameters themselves

10607.1 PFDP-NM XCODER Very high-speed, high-resolution, miniature, absolute optical encoder

10497.2 PFNM-NM YB-SCG Yb-based supercontinuum generation

European Commission Projects FP7 – TRANSPORT AEROMUCO Aerodynamic surfaces by advanced multifunctional coatings

FP7 – JTI AIRWISE Hardware development of wireless sensor network nodes for operation in airborne environment

FP7 – ICT ARROWS Advanced interfaced micro-systems research for analysis of real- world clinical, food, environmental and waste samples

FP7 – ICT CODIV Enhanced wireless communication systems employing cooperative diversity

FP6 – INFRASTRUCTURES EARLINET-ASOS European aerosol research lidar network: advanced sustainable observation system

FP7 – SECURITY E-SPONDER A holistic approach towards the development of the first responder of the future

FP7 – ICT FEMTOBLUE Blue femtosecond laser implemented with group-III nitrides

FP7 – NMP FEMTOPRINT Femtosecond laser printer for glass microsystems with nanoscale features

FP7 – ICT FLEXNET Network of excellence for building up knowledge for improved systems integration for flexible organic and large area electronics (FOLAE) and its exploitation (FLEXNET)

126 FP7 – SPACE FOSTERNAV Flash optical sensor for terrain relative robotic navigation

FP7 – ICT GO4TIME Global, flexible, on-demand and resourceful timing IC & MEMS encapsulated system

FP7 – IST GRABEL GNSS reconfigurable antenna based enhanced localization

FP7 – ICT HEARTCYCLE Compliance and effectiveness in HF and CHD closed-loop management

FP6 – SUSTDEV HOLISTIC Holistic optimisation leading to integration of sustainable technologies in communities

FP6 – NMP HYDROMEL Hybrid ultra-precision manufacturing process based on positional- and self-assembly for complex micro-products

FP7 – NMP IMPRESS Flexible compression injection moulding platform for multi-scale surface structures

FP7 – NMP INLIVETOX Intestinal, liver and endothelial nanoparticle toxicity development and evaluation of a novel tool for high-throughput data generation

FP7 – ICT IPAC Integrated plateform for autonomic computing

FP6 – NMP MEDITRANS Targeted delivery of nanomedicine

FP7 – ICT MICRO-FLUID Micro-fabrication of polymeric lab-on-a-chip by ultrafast lasers with Integrated optical detection

FP7 – ICT MOBESENS Mobile water quality sensor system

FP7 – ICT MOBISERV An integrated intelligent home environment for the provision of health, nutrition and mobility services to the elderly

FP7 – NMP NANODIARA Development of novel nanotechnology based diagnostic systems for rheumatoid arthritis and osteoarthritis

FP6 – NMP NANOSECURE Advanced nanotechnological detection and detoxification of harmful airborne substances for improved public security

FP7 – ICT NEPHRON ICT-enabled wearable artificial kidney and personal renal care system

FP6 – NMP NEWBONE Development of load-bearing fibre reinforced composite based nonmetallic biomimetic bone implant

FP7 - ICT OPERA For the future of organic & large area electronics in Europe

FP7 – INFRASTRUCTURES OPTICON-2 Optical infrared coordination network for astronomy

FP7 – ICT OSIRIS Towards an open and sustainable ICT research infrastructure strategy

FP7 – ICT PASTA Integrating platform for advanced smart textile applications

FP7 – ICT PEBBLE Positive-energy buildings thru better control decisions

FP6 – IST PHODYE New photonic systems on a chip based on dyes for sensor applications scalable at wafer fabrication

FP7 – ICT PHOTO-FET Integrated photonic field-effect technology for bio-sensing functional components

FP7 – ICT PLAISIR Plasmonic innovative sensing in the IR

FP6 – IST PLEAS Plasmon enhanced photonics

127

FP7 – ICT POLARIC Printable, organic and large-area realisation of integrated circuits

FP7 – ICT POSITIVE A highly integrated and sensitive porous sIlicon based lab on a chip for multiple quantitative monitoring of food allergies at point of care

FP6 – IST PROETEX Protection e-textiles: micronanostructured fibre systems for emergency- disaster wear

FP7 – NMP PROSYS-LASER Intelligent personal protective clothing for the use with high-power hand-held laser processing devices

FP7 – SPACE PROVISCOUT Planetary robotics vision scout

FP7 – SPACE PROVISG Planetary robotics vision ground processing

FP7 – ICT PSYCHE Personalised monitoring systems for care in mental health

FP7 – KBBE RADAR Rationally designed aquatic receptors integrated in label-free biosensor platforms for remote surveillance of toxins and pollutants

FP7 – ENVIRONMENT RECONCILE Reconciliation of essential process parameters for an enhanced predictability of arctic stratospheric ozone loss and its climate interactions

FP7 – PEOPLE SCANCER Specialized cantilevers for cancer research

FP7 – NMP SELFMEM Self-assembled polymer membranes

FP7 - IST SFLY Swarm of micro flying robots

FP6 – SME SHOPPINGMATE A location and context aware service for assisting consumers during their shopping time

FP6 – IST NMP SMARTHEALTH Smart integrated biodiagnostic systems for healthcare

FP7 – ICT SMARTIEHS Smart inspection system for high-speed and multifunctional testing of MEMS and MOEMS

FP7 – JTI STRAINWISE Hardware & software development of wireless sensor network nodes for measurement of strain in airborne

FP7 – SME TACMON Development of a low-cost interactive graphical tactile display capable of displaying textual and graphical information as advanced user interface for the visually impaired

FP7 – ICT THERMINATOR Modeling, control and management of thermal effects in electronic circuits of the future

FP7 – ICT ULTRASPONDER In-vivo ultrasonic transponder system for biomedical applications

FP6 – IST WASP Wirelessly accessible sensor populations

FP7 – ICT WISERBAN Smart miniature low-power wireless microsystem for body area networks

128 European Space Agency (ESA), European Southern Observatory (ESO) and Astrophysical Instrument Projects

ESA Projects BBOSC Elegant bread board development of caesium clock for space CEDRAT VCQM Consulting and technical support for the development of the voice-coil qualify model for IASI-CCM CHOPIN Programmable micro-diffraction gratings (PMDG), ESA/ESTEC contract No. 21212/07/NL/IA CLUPI AFS Design of a guiding concept for the CLUPI additional focus system (AFS) GSTP-OFLCOR Optical correlator for push-broom imagers IASI-NG Phase A Post-EPS IASI-NG phase A feasibility study LTMS-3 Long-term medical survey system, final device development LTMS-X System for long-term medical survey during outdoor activities MCC Motion control chip NPI Reaction sphere for satellite attitude control: modelling, estimation and control issues OGCC Prototype development of ground cesium clock OLCI-MERIS2 The ocean & land colour instrument (OLCI) on the earth observation satellite Sentinel-3 will use the same in- flight calibration strategy as its successful predecessor MERIS on ENVISAT. The in-flight calibration relies on a calibration mechanism, which is designed to insert solar diffusers and a shutter at the camera's crossover region SAMTWO Space active maser two SHM Development of space hydrogen maser for ACES SPHM EQM Space passive hydrogen maser – elegant qualified model Wales Wafer level encapsulation for micro-systems

ESA-Swiss Space Office Projects AHPPE Advanced high precision position encoder SPHROT Reaction sphere for attitude control rotor optimization SQUATOS Space qualified assembly technique for optical systems

ESO Projects M5-FSU Development, demonstrator manufacturing and test of a field stabilisation unit (FSU) for the M5 mirror on the future ELT (extremely large telescope, 40 m) of ESO

Astrophysics Projects LISA-PAAM Development, demonstration manufacturing and test of a closed loop controlled, pico-radians resolution, tilt- mirror, as "point ahead angle mechanisms" for the LISA-LPT MOSFIRE CSU Development, manufacturing, test and integration of a configurable slit mask unit for the multi-object spectrometer for infra-red exploration instrument (MOSFIRE) to be mounted on the W.M. Keck observatory telescope, Hawaii USA

129

Industrial Property

Creativity In 2010, 23 invention reports were submitted for examination.

Patent portfolio CSEM inventions have led to 11 patent applications in 2010 (3 regular applications and 8 US provisional applications). The patent portfolio has been further enhanced by the extension of different countries of 20 patent files based on prior patent applications.

Collaboration with Research Institutes and Universities University Institute Professor Field of collaboration Asociación de la Centre of advanced surface G. Fuentes Bone implants Industria Navarra engineering

CARA California Association for Research in S. Atkins Astrophysics Astronomy

CEA LITEN-LTS J. Gavillet Superhydrophobic surfaces

CEA-LETI Service conception pour les J.-R. Lequepeys Multicore systems-on-chips microtechnologies emergentes

CHUV Lausanne Department of internal medicine C. Sartori, U. Scherrer SpO2/HRV system for people exposed to high altitude

CNR Consiglio Institute for the physics of matter A. Bonfiglio Smart textiles nazionale delle ricerche

Eindhoven University of Micro and nano - scale engineering Y. Bellouard Femtolaser machining Technology

EMPA Advanced materials and surfaces P. Gröning Surface layer structures, CNT based X-ray sources

EMPA Laboratoire d'optique appliquée P. Hoffmann Surface nanopatterning

EMPA Laboratory of advanced fibers M. Heuberger Optical fibers

EMPA Polymère fonctionel J. Heier Supramolecular assemblies

EPF Lausanne Embedded systems laboratory D. Atienza C-Compilers for reconfigurable processors

EPF Lausanne Institut de chimie physique H. Vogel Fluorescent nanoparticles

EPF Lausanne Institut des sciences et ingénierie K. Severin X-ray powder diffraction chimiques

EPF Lausanne Institute of microengineering H. P. Herzig Optical systems, Packaging, Chemical sensing

EPF Lausanne Institute of microengineering N. de Rooij Micro- and nanofabrication

EPF Lausanne Institute of microengineering P. Renaud Microfluidic

EPF Lausanne Integrated systems laboratory G. De Micheli Leakage reduction in CMOS logic

EPF Lausanne Laboratoire de nanotechnique et O. Martin Pigment simulations métrologie

130 University Institute Professor Field of collaboration EPF Lausanne Laboratoire de technologie des Y. Leterrier Waveguide grating fabrication composites et polymères

EPF Lausanne Laboratoire d'électronique pour les C. Guiducci Functional surfaces sciences du vivant

EPF Lausanne Laboratoire d'optique appliquée C. Depeursinge Optical imaging of living cells and tissues

EPF Lausanne Microsystems laboratory, LMIS1 J. Brugger Micro- and nano-fabrication, Fluidic mediated self-assembly

EPF Lausanne Laboratory of microengineering for E. Meurville Ultra low power processors for manufacturing medical applications

EPF Lausanne Microelectronics systems laboratory Y. Leblebici Leakage reduction in CMOS logic

EPF Lausanne Powder technology laboratory H. Hofmann Superparamagnetic nanoparticles, Micro- and meso-porous metal oxide coatings

EPF Lausanne RF IC Group C. Dehollain Ultra low power processors for medical applications

EPF Lausanne Department of material science and H. Hofmann Coatings engineering engineering

EPF Lausanne Institute for microengineering P.-A. Farine Low-noise image sensing

EPF Lausanne Integrated actuators laboratory (LAI) Y. Perriard Reaction sphere Actuators and sensors

EPF Lausanne Laboratory of advanced N. Grandjean GaN lasers semiconductors for photonics and electronics

EPF Lausanne Laboratoire de systèmes robotique R. Clavel Micro machining (LSRO)

EPF Lausanne Microsystems laboratory, LMIS 4 P. Renaud Superparamagnetic nanoparticles

EPF Lausanne Signal processing J.-M. Vesin Speech enhancement

ETH Zurich Institut für Elektronik G. Tröster Smart textiles

ETH Zurich Institute for biomechanics R. Müller Non occlusive blood pressure system

ETH Zurich Institute for biomedical engineering J. Vörös Cell adhesions Label-free optical biosensing

ETH Zurich IRIS B. Nelson Fluidic mediated self-assembly

ETH Zurich Nanotechnology group A. Stemmer Automated cell injection

ETH Zurich Solid state physics H. von Känel Germanium detectors

ETH Zurich Ultrafast laser physics U. Keller Optical frequency comb

Fraunhofer Institute for Semiconductor lasers and light U. T. Schwartz GaN lasers Applied Solid State emitting diodes unit Physics

131

University Institute Professor Field of collaboration Gabriel Lippmann Science et analyse des matériaux P. Choquet Nanostructured polymer coating Centre de rechreche public

Haute Ecole ARC (HE- Institut de microtechnologies H. Keppner Parylene Arc) appliquées

Haute Ecole d'Ingénierie Institut d'automatisation industrielle L. Zago Micro-robotic for astrophysics et de Gestion du Canton de Vaud (HEIG-VD)

Haute Ecole Spécialisée Microtechnique et techniques Y. Mussard 2 bachelor thesis : Silicon based 3D Bernoise (HES-B) médicales micromanipulator, energy harvesting

Helmholtz-Zentrum Institute of polymer research, centre V. Abetz Nanoporous membranes Geesthacht for membranes and structured materials

Hochschule für Life MAS Nano-micro-technology U. Pieles Optical sensors Sciences (FHNW)

Hochschule für Technik Institut für Automation J. Eisenecker Machine design (FHNW)

Hochschule Luzern Fluidmechanik & Hydromaschinen T. Staubli, E. Casartelli Microfluidics simulation (HSLU)

Inselspital Bern Cardiology Y. Allemann, S. Rimoldi Non occlusive blood pressure system

LAM Laboratoire d'astrophysique de D. Le Mignant Astrophysics, adaptive optics Marseille

PN Lebedev Physical Laboratory of ultra-high-speed P. P. Vasil'ev Ultrafast lasers Institute optoelectronics and Information processing

Pôle Européen de PEP R&D M. Moguedet Injection molding Plasturgie

Politecnico di Torino Electrical and computer engineering E. Macii Low power CMOS standard cell libraries

Rice University Department computer science K. Palem Inexact arithmetic

SpaceX Space exploration institute J.-L. Josset Space research

Technische Universität Experimental nanophysics and M. Kneissl GaN lasers Berlin photonics research group

Technische Universität Real-time systems G. Fohler Real-time networks Kaiserlautern

UK ATC Astronomy technology centre C. Cunningham Astrophysics

Université catholique de Faculté de chimie J. F. Gohy Block-copolymer self-assembly Louvain (B)

University of Franche- FEMTO-ST M. Lenczner Multiscale model for MEMS array Comté

University Hospital Neonatology M. Wolf Near infrared spectroscopy Zürich

132 University Institute Professor Field of collaboration University Hospital Nuclear medicine B. Weber Brain imaging and OCT Zürich

University of Basel Institute of physics C. Schönenberger Surface nanopatterning

University of Basel Swiss nanoscience institute C. Schönenberger AFM analyses

University of Bayreuth Physical chemistry S. Förster Block-copolymer self-assembly

University of Bern Department of clinical research B. Rothen Nanotoxicology

University of Bern Institute for biomedical engineering L. Nolte Micro-reactors for stem cells

University of Cambridge Centre for photonic systems I. H. White Ultrafast GaN lasers

University of Fribourg Adolf Merckle institute C. Weder Nanobiosensors

University of Fribourg Advanced particles A. Fink Superparamagnetic nanoparticles

University of Fribourg Institut de chimie K. Fromm Crystallography

University of Neuchatel Institut de physique H. Stoeckli-Evans Crystallography

University of Neuchatel Laboratoire temps-fréquence P. Thomann Atomic clocks

University of Pisa Faculty of engineering A. Ahluwalia In-vitro fluidic systems

University of Trieste Faculty of medicine S. Paoletti Bone implants

University of Zurich Anatomisches Institut C. Maake X-ray phase contrast imaging

Vienna University of Institute of materials chemistry U. Schubert Nanostructured sol-gel coatings Technology

Teaching Title of lecture Context Location J. Auerswald Werkstoffe der Elektrotechnik Werkstoffkunde Vorlesung HSLU T&A Luzern, CH

N. Blanc Méthodes de détection optique Institut de Microélectronique et EPF Lausanne, CH Microsystèmes

C. A. Bosshard Nichtlinear optische Spektroskopie: Quantenelektronik ETH Zurich, CH Grundlagen und Anwendungen

J.-D. Decotignie Informatique du temps réel Bachelor Informatique EPF Lausanne, CH

Real time networks Master Computer and Communication EPF Lausanne, CH Systems

Conception de systèmes Bachelor, Electrical Engineering EPF Lausanne, CH programmables

Informatique I Bachelor Electrical and Mechanical EPF Lausanne, CH Engineering

Ethernet industriel Formation continue FSRM Neuchatel, CH

Systèmes de transmission de données Formation continue FSRM Neuchatel, CH sans fil

133

A. Dommann Implantable MEMS Masterprogram University Berne Biel, CH

Potential of the microsystem Masterprogram Dornbirn, AU technology

Microsystem technology Masterprogram MNT Bodensee EMPA Dübendorf, CH

Industrialization of MEMS Microtech-Lectures 2010 EPFL Neuchatel, CH

X-rays for coating CCMX PhD Seminar EPFL Neuchatel, CH

Applications of vacuum SwissMNT Vacuum course ETH Zurich, CH

Contrôle de pièces de haute présision Cours FSRM FSRM Neuchatel, CH

C. C. Enz Advanced analog and RF IC design I Master, EPFL, Autumn Semester EPF Lausanne, CH

Advanced analog and RF IC design II Master, EPFL, Spring Semester EPF Lausanne, CH

MOS transistor modeling for Low- Micropower Analog IC Design EPF Lausanne, CH voltage and low-power circuit design

Ultra-low voltage analog circuit design Micropower Analog IC Design EPF Lausanne, CH

MOS transistor modeling for RF IC RF Analog IC Design EPF Lausanne, CH design

Ultra-low voltage analog circuit design Practical Aspects in Mixed-Mode ICs EPF Lausanne, CH

MEMS-based circuits and systems for Tutorial T12 at IEEE International Paris, FR wireless communication Symposium on Circuits and Systems (ISCAS 2010)

J. R. Farserotu Satellite communication systems and Masters degree course under EPF Lausanne, CH networks Communication systems and space technology

H. Heinzelmann Nanoelectronics European Training Warsaw/Krakow, PL & Budapest, HU

S. Henein Conception des mécanismes Cours "Robotique - microrobotique" EPF Lausanne, CH fonctionnant dans le vide

Mécanismes de précision à guidages Cours "Robotique - microrobotique" EPF Lausanne, CH flexibles

Flexure mechanisms Fondation suisse pour la recherche en FSRM Neuchâtel, CH microtechnique

Composants microtechniques Cours microtechnique Haute Ecole Spécialisée Bernoise (HES-B), CH

Flexure mechanisms Synchrotron SOLEIL Plateau de Saclay, FR

A. Meister Nanoscale dispensing using Doctoral school EPF Lausanne, CH channeled atomic force microscopy probes

A. Neels X-rays for coating CCMX PhD Seminar EPFL Neuchatel, CH

Contrôle de pièces de haute présision Cours FSRM FSRM Neuchatel, CH

Applied crystallography Masterprogram, Solid State Chemistry University of Fribourg, CH

134 C. Piguet Low-power systems-on-chips Invited Course ENSEIRB Bordeaux, FR

Microelectronic technology ALaRI Course on Embedded Systems University of Lugano, CH

Microélectronique pour systèmes sur Master Course EPF Lausanne, CH chips

R. Pugin Highlights : from applied research to Highlights in Microscopy FSRM Neuchatel, CH industrial applications

A. Ridolfi Mathematical principles of signal Signal processing EPF Lausanne, CH processing (cours doctoral) & statistical signal processing (cours master)

C. Urban Photogrammetry & machine vision Institute of Geodesy and ETH Zurich, CH Photogrammetry

Theses

PhD Degrees Awarded in 2010 Name University Title M'H. El Mechat ETH Zurich Statistical range estimation for optical time-of-flight 3D imaging

M. Guillaumee EPF Lausanne Optical transmission through sub-wavelength slits in metals : from theory to applications

M. Klein EPF Lausanne Wafer-scale fabrication of thin SiN membranes and Au films and membranes with arrays of sub-um holes using nanosphere lithograph

C. Lotto University of Neuchatel Synchronous and asynchronous detection of ultra-low light levels using CMOS-compatible semiconductor technologies

J. Nuesch University of Neuchatel Element-sensitive X-ray imaging and computed tomography using energy-selective photodetection

J. Rousselot EPF Lausanne Energy efficient routing for wireless sensor networks

G. Weder ETH Zurich Living cell adhesion measured by force spectroscopy

CSEM Employees carrying out a PhD Name Professor / University Theme / CSEM Unit Start year N. Adsul C. Schönenberger / University of Basel Integrated organic optoelectronic for biosensors 2008 – Swiss Nanoscience Institute / Thin Film Optics

S. Ahmed B. Rothen / University of Berne Cell-based sensing microsystem 2009 / Nanotechnology & Life Sciences

K. Ali P. Fua / EPF Lausanne Computer vision / Integrated & Wireless Systemstems 2007

T. Bandi H. Shea / EPF Lausanne MEMS reliability / Microsystems Technology 2010

B. Banerjee C. C. Enz / EPF Lausanne Reconfigurable baseband architecture for digital radio / 2007 Integrated & Wireless Systems

J. Bitterli P. Renaud / EPF Lausanne Nanoscale dispensing of liquids using AFM probes / 2007 Nanotechnology & Life Sciences

135

Name Professor / University Theme / CSEM Unit Start year A. Bojko P. Renaud / EPF Lausanne Functional and responsive nanoporous membranes 2010 / Nanotechnology & Life Sciences

L.-E. Bonjour M. Kayal / EPF Lausanne On-chip highly-parallelized temporal waveform analyser 2008 / Photonics

M. Contaldo C. C. Enz / EPF Lausanne Low-power MEMS based CMOS radio transmitter 2007 architectures / Integrated & Wireless Systems

L. Davoine H.-P. Herzig / EPF Lausanne Opto-chemical sensing / Thin Film Optics 2007

L. De Abreu Vieira H. Hofmann / EPF Lausanne Coating engineering / Optical Microsystems 2008

P. Gaggero P. Seitz / University of Neuchatel Miniaturization limits of EIT systems / Nanomedicine 2008

S. Giudice H.-P. Herzig / EPF Lausanne Hermetic sealing of miniaturized X-ray sources 2010 / Microrobotics & Packaging

S. Graf A. Stemmer / ETH Zurich Automated cell injection system 2007 / Microrobotics & Packaging

O. J. Perez Anguiano H. Hofmann / EPF Lausanne Sol-gel based nanostructured thin films 2010 / Nanotechnology & Life Sciences

B. Kheradmand C. Piguet / EPF Lausanne Process variation and leakage power 2007 / Integrated & Wireless Systems

R. Lockhart P. Renaud / EPF Lausanne MEMS programmable diffraction gratings 2006 / Nanotechnology & Life Sciences

V. Revol U. Straumann / University of Zurich X-ray phase contrast imaging at high energy with a 2008 grating interferometer / Photonics

L. Rossini Y. Perriard / EPF Lausanne Rotor Orientation Estimation for Permanent Magnet 2010 Bearing Spherical Actuators / Systems

O. Schleusing J-M. Vesin / EPF Lausanne Restoration of pathological speech using a multi- 2007 dimensional speech model and statistical parametric speech synthesis / Systems

B. Schyrr C. Weder / University of Fribourg Nanobiosensor / Nanotechnology & Life Sciences 2009

J. Solà I Carós R. Müller / ETH Zurich Exploration of new methodologies for the continuous 2006 non-invasive assessment of blood pressure / Systems

J. Taprogge B. Nelson / ETH Zurich High speed CAD model tracking for microassembly tasks 2006 / Microassembly & Robotics

L. Wang P. Hoffmann / EPF Lausanne Nanopatterning by block copolymer lithography 2007 / Nanotechnology & Life Sciences

J. Wienen B. Nelson / ETH Zurich Fluidic mediated self-assembly of mesoscale objects 2010 / Microassembly & Robotics

F. Zanella J-M. Sallese, C.C. Enz Compact modelling of organic thin film transistors 2010 / EPF Lausanne / Thin Film Optics

X. Zeng N. Grandjean / EPF Lausanne Active atomic clock based on mode-locked GaN 2010 VECSEL laser with Rb vapor cell absorber / Systems

136 PhD Funded by CSEM Name Professor / University Theme / CSEM Unit Start year V. Balasubramanian C. C. Enz / EPF Lausanne Ultralow-power sensor interface for miniaturized drug 2009 delivery system / Integrated & Wireless Systems

A. P. Heragu C. C. Enz / EPF Lausanne Energy scavenged ultralow-power radio for miniaturized 2009 Singaiyengar drug delivery system / Integrated & Wireless Systems

Commissions and Committees N. Blanc Member of the Board, sensors.ch (originally Swiss Society for Sensor Technology)

C. A. Bosshard Advisory Board of Advanced Functional Materials Member of the Board of the SwissLaser Net Coordinator of the cooperation CSEM - FhG (Microelectronics Alliance) within the Heterogeneous Technology Alliance (HTA)

J.-M. Breguet Program Committee Member and session's chair at the Conference ACTUATOR Program Committee Member at the International Symposium on Optomechatronic Technologies, IEEE (ISOT)

J. X. Brunner CTI/KTI Expert, Life Science

J.-D. Decotignie Agence National pour la Recherche (France), Programme « Architecture du Futur », reviewer Co-editor for IEEE Transactions on Industrial Informatics Editorial board for “Annales des Télécommunications” Commission de nomination, ETHZ ISO TC 65 Swiss Committee ISO TC 65C, WG16 convenor, wireless industrial communication standardization Program and steering committee, Workshop on Factory Communication Systems, Nancy (FR), Keynote speaker. Program Committee and executive board, Workshop on Real-Time Networks, Bruxelles Program committee, Euromicro Conf. on Real-Time Systems, Bruxelles Program committee, Real-Time Application Symposium Program committee, RTNS, Paris Review board, Austrian Academy of Science Technology Transfer Committee of MICS Project

A. Dommann BMFIT, Auditor Austrian Nano-Programm CTI-Expert Micro and Nano Technologies EUCEMAN, Founding member and member of the steering committee IUVSTA, Consilarius International Union of vacuum Science and Technology Member of KOMINAS- (Kommission Mikro- /Nano- Elektronik), Saxony Germany Member of the board of CSM SA Member of the NPI-Board of ESA

137

A. Dommann Member of the Observatory NANO of EU Member of the steering committee of CCMX Executive Committee of the Nano-Tera Member of the direction committee of the National Centre of Competence in Research Quantum Photonics (NCCR QP) Committee member of the Schweizerische Gesellschaft für Oberflächen und Grenzflächen (SAOG) Scientific Advisory Board Member of the ARTORG Center, University of Berne Committee member oft he Schweizerische Gesellschaft für Mikrotechnik (SGMT) President of the SwissMNT (Micro- and Nanotechnology Network CTI) SwissVacuum, Board member

M. El-Khoury Chambre Neuchâteloise du Commerce et de l’Industrie (CNCI), Neuchâtel Concours International de chronométrie 2011, Le Locle, membre du Comité d’honneur Conseil de l’Université de Neuchâtel Emirati-Swiss Friendship Platform, Abu Dhabi Memberof the Steering Committee of Nano-Tera

C. C. Enz Chair of the Swiss IEEE Solid-State Circuits Chapter Honorary Chair of the 5th International Symposium on Medical Information and Communication Technology (ISMICT 2011), Montreux, Switzerland Member of the Executive Committee of the International Symposium on Low Power Electronics and Design (ISLPED). Member of the Steering Committee of the European Solid-State Circuits Conference (ESSCIRC). Member of the Technical Program Committee of the European Solid-State Circuits Conference (ESSCIRC 2010), Seville, Spain Member of the Technical Program Committee of the International Solid-State Circuits Conference (ISSCC 2010-2011). Membre du Comité AERES d'évaluation du CEA-Léti, Grenoble, France, 9-10 mars 2010.

J. R. Farserotu Member of the Editorial Board of Wireless personal Communications An International Journal, Springer TPC Member, ICC 2010 - E2NETS 2010 workshop Vice-Chair and Research Co-ordinator, Hermes Partnership, a network of leading organizations in wireless and mobile communication in Europe Vice-Chair, European Telecommunication Standards Institute (ETSI), ETSI Project eHealth (EP eHealth)

E. Györvary Member of Tekniska föreningen i Finland

H. Heinzelmann International Advisory Board, Nanomedicine WIRE International Program Committee, Micro Nano Engineering MNE, Genoa International Scientific Committee, Smart System Integration, Como Nano Agri, Scientific Program Committee, Sao Carlos Science Advisory Board, NanoDimension VP Nanotechnology, Swiss Society for Optics and Microscopy (SSOM)

138 S. Henein Member of the International Scientific Committee of the European Society of Precision Engineering and Nanotechnology (EUSPEN)

C. Julia-Schmutz CSEM Representative, BioAlps

H. F. Knapp Delegate for cluster initiative Toolpoint for Life Science

G. Kotrotsios Member of the Board of the Heterogeneous Technology Alliance (HTA) Member of the Committee of Experts of Micronarc Member of the Executive Board of the European Asssociation of Research and Technology Organisations (EARTO) Member of the International Steering Committee of Phealth 2010 Conference Membre of the Board of Directors of CSEM do Brazil

J. Krauss Membre du Comité Economique des Hautes Ecoles Spécialisé (HES-SO) Membre du Conseil des Hautes Ecoles Valaisannes (HES-Vs) Vice-President, Swiss Society of Biomedical Engineering (SSBE)

M. Krieger Member of the International Advisory Board of IPAS2010 Chamonix (International Precision Assembly Seminar)

C. J. M. Lanting Representative CSEM of Association for European Nano Electronics ActivitieS (AENEAS), Committee Member of Chamber B Alternate representative CSEM and rapporteur in ETSIEP eHEALTH Representative CSEM of European Technology Platform on Smart Systems (EPoSS) Committee Member CS4 (hosted by ASUT) European Technology Platform on Smart Systems (EPoSS), Committee Member of WP Internet of Things (IoT)

A.-M. Madrigal CSEM Representative, General Forum Clean Sky CSEM Representative, Swiss Aeronautics Industries Group (SAIG)

A. Maurissen CSEM Representative, EuroSpace, Paris CSEM Representative, Swiss Space Industries Group (SSIG) Member of Steering Committee, Space Center EPFL

A. Perret Advisory Board Member, Holst Center Eindhoven CSEM Representative, Heterogeneous Technology Alliance (HTA) Euripides Scientific Adviser of the Board, Council Member and Adviser of the Council Member of the Board of BioAlps Member of the ESA's Future Technology Advisory Panel Program Committee of Smart System Integration Conference Secrétaire de la Fondation du prix Omega

C. Piguet Conseil Scientifique eSys (électronique des systèmes), Paris, France Membre du comité de rédaction du bulletin de la Société Suisse de Chronométrie (SSC)

139

C. Piguet Membre du Conseil d'Aministration de Centredoc, Neuchâtel Program Committee of DASIP'10, Edinburgh, United Kingdom Program Committee of ESSCIRC'10, Sevilla, Spain Program Committee of NewCAS, Montréal, Canada Steering and Program Committee of PATMOS'10, Grenoble, France Steering Committee of FTFC'10, Montréal, Canada Steering Committee of the ALaRI Master Course, University of Lugano Subprogram Committee DATE 2010, Dresden, Germany

P. Seitz Board member, Espros Photonics AG, Sargans Board member, European Optical Society, Hannover Board member, Zentronica AG, Luzern Chairman of the Evaluation Committee, "Nanobiotechnologies", French National Science Foundation Chairman, Dynetix AG, Landquart Chairman, Heliotis AG, Root-Längenbold Chairman, swisstom AG, Landquart Editor, "Single Photon Imaging", Springer Verlag, Heidelberg Editor-in-Chief, SENSORS, Basel Member of the Board of Stakeholders in Photonics, Photonics21, Brussels Member of the Nomination Committee, Professor of Biophotonics at EPFL, Lausanne Member of the Prize Committee, European Optical Society, EOS Member of the Program Committee, "Optical Measurement Systems for Industrial Inspection", D- Munich, 2011 Member of the Steering Committee, NRP-62 "Smart Materials", Swiss National Science Foundation Member of the Swiss Academy of Technical Sciences, SATW

Prizes and Awards January 2010 Best Paper Award, BioDevices 2010 – International Conference on Biomedical Electronics and Devices for the contribution of O. Schleusing, R. Vetter, P. Renevey, J.-M. Vesin and V. Schweizer entitled “Device for prosodic speech restoration: A multi-resolution approach for glottal excitation restoration” (Valencia, ES) June 2010 "Best Paper Award, UAV 2010 – International Conference and Exhibition on Unmanned Areal Vehicles for the contribution of C. Gimkiewicz Christiane, A. Brenzikofer, et al., entitled “Towards Palm-Size Autonomous Helicopters” (Frankfurt, DE) October 2010 Peter Seitz has been elected to the grade of "Fellow of the European Optical Society" for his creative inventions and efficient realizations and for being a motivating teacher and ambassador for photonics (EOS Annual Meeting in Paris, FR) November 2010 The Joint Venture of CSEM and SUI – SenseCore AG – finalist of the Swiss Technology Award 2010 in the start-up category (Basel, CH) November 2010 Best Poster Award for a young researcher in the field of Biomedical Photonics – Swisslaser Biomedical Photonics 2010 – for the contribution of J. M. Solà i Carós; O. Chételat; M. Bertschi and S.F. Rimoldi entitled “Reflective photo-plethysmography for the non-invasive unsupervised measurement of arterial stiffness” (Bern, CH)

140 CSEM SA Jaquet-Droz 1 CH-2002 Neuchâtel

CSEM Alpnach Untere Gründlistrasse 1 CH-6055 Alpnach Dorf

CSEM Basel Mattenstrasse 22 CH-4016 Basel

CSEM Landquart Bahnhofstrasse 1 CH-7302 Landquart

CSEM Muttenz Tramstrasse 99 CH-4132 Muttenz 2010 CSEM Zurich Technoparkstrasse 1 SCIENTIFIC AND TECHNICAL REPORT CH-8005 Zurich

www.csem.ch [email protected]