TOKYO ELECTRON CORPORATE PROFILE

Akasaka Biz Tower, 3-1 Akasaka 5-chome Minato-ku, Tokyo 107-6325, Tel.+81-3-5561-7000 www.tel.com

TEL is a registered trademark or a trademark of Tokyo Electron Limited in Japan and/or other countries. PR59-195 CEO’s Message Corporate Philosophy We strive to contribute to the development of a dream-inspiring society through our leading-edge technologies and reliable service and support.

Management Policies

The Management Policies highlight the management values that Tokyo Electron (TEL) regards as essential to achieving the objectives defined in its Corporate Philosophy. They express the logic that underscores our eight general rules of management.

Profit is Essential Scope of Business The TEL Group aims to contribute to the development of The TEL Group leads markets by providing high-quality society and industry and to the enhancement of corporate products in leading-edge technology fields with a focus on value while continually pursuing profit. .

Growth Philosophy Quality and Service We will tirelessly take on the challenges of technological The TEL Group strives to understand the true needs to innovation to achieve continuous growth through business achieve customer satisfaction and secure customer trust expansion and market creation. while continuously improving quality and service.

Employees Organizations The TEL Group’s employees both create and fulfill The TEL Group builds optimal organizations that maximize company values, performing their work with creativity, a corporate value in which all employees can realize their full sense of responsibility, and a commitment to teamwork. potential. 2020 saw the global spread of COVID-19 and frequent natural disasters arising from climate change, Safety, Health, and the Environment Social Responsibility including torrential rains in Japan and hurricanes and cold spells in North America.On the other hand, The TEL Group gives the highest consideration to the Feeling a strong sense of corporate social responsibility, we it was also a year when digital transformation (DX) made progress in our daily lives and all kinds of safety and health of every person connected with our strive to gain the esteem of society and to be a company business activities as well as to the global environment. where our employees are proud to work. industries, and the importance of , which are essential for information and communication technologies (ICT), became prominent. Applications expanded for the displays that link people and data, and additional advances in technological innovation were made. As a result of TEL Values the spread of the IoT, AI, 5G and other technologies, a data-driven society accelerates at an TEL Values highlight the values and codes of conduct as Tokyo Electron. unprecedented pace. With the rising e—orts to solve global environmental problems progress, Pride Challenge “digital and green” is now a major trend around the world. We take pride in providing high-value products and We accept the challenge of going beyond what others are services. doing in pursuing our goal of becoming number one globally. Under the circumstances, applying our expertise as an equipment manufacturer developed through Ownership Teamwork being an industry leader and using all management resources, including our employees who both We will keep ownership in mind as we think things We respect each other's individuality and we place a high create and fulfill company values, we will contribute toward achieving the societal shared value of through, and engage in thorough implementation in order priority on teamwork. to achieve our goals. balancing “digital and green.” We also endeavor to practice our Corporate Philosophy, “ We strive to contribute to the development of a dream-inspiring society through our leading-edge technologies Awareness We must have awareness and accept responsibility for our and reliable service and support” while expanding medium- to long-term profit and continuous behavior as respectful members of society. corporate value enhancement. We deeply appreciate your support for Tokyo Electron and look forward to your continued Vision support and patronage. The Vision describes TEL's medium-to long-term business aspirations and the direction of TEL's near future. A truly global company generating high added value and profits in the and flat panel display industries Toshiki Kawai through innovative technologies and groundbreaking Representative Director, President & CEO proactive solutions that integrate diverse technologies.

1 TOKYO ELECTRON CORPORATE PROFILE 2 MILESTONES Company Info The History of TEL Established November 11, 1963 The growth of TEL has always been in sync with the history of the . Capital 54.9 billion yen For approximately half a century, TEL has tirelessly pursued the newest technologies and innovations. Representative Toshiki Kawai Representative Director, President & CEO Number of Employees 1,667 (non-consolidated) 14,668 (consolidated)* Head OŽce Akasaka Biz Tower 3-1 Akasaka 5-chome, Minato-ku, Tokyo 107-6325 +81-3-5561-7000 www.tel.com www.tel.com/careers/ *as of April 1, 2021 Evolution of semiconductors

Mainframe Computer Single Chip Microprocessor Personal Computer Mobile Phone Digital Consumer Electronics Big Data

Consolidated Sales (Billion yen) 2021 1963 1976 1991 1994 TEL cited as the "Most Honored Company" 1,400 Tokyo Electron Laboratories, Inc. is established in Akasaka, TEL-Thermco Engineering Co., Ltd. develops Top sales The first year for globalization for the sixth year in a row in Minato-ku with capital of five million yen invested by the world’s first high-pressure oxidation furnace among semiconductor Begins establishing the structures for Institutional Investor's All-Japan Executive Team Rankings Tokyo Broadcasting System, Inc. production equipment direct sales & technical support in Europe 2020 manufacturers a©ained for three and the U.S. Tetsuro Higashi consecutive years from 1989. 2002 Participation in Albany NanoTech Program (Former Chairman, President & CEO) 1999 receives the Order of the Rising Sun, Category of industry on for industry-academia joint research 1,200 Gold and Silver Star the promotion and support 1990 First Section changed from TEL marks a major “Wholesale Trade” to move into development “Electric Appliances” and marketing of 2019 FPD production equipment 1964 Formed a partnership with BRIDG 1,000 TEL acquires importing and selling rights for di¡usion furnace manufactured by Thermco Products Corp. (U.S.) and begins sales 2018 1978 TEL cited as Thomson Reuters’* 1965 Tokyo Electron Laboratories, Inc. Concludes an agency agreement with “Top 100 Global Tech Leaders” renamed Tokyo Electron Ltd. *Current Refinitiv 800 Corp. (U.S.) to sell Fairchild's IC testers in Japan 2005 1980 TEL receives Tokyo Stock 1968 Listed on the Second Section of Exchange’s Tenth Annual Award for A joint venture with the Tokyo Stock Exchange Excellence in Disclosure for the Thermco Products Corp. (U.S.), the second time since 1999 2016 named TEL-Thermco Engineering Co., Ltd. 600 TEL receives begins domestic production of 2007 Prime Minister’s Award di¡usion furnaces Establishment of "TEL UNIVERSITY" to for the second time strengthen employee since 2003 development 2015 400 Re-emergence as New TEL (Vision, Medium-term 1984 Management Plan formulated and Listed on the First Section of new Corporate Logo created) 1970 the Tokyo Stock Exchange Complete domestic 2013 200 production of di¡usion Concluded furnaces becomes possible 1986 merger agreement with at TEL-Thermco Export of semiconductor , Inc. Engineering Co., Ltd. production equipment (Merger agreement begins dissolved in 2015) 0 1963 1965 1970 1975 1980 1985 1990 1995 2000 2005 2010 2015 2020 Shiing to a full-scale Accelerating globalization Aspiration toward innovation and new growth Founded as technical specialized trading company manufacturer

The electronics industry was still in its infancy in 1963 when young trading Since its foundation, TEL had envisioned adding manufacturing capabilities to From the 1990s onwards, TEL reinforced its group company structure in In 2015, TEL introduced the Medium-term Management Plan with a firm employees -Tokuo Kubo, Toshio Kodaka and others—turned entrepre- its trading expertise. Aiming to become the first semiconductor equipment Japan by establishing a number of subsidiaries responsible for services, financial model for achieving world-class profitability, and renewed the neurs and established Tokyo Electron Laboratories, Inc. (today's TEL), driven manufacturer in Japan, We formed TEL-Thermco Engineering Co., Ltd. in 1968 manufacturing, and other functions. TEL's overseas operations—which corporate logo to emphasize a fresh start. With the spread of IoT, AI, and by a conviction that semiconductors were about to transform the industry. with a proven American semiconductor equipment manufacturer. Through began in 1980 to support Japanese semiconductor manufacturers ventur- 5G, our society is becoming increasingly data-driven. As semiconductors We started as a technological trading company by importing and selling this joint venture, TEL gradually acquired technologies for developing and ing abroad—also expanded in the 1990s, resulting in a broad network of and FPDs are the enablers of the digital society, the market for semicon- industrial equipment, including diffusion furnaces made by U.S.-based producing its own semiconductor equipment. Having established a unique overseas TEL subsidiaries that offered direct sales and support in the ductor and FPD production equipment is also entering a new phase of Thermco Products Corp., as well as exporting consumer products such as car position as a trading company with manufacturing capabilities, TEL listed its fast-growing global market. Around this time, Our overseas sales exceeded growth. As an equipment manufacturer, TEL is resolved to help society radios. TEL honed its technological skills and pursued excellence in after-de- stock on the first section of the Tokyo Stock Exchange in 1984. TEL began domestic sales, turning TEL into a truly global company. achieve the balancing of “digital and green” while increasing the corporate livery services, and in 1965 won an agency agreement to sell Semiconductor's exporting its semiconductor production equipment in 1986, and already in value even further. (IC) testers manufactured by U.S.-based Fairchild Corp., 1991, it was ranked No. 1 in sales among all semiconductor equipment contributing to the start of IC production in Japan. manufacturers in the world.

3 TOKYO ELECTRON CORPORATE PROFILE 4 Semiconductor Market Size to More Than Double in the Next Decade The semiconductor market size is expected to exceed about US$1 trillion by 2030, more than doubling the level in 2020, which was around US$440 billion. The foundation of this enormous market is the semiconductor production equipment industry. With the need for a wide variety of new technologies at the nano level, as the leader in technological innovation, TEL’s role within the industry is becoming more critical than ever. US$1 trillion (Trillions of USD) 1.0

0.8

0.6 US$440.4 billion

0.4

0.2

Source: 2000-2020(WSTS), 2021~2030(IBS, April2021) 0.0 2000 2010 2020 2030

Digital and Green Transformations Contributing to the Development of a TECHNOLOGY TEL’s Projection of the Future COLUMN Dream-Inspiring Society The Industry Structure The spread of ICT, the progress of DX, and the movement TEL’s focus is on two core product categories: semiconductor and toward decarbonization—all of these are part of a global drive FPD production equipment. Building on the technological expertise and with Semiconductors at to build a “digital and green” society, and technological know-how that we have been cultivating since its inception over 50 years ago, Its Core innovation of semiconductors are critical to its success. The We strives to contribute to the development of a dream-inspiring society. pursuit of advanced semiconductors—with larger capacity, The digitalization of our society is driving higher speed, higher reliability, lower power consumption, and up demand for semiconductors, turning them into an essential part of social more—is never-ending. Similarly, displays are expected to (Zea byte*) World data trac infrastructure. While electronic devices evolve further as an interface between people and data, which 35 Data-centric have accounted for about half of the also requires technological innovation to continue. Through CAGR 26% world’s semiconductor production up until our commitment to both these business areas of production 30 (2020-2030) now, the growth of data usage will enliven equipment, TEL will keep contributing to the development of a the service sector, making service dream-inspiring society. 25 providers co-leaders in the future expansion of the semiconductor market. 20 Remaining at the base of this industry Digital Green Mobile-centric ICT/DX Decarbonization structure, TEL is poised to support the 15 Computer-centric progress of our society. Being led by technological innovation of semiconductors 10 CY2020 World Market Larger capacity, higher speed, higher reliability and lower power consumption FPDs evolving at the same time 5 Service Provider Increasing importance of semiconductors as Source: Omdia 0 Electronic System social infrastructure 1990 2000 2010 2020 2030 US$2,033B *Zeabyte: 1Zeabyte = 1021byte, 1Zeabyte is said to be "the number of sand grains on sandy beaches around the world" Semiconductor US$466B Build a strong and resilient society by promoting Semiconductor Production Equipment technological innovation to utilize expertise as a The roles of semiconductor are shiŸing from “selling products” to “selling value” US$65B semiconductor and FPD production equipment Everything is connected in the IoT age, including cars, tra‰c systems, and medical devices. Today, semiconductors are ubiquitous in personal Source: Gartner, “Forecast: Semiconductor Capital Spending, Fab manufacturer and all management resources Equipment and Capacity, Worldwide, 2Q21 Update”, Bob Johnson, Gaurav electronic devices such as PCs and smartphones and are also essential to diverse application servers and data centers that are the backbone Gupta, 7 July 2021, Revenue Basis Charts/graphics created by Tokyo Electron based on Gartner research. of the 5G and 6G infrastructure. As our society becomes increasingly data-centric, “selling value” rather than “selling products” will become Electronic System=Electronic Equipment Production Medium- to long-term profit expansion and continuous Semiconductor=Semiconductor Revenue the key drivers of semiconductor demand, bringing the semiconductor production equipment market into a phase of higher growth. Semiconductor Production Equipment=Total Wafer Fab Equipment. corporate value enhancement

5 TOKYO ELECTRON CORPORATE PROFILE 6 BUSINESS To Deliver the Best Products and Best Technical Service As we enter the age of IoT, semiconductors and FPDs are finding broader applications than ever before, while customers’ requirements for production equipment are becoming even more diverse and complex. That is why TEL is not only commi‡ed to developing innovative technologies, but also to delivering “reliable service and support.” By contributing to the customers’ value creation processes, TEL seeks to become their irreplaceable strategic partner.

TEL’s Business Operations

Technical Manufac- R&D Sales Service/ turing Support

R&D

The semiconductor and FPD markets are entering a new growth phase as these devices gain recognition as the critical building blocks of social infrastructure. Today, COLUMN semiconductors and FPDs are required to perform higher and faster with lower power consumption than ever before. In order to provide high value-added and What is the R&D Facilities competitive manufacturing equipment for these devices in a timely manner, TEL has been expanding its R&D capabilities by cooperating with technology consortium in Japan and abroad, with a view to enabling advanced R&D capabilities that are extensible to future technology generations are Nano-level World? further innovations. Examples include participation in a world-class research hub that is developing hardware for next-generation AI, required. TEL emphasizes the Shi„ Le„ approach in R&D, which means investing The inside of semiconductors is cooperation with BRIDG—a Florida-based non-profit public-private partnership, and tighter collaboration with on extreme significant resources (technological, human, and financial) at earlier stages. We then intricately structured, with feature ultraviolet (EUV) lithography. TEL’s external collaboration is far-reaching, covering everything from the development of fast-evolving work with our customers to formulate a technology roadmap and develop whatever dimensions typically in the order of a technologies/applications to their introduction to the market. We are also working with academia, including major universities in Japan. technologies necessary to a‡ain the goal. Our ability to anticipate customers’ needs few nanometers to several tens of nanometers. This is a scale TEL is collaborating with the Institute of Advanced Industrial Science and Technology (AIST) , which is one of the largest in the next-generation and beyond ensures timely delivery of high-quality products comparable to that of viruses and the institutes in Japan, in wide-ranging fields, including research on magnetoresistive random access memory (MRAM). featuring exciting new technologies. diameter of the DNA double helix. As semiconductor production equipment capable of TEL’s R&D Goals nano-technology process enables the TEL R&D base scaling of semiconductors, it became Consortium / Academia Tokyo Electron Technology Solutions Ltd. TEL Manufacturing and Engineering of America (U.S.) Taking the future market growth into account, TEL has been planning R&D TEL Technology Center Korea (Korea) Tokyo Electron Kyushu Ltd. possible to make mobile devices such Tokyo Electron Miyagi Ltd. approximately 400 billion yen in the three years starting from fiscal 2020. Our vigorous TEL Technology as laptops and smartphones thinner, imec (Belgium) R&D programs deliver next-generation products with cu‡ing-edge technologies. faster, and lighter. Center, America (U.S.) CNSE (U.S.) More about Nanoscale at: CEA-Leti (France) R&D Expenses (Billion yen) www.tel.com/museum/ National Institute of BRIDG (U.S.) 160.0 TEL Magnetic Solutions (Ireland) Advanced Industrial Science and Technology (Japan)

TEL Technology Center, Taiwan (Taiwan) 136.6 6-8µm Red blood cell IME (Singapore) 120.2 113.9 100nm Virus 97.1

10nm

1nm DNA

FY’18 FY’19 FY’20 FY’21 FY’22 (E) 7 TOKYO ELECTRON CORPORATE PROFILE 8 R&D

Technical Manufac- R&D Sales Service/ turing R&D Sites Support Addressing multi-polar needs for ultimate nanoscaling

Manufacturing TEL Digital Design Square Opened in November 2020 (Sapporo City, Hokkaido) TEL develops and manufactures equipment mainly at its manufacturing Enhance production structure to swily subsidiaries in Japan. prepare for future rise in demand Aiming to enhance its DX capabilities, TEL One of our major strengths lies in our ability to control the entire production recently relocated its so”ware development Tokyo Electron Technology Solutions flow—from the early product development phase to design, manufacturing, o€ce in Sapporo to a new building. The move New production building and quality assurance phases. The integrated nature of our processes allows aims to incorporate advanced AI and IoT technologies into TEL’s products and develop us to capture and incorporate our customers’ technological needs quite early unprecedented high value-added in the development phase, resulting in timely delivery of the precisely needed technologies to benefit our society. The products. Our production division is responsible for three types of activities: creative use of the o€ce space and the latest designing to order (i.e., customizing the equipment’s design specifications to facilities stimulate state-of-the-art so”ware meet the customer’s precise technological needs), designing of development ideas and support the manufacturing processes (i.e., designing processes that assure e€cient and recruitment and training of data high-quality production of equipment), and high-volume manufacturing (i.e., scientists/analysts necessary for the producing high-quality equipment in large volume and on schedule). implementation of DX. As semiconductors and FPDs become more advanced, customers’ Began operation in July, 2020 (Oshu City, Iwate)

TEL Digital Design Square presentation area “TSUDOI” requirements are growing more sophisticated. To keep producing equipment of ever-higher quality, TEL has been promoting company-wide TPM* activities for over a decade. This initiative aims to thoroughly eliminate Miyagi Technology Innovation ine€ciency and wastefulness from our manufacturing operations to improve Center productivity and influence the employees’ mindset and behavior. Both our Building to be completed in September 2021 employees at production lines and those in administrative positions (Taiwa-cho, Kurokawa-gun, Miyagi) participate in this movement, uniting the company in a pursuit of TEL is constructing this center to accelerate improvement and transformation. the development of advanced etching To deliver the Best Products and Best Technical Service possible in a timely technology—one of the critical areas in manner, TEL is constantly taking on the challenges of new production technology. semiconductor manufacturing. The center is * TPM: Total Productive Management (Maintenance) designed to become an advanced research Began operation in August, 2020 (Nirasaki City, Yamanashi) facility that sparks new innovations by integrating technologies from diverse fields. Among the features of this center are the lab area that encourages co-creation with Technical Manufac- business partner, a training center for R&D Sales Service/ turing customers, and an open innovation area. Support

Miyagi Technology Innovation Center Sales New Development Building To be completed in spring 2023 (Nirasaki City, Yamanashi) Making sales starts with gathering information on customers’ needs and business trends and discerning what kinds of equipment and technologies are in demand. We at TEL consider it vital to To meet the growing demand for adhere to the “customer first” principle, and we take full advantage of our rock-solid technical services semiconductors, TEL is planning to add a new to benefit our customers. It goes without saying that business does not come our way unless development building. The functions to be customers put trust in our salespeople. TEL has formed strong partnerships with our customers and is fulfilled at this building will include the development of deposition and gas chemical engaged in intense sales activities day by day in a global field. Sometimes our salespeople are asked to etch systems that are critical to deliver new equipment that does not yet even exist. In such cases, the sales department starts a semiconductor manufacturing and the development project jointly with the marketing and engineering departments. Then we go about development of pa¢erning and process making proposals to customers, building prototypes, installing and validating them, and so on. O”en it integration technologies that are vital to the takes over a year before the equipment is finally delivered to customers to complete the sale. The continued scaling of integrated circuits. domain of sales involves a multitude of complex technologies from which a new technology proposal must be put together. Taking advantage of the challenging spirit and the respect for taking ownership that are innate to TEL’s culture, we will continue to provide the Best Products and Best Technical Service that can win the trust of our customers around the world. New Development Building

9 TOKYO ELECTRON CORPORATE PROFILE 10 Technical Manufac- R&D Sales Service/ turing Support

Technical Services and Support

Commied to pursuing the Best Technical Service possible in a constantly changing business environment, TEL is ensuring that its technical services and support will bring satisfaction to all customers around the world. Anticipating the shiing trends and diversifying customer needs, we are also constantly upgrading its global support capabilities.

Advanced Field Solutions At TEL, we refer to technical service and support of before-and-aer delivery as “field solutions.” Our field solutions business takes advantage of an installed base of approximately 76,000 units— the largest in the industry. We also employ the latest technologies, including the TELeMetrics™ remote servicing solution, the latest wearable devices, and predictive maintenance based on machine learning. We assure high equipment availability through total technical services and support, including everything from delivery and installment of equipment to aer-sales maintenance services.

TELeMetrics TELeMetrics The TELeMetrics service connects the equipment installed at customers’ sites with TEL’s servers via communication lines, allowing us to remotely analyze the equipment data to improve productivity. The functionality of the equipment, deterioration of parts over time, and di”erences among equipment are monitored and analyzed from diverse angles using TEL’s unique technical know-how and professional Spare Parts/ Engineer tools, enabling us to identify any problems and o”er appropriate solutions in real time. TELeMetrics can help minimize equipment TEL support Repairs SERVICE downtime and stabilize operations. ADVANTAGE

Assorted Services to Address Diverse Needs Customer TEL - Sales/procurement/warranty/total support services on new and refurbished equipment for small-diameter TEL wafer processing (including 200 mm) Total Support - Genuine spare parts supply and repair services Center Customer.com - Engineering services/support TEL Analysis Service Engineer - Equipment upgrade services Engineer Support Using the latest wearable devices, our support center can remotely monitor and share real-time TEL audiovisual data from our customers' production sites. Engineer Cleanroom at customer's site Support center at TEL

Data Server Data Server

Via Sensing data Processing data smart glasses

*Images viewed through smart glasses.

11 TOKYO ELECTRON CORPORATE PROFILE 12 COLUMN

PRODUCTS Broad Lineup of Optimizing Manufacturing on a Global Scale with Equipment TEL’s strength lies in its broad equipment Innovative Technologies and Unique Initiatives portfolio covering four sequential processes that are critical: namely deposition, Coater/Developer, etch, and cleaning. Our principal products have either ranked first or second in the global The front-end process for FPD production (known as the TFT array process) is similar to the semiconductor manufacturing process. market share; in fact, TEL’s inline* Semiconductor Manufacturing Process Wafer process (Front-end) Assembly and Test process (Back-end) Coater/Developer for EUV lithography has Deposition Lithography Etching Cleaning Interconnect formation a 100% share of the worldwide market. (Photoresist coating, Development) Virtually every semiconductor in the world passes through our systems.

* Inline: The state of being a part of a continuous process line. In this instance, it refers to the integration of a lithography system and a Coater/Developer. Thermal Atomic Layer Processing Deposition System System Coater/Developer Plasma Etch System Single Wafer Single Wafer Plasma Etch System Single Wafer Tactras™ / Episode™ UL Cleaning System Deposition System Tactras™ / Episode™ UL Deposition System The Four Sequential Critical Processes Metal film Intermetal dielectric film dioxide film film Photoresist Metal film

Repetition Wafer To form wiring that connects Another dielectric layer is Oxide/Nitride film deposition Photoresist* coating Exposure Development Etching Ashing/Cleaning individual , first a deposited on top, in which Deposition Coater/Developer Etching Cleaning Thin films such as , While the wafer is rotated at a To transfer the integrated Developing exposed A plasma etch system In a post-etch process, the dielectric (oxide) layer is trenches are etched to form silicon nitride and others are high speed, a thin layer of circuit paˆern onto a wafer, photoresist leaves a removes the exposed residual photoresist is deposited over the gate layer so another wiring paˆern. The deposited by thermal oxidation, CVD* photoresist is coated uniformly equipment called stepper particular paˆern on a dielectric silicon dioxide, removed, and the wafer is another layer of circuit can be trenches are filled with a metal and/or ALD** on the wafer surface. on its surface. irradiates UV light on the wafer according to the silicon nitride and others soaked into chemical Completed Transistor laid on top. Contact holes (vias) film, and then the excess photoresist layer through a reticle (photomask) from the wafer surface solvents to remove Before Wiring are then opened in the metals are polished and the * Photoresist: a light-sensitive material dielectric layer, and are filled surface is planarized. These *CVD: Chemical Vapor Deposition that changes its properties when paˆerned photomask aligned being used. according to the particles and impurities on **ALD: Atomic Layer Deposition exposed to ultraviolet (UV) light. over the wafer. remaining photoresist. the wafer. with metal by CVD. processes are repeated to make a multi-level interconnect. Semiconductor Production Equipment Isolation Formation, Gate Formation Gate Electrode Contact Formation Interconnect Formation Semiconductors are critical components of diverse electronic applications, including PCs, smartphones, automobiles, and Testing Packaging/Inspection home appliances. To manufacture semiconductors special-purpose machinery known as semiconductor CMOS image sensor production equipment is required. TEL develops and Photo, Movie input manufactures semiconductor production equipment covering all major chip-making processes, and supplies the equipment Wafer Prober Wafer Bonder/ Wafer/Dicing Frame to semiconductor manufacturers around the world along with Debonder Prober DRAM excellent support services. Working memory FPD Production Equipment Tokyo Electron DRAM FPDs are ubiquitous today as they are commonly used as an Tokyo Electron Logic interface between humans and data in PCs, flat-screen TVs, Data processing Logic and smartphones. Having gained considerable

Tokyo Electron manufacturing experience through the semiconductor A”er fabricating redistribution Each integrated circuit is tested 3D NAND Each diced chip is examined The chips are aˆached to production equipment business, TEL has been applying its by a wafer prober to find any layers and bump electrodes, a whether it can be assembled package substrates or lead 3D NAND failed circuits. support substrate may be to the package. frames, and are sealed expertise to developing FPD production equipment. A wide Tokyo Electron temporarily bonded to the with ceramic or plastic. Storage memory CMOS image sensor wafer to facilitate thinning, and range of products that TEL has produced to date has is then removed by a debonder. Completed Completed Integrated Circuit Semiconductor Packaging satisfied many FPD manufacturers with particular needs for high resolution, thinness, lightness, flexibility, low power Wafer Probe Testing Wafer Bonding/Thinning/ Inspection Packaging/Assembly Debonding consumption, larger screen size, and so forth.

TFT*-LCD/OLED Display Manufacturing Process

*TFT= Transistor TFT-LCD/OLED display cross section TFTTFT array array process process R TFT R

LCD display TFT Glass TFT array Glass Module BM substrate DepositionDeposition CleaningCleaning PhotoresistPhotoresist coating coating ExposureExposure DevelopmentDevelopment EtchingEtching AshingAshing TFT array Color filter process Display substrate inspectioninspection Cell process process G G ITO Glass Glass TFT TFT Anode Cathode Back light Over Coat BM Cover Glass ITO Electrode Liquid Crystal Encapsulation Polarizer Filter Polarizer Filter (TFT Array Side) Alignment Layer Alignment Layer (Color Filter Side) (TFT Array Side) Hole Injection Layer B Hole Transport Layer Glass or Flexible Film Electron Transfer Layer

OLED display Electron Injection Layer Inkjet printing system B

Module TFT BM FPD Plasma Etch/ for manufacturing OLED layer formation Encapsulation Display TFT OLED display process FPD Coater/Developer Ash System Color Filter (Top Emission) Emissive Layer Exceliner™ Betelex™ Elius™ TFT-LCD Display OLED Display

13 TOKYO ELECTRON CORPORATE PROFILE 14 Total 28 companies 18 countries and regions TEL Magnetic Solutions Tokyo Electron Europe U.S. locations TEL Solar Services 76 TEL Manufacturing and Engineering of America Tokyo Electron Korea (as of April 1, 2021)

TEL Technology Center, America Tokyo Electron (Shanghai) Tokyo Electron (Shanghai) Logistic Center Tokyo Electron (Kunshan) TEL Venture Capital Tokyo Electron Israel EUROPE Tokyo Electron India Tokyo Electron U.S. Holdings Tokyo Electron Taiwan Tokyo Electron America ASIA Tokyo Electron (Malaysia) Tokyo Electron Singapore JAPAN

: Head Oce : Branch, Oce (including Field Service), Sales Oce Tokyo Electron Miyagi Tokyo Electron Technology Solutions

Tokyo Electron (World Headquarters)

Tokyo Electron FE COLUMN Tokyo Electron BP

BUSINESS SCALE Tokyo Electron Kyushu Tokyo Electron Agency Reinforcing Business Operations Spanning the World Customer Contact TEL operates its business in countries and regions across the world. With the purpose of delivering We are supporting the global electronics industry technologies, support, and with its expansive business presence in Japan, the U.S., Asia, and Europe. solutions more speedily to our customers in Japan and abroad as required, TEL has organized global structures for enhancing our response to customers. Precise understanding of the customers’ World Top 10 problems and requirements in Semiconductor Production Equipment Manufacturers Net Sales (FY2020) Billion yen Composition of Net Sales by Region (FY2020) product development and CY2020 Revenue Ranking manufacturing allows timely FPD Semiconductor feedback to our principal bases of Billions of US$ Production Production Others China 16.36 Equipment Equipment operations, enabling streamlined 3.7% 28.5% delivery of high value-added 15.39 83.7 1,315.2 products and services. Because we 11.92 Europe must keep responding to society’s Net Sales by Division needs as they arise, we put great Tokyo Electron 11.32 (Consolidated) 4.5% Semiconductor and value on building relationship of FPD Production Equipment trust with our customers. 5.44 1,399.1 Composition of 2.49 North America Net Sales by Region Korea Net Income (Consolidated) 2.25 10.9% 20.4% 2.18 242.9 1.66 Japan

1.51 Source: VLSI Research, May 2021 14.1% Taiwan

15 TOKYO ELECTRON 17.9 % 16 SUSTAINABILITY TEL’s Sustainability Programs for the Continued Advancement of Society Under the corporate philosophy of “We strive to contribute to the development of a dream-inspiring society through our leading-edge technologies and reliable service and support,” TEL is pursuing sustainable operations Sustainability Initiatives in accordance with the requirements of corporate governance, legal compliance, and ethical conduct. At the Environment Medium-term Environmental Goals for 2030 same time, we are making medium- to long-term e€orts to enhance of corporate value by contributing to TEL has revised medium-term environmental goals for 2030, and TEL is Products Plants and O­ces develop and resolve issues for industry and society through our business operations. working to protect the global environment by reducing the environmental Reduce per-wafer Total CO2 emissions impact through its products and business sites while also o€ering innovative CO2 emissions (vs. 2018) (vs. 2018) technologies that aid the development of more lower power consumption TEL's Material Issues (Key issues) devices. TEL has also launched E-COMPASS*, a supply chain sustainability initiative for the semiconductor and FPD production equipment industries. 30% 70% TEL has been making an all-out e€ort to overcome these challenges. TEL has identified four material issues that need to be addressed as reduction reduction *E-COMPASS: Environmental Co-Creation by Material, Process and Subcomponent Solutions Renewable energy priority concerns. These include the three pillars of its medium-term management plan—product competitiveness, responsiveness to use 100% customers, and higher productivity—as well as management foundation which encompasses such elements as the employees (who are Society the source of our growth), corporate governance, compliance, and risk management (which underlie the foundation of corporate Respect for human rights permeates every aspect of our business activities; management), and human rights and environmental activities (that are essential to the sound and sustainable business operations). TEL has regularly assessed human rights risks and taken corrective actions. Human Rights Initiatives Because we believe its people create the value of a company, TEL is Initiatives in Material Issues promoting diversity, inclusion, and work-life balance so the employees can Product competitiveness Responsiveness to customers Higher productivity reach their full potential. We are also pursuing sustainable supply chain management based on global standards, working with its customers and Constantly pursue Commitment Assessment Remediation Report Create strong next-generation products Become the best and sole strategic partner business partners. higher management eŒciency Commitment to Assessment of Actions to reduce Regular Governance respecting human rights risks risks based on disclosure of Propose customer optimal solutions human rights in business and assessment results information Enterprise Resource Planning system Leading-edge research and development Contribute to customers production TEL is se ing up a e€ective corporate governance structure with strict supply chains Operational e­ciency and automation DX of leading-edge devices Smart equipment management oversight to a ain sustainable growth. We are also reinforcing Promote energy saving products Ensure safety for customers Improvement of quality in the value chain its risk management activities to be er understand and properly respond to Customer satisfactions diverse business-associated risks. TEL’s Code of Ethics o€ers employees a guideline of acceptable behaviors, advocating and raising awareness about Management foundation regulatory compliance and corporate ethics.

Build a strong management foundation for underpinning our business activities External Recognition Corporate Governance Risk management Compliance Employee growth Diversity & Inclusion Work-life balance No.1 in ROESG Rankings among Japanese companies Health and safety Human rights Environment Supply chain management TEL ranked number 1 among Japanese companies in the second ROESG Rankings* (2020 edition) implemented by Nikkei Inc. and QUICK Corp. ESG Research Center.ROESG is an index that integrates ROE (Return on Equity), which is an indicator of capital e¤ciency, and ESG (Environmental, Social, and SDGs Initiatives at TEL Governance), a non-financial index of sustainability. TEL's superior capital To help a ain the Sustainable Development Goals (SDGs) through its business, TEL has clearly defined the goals to be achieved in relation e¤ciency and dedication to ESG engagement earned a significantly high score. COLUMN to each of the four material issues at hand. *Nihon Keizai Shimbun, March 29, 2021 Outstanding Health & Productivity Management Organization in 2021 Material issues Main initiatives SDGs initiatives In a certification program held jointly by the Ministry of Economy, Trade, -Build a sustainable society by creating innovative technologies and Industry (METI) and the Nippon Kenko Kaigi, TEL was recognized for the Product -Provide products and services that are conscious of the environment third year in a row as an Outstanding Health & Productivity Management competitiveness -Collaborating with partner companies, consortiums and academia Organization in 2021. Under TEL’s Wellness Declaration issued in February 2012, we have promoted Eat-Rest-Walk-Talk programs designed to -Contribute to customer innovation maintain and improve the employees’ health in cooperation with TEL FOR GOOD is a brand name Responsiveness -Promote sustainable form of production and consumption throughout to customers product life cycles occupational health physicians, public health nurses, and health insurance for TEL's social contribution -Establish partnership with customers societies. TEL will continue to promote work-life balance actively while also activities. The four focus areas of reinforcing healthcare support and guidance according to each employee’s these activities are innovation and -Contribute to sustainable economic growth promoting productivity state of health. technology, education, Higher -Establish sustainable form of production and consumption by environment, and community productivity streamlined business operations and quality management TEL’s sustainability initiatives have been selected high acclaim from rating involvement. TEL hosts various -Create new values by collaboration with customers agencies around the world, and we have been chosen as a constituent of social contribution events and major global ESG investment indices. programs, donations, and -Strive to facilitate human-focused employment (decent work) volunteer activities around the -Pursue diversity and equality among people and in the workplace Management world under this brand. -Develop highly e€ective governance foundation -Reduce the environmental burden of the entire value chain

-Establish sustainable supply chain Please check the link for the logo’s disclaimer h p://info.msci.com/l/36252/2017-06-27/kj5n9b

17 TOKYO ELECTRON CORPORATE PROFILE 18 TOKYO ELECTRON CORPORATE PROFILE

Akasaka Biz Tower, 3-1 Akasaka 5-chome Minato-ku, Tokyo 107-6325, Japan Tel.+81-3-5561-7000 www.tel.com

TEL is a registered trademark or a trademark of Tokyo Electron Limited in Japan and/or other countries. PR59-195