<<

A College Championship, Our NBA Finals Expectations, and Dreaded Feature Creep By Tom Fitzpatrick, Editor and Verification Technologist

Welcome once again to our annual Super-Sized DAC Edition VERIFICATION of Verification Horizons! HORIZONS There are two similar experiences I’d like to share with you before A PUBLICATION OF MENTOR, we get into the great articles in this edition. A SIEMENS BUSINESS VOLUME 14, ISSUE TWO A few weeks ago, I attended the Ultimate Frisbee College National Championships to cheer on my son’s Georgetown team, who had JUNE 2018 qualified for the tournament for the first time ever. They were seeded 19th out of 20 teams and didn’t expect to qualify at all, so most of the FEATURED IN THIS ISSUE: team, fellow students, alumni and parents were just happy to be there Developing an abstract hierarchical model for each to support them. No one really had any great expectations going into in your design, including integration rules, let’s you verify the entire system more efficiently. the tournament. Yet to our surprise, the team did well enough to find themselves in a qualifying match for the championship bracket. They Accellera Portable Stimulus Standard (PSS) can be played really well, but unfortunately lost the game 13-12. used to automate the creation of register-access tests at multiple integration levels by extracting information captured in a UVM register model. I was struck by how everyone on the team and its “cheering section” was disappointed at coming so close to achieving what we all originally Using formal verification can improve your considered an unrealistic goal — even though the team had vastly fault verification for ISO 26262 automotive safety-critical design. exceeded expectations throughout the season.

Apply the functional coverage and verification On the same weekend, the Boston Celtics, playing without their two plan built into Questa® VIP (QVIP) components best players, lost Game 7 of the Eastern Conference Finals to the to verify complex protocols. LeBrons (also known as the ), thus falling Employ power-aware static verification using one game short of reaching the NBA finals — a goal that seemed as the Unified Power Format (UPF) to analyze and debug reports generated from static checks. unrealistic as Georgetown reaching the championship bracket. In both cases, it wasn’t until the previously-unrealistic goal came within reach that Read a comprehensive overview of the critical components of an emulation platform. the disappointment of not attaining it (at least in the moment) eclipsed the pride and joy of a season filled with the successes required to even Agnisys shares their considerable experience get that close. with complex addressable registers used in mission-critical applications.

Fishtail Design Automation shows how formal “What may have appeared to be unrealistic verification can be applied to glitch problems (or unnecessary) at the start of the project could, on critical signals in your design. given how much has already been implemented, be Codasip gives a comprehensive analysis added with just “incremental effort.” of alternatives for creating configurable —Tom Fitzpatrick UVM environments that handle different configuration options for RISC-V. As I sat down to write this note to you, it struck me that both teams and their fans experienced the dreaded “feature creep” that plagues all engineering projects. The project starts out with a set of requirements that the team works towards implementing and verifying. Then, as things start coming together the inevitable “why can’t we…” questions come up (usually from the marketing team), and we start adding more and more features that often become the bane of the verification team. What may have appeared to be unrealistic (or even “Coverage Driven Verification of NVMe Using unnecessary) at the start of the project could, QVIP” shows how to apply the functional coverage given how much has already been implemented, and verification plan built into Questa® VIP (QVIP) be added with just “incremental effort.” Of course, components to verify complex protocols. The it rarely works out that way, especially for the approach shown here is consistent with our other verification team. We’ll see how it worked out QVIP components and can easily be extrapolated for my son and his teammates a little later. based on your environment. In addition, the article includes a nice overview of the NVMe protocol. But now, on to the articles. Our lead article this time, “Comprehensive CDC Verification with the Advanced Part 2 of “Power Aware Static Verification—From Hierarchical Data Model,” comes from several of Power Intent to Microarchitectural Checks of Low- my Mentor colleagues on our Formal Verification Power Designs” (see Part 1 in our March 2018 edition) Technology team. As with all verification, what works continues our discussion of power-aware static at the block level sometimes requires an adjusted verification using the Unified Power Format (UPF). approach to be applicable at the system level. In this This portion of the article deals with how to analyze article, we see how this problem is addressed for and debug the reports generated from static checks. clock-domain crossing verification, where developing I think you’ll find it to be a fairly comprehensive an abstract hierarchical model for each of the blocks, breakdown of this important technology. including integration rules, let’s you verify the In “Three Main Components to Look for in system much more efficiently than trying to do flat Your Emulation Platform,” my colleagues from our verification of the entire design. Emulation Division give a comprehensive overview Next, we have “Creating SoC Integration Tests with of the critical components of an emulation platform Portable Stimulus and UVM Register Models,” by my and show how our new Veloce® Strato emulator colleague, Portable Stimulus Working Group cohort fulfills these criteria. and all-around portable stimulus guru, Matthew We have several contributions to our Partners’ Ballance. The article explores how the Accellera Corner this time around, starting with “Complex Portable Stimulus Standard (PSS) can be used to Addressable Registers in Mission Critical automate the creation of register-access tests at Applications” from our friends at Agnisys. In this multiple integration levels by extracting information article they share their experience in implementing captured in a UVM register model. It also gives some several complex registers in mission-critical great insight into the difference between test intent applications for their customers. You may find some and test realization in PSS, which is a really important of these to be applicable to your next design. concept to grasp to be able to use PSS effectively. From our friends at Fishtail Design Automation, we In “It’s Not My Fault!” Doug Smith from Mentor’s have a great article on “RTL Glitch Verification” that Consulting Division walks us through using formal shows how formal verification can be applied to this verification to improve your fault verification for an difficult and subtle problem. If you’re having glitch ISO 26262 automotive safety-critical design. The problems on critical signals in your design, you’ll keys to optimization lie in reducing the number of want to check this one out. faults to be analyzed and then being able to reliably activate, propagate and observe their behavior and, most importantly, being able to automate the whole process. This article will show you what you need to know.

2 Last but not least, we have a jointly-authored article by Mentor and our friends at Codasip called “UVM- CONTENTS based Verification of a RISC-V Processor Core Using a Golden Predictor Model and a Configuration Layer.” I Page 4: Comprehensive CDC Verification think you’ll find extremely helpful this comprehensive with Advanced Hierarchical Data Model analysis of alternatives for creating configurable UVM by Anwesha Choudhury, Ashish Hari, Aditya Vij, and Ping Yeung — environments that handle different configuration Mentor, A Siemens Business options for RISC-V. A big part of their solution is the ability to generate emulation-friendly verification Page 10: Creating SoC Integration Tests environments and take advantage of a few other with Portable Stimulus and UVM Register Models by Matthew Ballance — Mentor, A Siemens Business tricks to maximize productivity.

I am happy to report that my son and his teammates Page 18: It’s Not My Fault! How to Run quickly rebounded from their heartbreaking loss a Better Fault Campaign Using Formal by Doug Smith — Mentor, A Siemens Business (much quicker than the other parents and I) and had a great time watching the rest of the tournament, Page 25: Coverage Driven Verification cheering on teams that they had lost to but also of NVMe Using QVIP befriended. Once again, I was privileged to see my by Anurag Singh — Mentor, A Siemens Business son interact with his peers in unguarded moments — an experience I have greatly enjoyed over the years. Page 32: Part 2: PA Static Verification – From Power Intent to Microarchitectural Checks If you’re at DAC, please make sure to stop by of Low-Power Designs the Verification Academy booth (1622) and say, "Hi." by Progyna Khondkar — Mentor, A Siemens Business We’ll have a full slate of presentations by users, partners and Mentor experts. I hope to see you there. Page 39: Three Main Components to Look for in Your Emulation Platform Respectfully submitted, by Charley Selvidge and Vijay Chobisa — Mentor, A Siemens Business Tom Fitzpatrick Editor, Verification Horizons PARTNERS Page 45: Complex Addressable Registers in Mission Critical Applications by Ishanee Bajpai — AGNISYS

Page 48: RTL Glitch Verification by Ajay Daga, Naveen Battu — FishTail Design Automation, Inc.

Page 53: UVM-based Verification of a RISC-V Processor CoreUsing a Golden Predictor Model and a Configuration Layer by Marcela Zachariášová and Luboš Moravec — Codasip Ltd., John Stickley and Shakeel Jeeawoody — Mentor, A Siemens Business

3 VERIFICATION ACADEMY The Most Comprehensive Resource for Verification Training

32 Video Courses Available Covering •• UVMUVM FrameworkFramework •• UVMUVM DebugDebug •• PortablePortable StimulusStimulus BasicsBasics •• SystemVerilogSystemVerilog OOPOOP •• FormalFormal VerificationVerification •• MetricsMetrics inin SoCSoC VerificationVerification •• VerificationVerification PlanningPlanning •• Introductory,Introductory, Basic,Basic, andand AdvancedAdvanced UVMUVM •• Assertion-BasedAssertion-Based VerificationVerification •• FPGAFPGA VerificationVerification •• TestbenchTestbench AccelerationAcceleration •• PowerAwarePowerAware VerificationVerification •• AnalogAnalog Mixed-SignalMixed-Signal VerificationVerification UVMUVM andand CoverageCoverage OnlineOnline MethodologyMethodology CookbooksCookbooks DiscussionDiscussion ForumForum withwith moremore thanthan 96259625 topicstopics VerificationVerification PatternsPatterns LibraryLibrary

www.verificationacademy.com Editor: Tom Fitzpatrick Program Manager: Rebecca Granquist Mentor, A Siemens Business Worldwide Headquarters 8005 SW Boeckman Rd. Wilsonville, OR 97070-7777 Phone: 503-685-7000 To subscribe visit: www.mentor.com/horizons To view our blog visit: VERIFICATIONHORIZONSBLOG.COM Verification Horizons is a publication of Mentor, A Siemens Business ©2018, All rights reserved.