Who drives SoC Chips:Applications or Silicon ?

Pierre Bricaud Director, R&D Solutions Group , Inc. Sophia Antipolis SoC is not just HW, its HW+SW+Application System Trade-Offs SOFTWARE HARDWARE

Digital Embedded Embedded Analog Cores Logic/ Software ion Loop ion Loop Memory t t a a r r Integration & ite ite Integration & e e Verification Verification R R Integration & Verification

Manufacturing

• Multiple Technologies - Hardware/Software, Analog/Digital • Multiple Teams - Hardware (Analog/Digital), Software, System • Multiple Embedded Systems - IP Cores

© 2003 Synopsys, Inc. (2) Average Gate Count Continues to Grow

30%

26% 25% 2000 2001 2002 2003 36% of designs in 20% 2003 >4M gates

15%

10% 10%

5%

0%

M M M M M M M M M M M M .1 .3 .5M 1 .5 2 .5 3 .5 4 .5 5 1 < - 1- 1 - 2 - 3 - 4 - .1 1- 0 01 - 01 - 01 - 01 00 0 5 1- 5 5 5 5 5. .3 . 0 . 01 . 01 . 01 . > .1 1 .0 2 .0 3 .0 4 2 3 4

© 2003 Synopsys, Inc. (3) Data from Synopsys SNUG San Jose 2003 seminar survey Design Keeps Getting More Complex 180nm 130nm 90nm 65nm Computing Parallelism 64 Bits IP IP 30% 50% 70% 90% Flow Hierarchy Large designs Database Partial Partial Integrated Integrated API Proprietary Open Open Open S, P&R S, P&R S&P - R S&P - R Integrated Integrated Handoff Placed Gates Placed Gates Layout Layout Timing TC SI L for Busses 1.5GHz 1.5GHz 1.5GHz Clocking Cycles across Chip 1 Few Few Many Clocking Sync Sync Sync/Async Sync/Async IR drop Power Power Power Power&Signal

© 2003 Synopsys, Inc. (4) Design Keeps Getting More Complex 180nm 130nm 90nm 65nm Power Clock Gating Multi Vdd Multi Vth (leakage) Test Scan Mem Bist Logic Bist Design for Debug RET OPC PSM DR for RET DFM Statistical Timing PD for DFM Analog P&R Manual Semi-Auto Semi-Auto Semi-Auto Synthesis Manual Manual Semi-Auto Semi-Auto Package Spice Chip/Pack. P&R Chip/Pack.

© 2003 Synopsys, Inc. (5) Wafer Fabs Keep Getting Pricier

$4.0 $3.5 $3.5 9%/year rs $3.0 $2.4 $2.5 Dolla $2.0 of $1.6 $1.5 $1.0 $1.0 Billions $0.4 $0.5 $0.0 1990 1995 2000 2005 F 2010 F

Source: IC Insights, April 2003

© 2003 Synopsys, Inc. (6) Resulting in large NRE Costs

Case 1 Case 2 Case 3 Case 4

Process .13µm .15µm .15µm .13µm Technology copper copper aluminum copper

Application Graphics Wireless Networking Networking

Transistors (M) 30.0 12.1 12.0 24.2

Total Cost $M 10.7 9.0 5.7 10.9

Source: IBS © 2003 Synopsys, Inc. (7) Alliance between STMicroelectronics Crolles2 Alliance Philips and Motorola 300mm Pilot Line

Crolles2 300mm Henri-Alain Rault General Manager Philips Crolles SAS

© 2003 Synopsys, Inc. (8) CMOS Technology in Crolles2

Sept 2003

2001 2002 2003 2004 2005 2006 2007

CMOS 90 nm

CMOS 65 nm

Advanced R&D

CMOS 45 nm

AdvancedAdvanced R&D R&D

CMOS 32 nm 1° silicon Advanced R&D Prototyping Pilot projects

© 2003 Synopsys, Inc. (9) Solution: Increasing Volumes, Increasing Use of IP

Volume (2002) M Units Cable modem 111 IP Blocks Digital Cable Set-Top Boxes 48 as % of an SoC xDSL modems/Line card 67 Cellular Phones (only 2.5G, 3G) 25 Satellite Set-Top Boxes 23 WLAN 802.11b 15 95% Video surveillance 15 80% Digital Still Cameras 10 Laser Printers 8 50% Auto display / dashboard control 6 Digital Camcorders 5 Auto Navigation 4 Digital TV Sets 4 2000 2005 2010 Digital Copiers 2 Source: Dataquest, 2000 WLAN 802.11a 2

© 2003 Synopsys, Inc. (10) Agenda

• A glimpse backward: models that have worked in the past ƒ The PC Paradigm ƒ Standards ƒ Examples • A glimpse forward: ƒ More complex IP ƒ Platforms • Conclusions

© 2003 Synopsys, Inc. (11) What Works

SemiconductorsSemiconductors $141B$141B Micros, DSP $43.4B Standards enable Memory $30.8B Large Volumes ASIC, ASSP $37.8B Analog, Discrete $28.0B

© 2003 Synopsys, Inc. (12) PCs Scalable by Sticking to Standards

Name IBM PC AT Year 1984 CPU 80286 I/O Ports six-16 bit & two 8-bit ISA OS MS DOS Graphic Modes EGA: 640x350

Name Dell Inspiron 5150 Year 2003 CPU Intel Pentium4 I/O Ports IEEE 1394, USB 2.0, 10/100 Ethernet OS Windows XP Graphic Modes SXGA+

© 2003 Synopsys, Inc. (13) What’s in the Box?

CPU Memory Subsystem + cache (SDRAM + ROM) Video

AMBPCI/PCIXA AHB

ATA DVD CD USB Enet Disk ROM 2.0

© 2003 Synopsys, Inc. (14) What’s in an SoC?

CPU Memory (+DSP?) Subsystem (SRAM, DRAM, Flash, + cache SDRAM Controller) Custom Application-BlueToothLogicDSP ??? SpecificSubsys Logictem

AMBA AHB

AMBA APB

Remap Int. IR I/F UART1 GPIO Timer /Pause Cntrl SATA USB Enet UART1 GPIO 2.0

© 2003 Synopsys, Inc. (15) Intel’s XScale Architecture

© 2003 Synopsys, Inc. (16) Source: Microprocessor Report, Dec.. 2002 TI OMAP 5910

© 2003 Synopsys, Inc. (17) Source: Microprocessor Report, Sept.. 2002 TI DSP C5501

© 2003 Synopsys, Inc. (18) Source: Microprocessor Report, Jan. 2003 Toshiba MIPs-based SoC

© 2003 Synopsys, Inc. (19) Source: Microprocessor Report, Nov.2002 SoC Automotive Transmission Controller

• Transmission controller • CPU: ST10 • On-chip RAM • Embedded 4Mbits Flash • Transmission controller • CPU: ST10 • On-chip RAM • Embedded 4Mbits Flash • Dual ARM architecture • Embedded Flash • Internal cross bar switch

© 2003 Synopsys, Inc. (20) Convergence of Hardware Architectures

• Processors ƒ ARM and DSP • Memory ƒ Over half the chip • IO ƒ Driven by (a few) standards ƒ Sometimes processor-based (recursive)

© 2003 Synopsys, Inc. (21) Convergence of IP Market

• Processors ƒ ARM and MIPS ƒ DSP not yet sorted out • Memory ƒ Virage, Artisan, Mosys • IO ƒ Synopsys and ARC and Mentor

© 2003 Synopsys, Inc. (22) Agenda

• A glimpse backward: models that have worked in the past ƒ The PC Paradigm ƒ Standards ƒ Examples • A glimpse forward: ƒ More complex IP ƒ Platforms • Conclusions

© 2003 Synopsys, Inc. (23) Critical IP Aspects

Poor IP design makes: ƒ Development time and performance unpredictable ƒ Integration effort exponential with the # of blocks

Good IP design makes: ƒ Development time and performance predictable ƒ Integration effort linear with the # of blocks

© 2003 Synopsys, Inc. (24) Critical IP Aspects

• Functional Correctness – Complex design ƒ Across configuration space ƒ In any design context • Interoperability - Standards ƒ Plug and Play in your design • Configuration/Integration ƒ Consistent, legal configuration ƒ Tool flows supported cleanly ƒ Complete deliverables

© 2003 Synopsys, Inc. (25) Functional Verification Includes Simulation and Formal Techniques Property Checking RTL Coverage input [8:0] a; AnalysisAnalysis output zz; wire b = a[8]; Testbench wire [2:0] c = a[8:6]; Automation wire [5:0] d = a[8:3]; wire zz = ~ ( b | c==3'b0_01 RTL Simulation Design / VHDL Gates

Static Timing Gates/ Equivalence Analysis Design Transistors Checking

Transistor / Analog Simulation

© 2003 Synopsys, Inc. (26) Typical Verification Methodology

• limited to covering the test cases that have been identified

DirectedDirected

• Ad-on to directed test environment • Limited to randomizing BFM command stream • Unable to adequately emulate real-world stimulus and automatically check response RandomRandom resulting in major holes in random test coverage

SiliconSilicon • limited to a small subset of possible target systems and drivers verificationverification • debug cycle is extremely long

© 2003 Synopsys, Inc. (27) ““SmartSmart”” VerificationVerification PlatformPlatform

Testbench Hierarchy

DUT DUT • “Smart” test environment Configuration Test scenario ƒ Speeds up test authoring Scenario manager • Self-checking architecture Layer 3 (Stimulus) • Reuse from sub-system to Coverage Stream Stream system level testing manager manager self-check

• Reuse for other projects Layer 2 Application specific extensions • Resilient to design changes (Application) Testbench configuration Layer 1 ƒ e.g. only need layer-1 and access manager modifications (Ip) (model configuration and control) Quantitative quality metrics • Layer 0 Testbench Interface to DUT ƒ Code coverage ƒ Functional coverage Module (DUT)

© 2003 Synopsys, Inc. (28) Constrained Random Finds More Bugs

Input Data Response Type Transaction Address OKAY: 65% Response Constraints Transfer Type ERROR: 5% Constraints Burst Type SPLIT: 30% SoC

Master/Tx Slave/Rx

• Many test scenarios with few commands • Finds complex sequences impossible with directed test

© 2003 Synopsys, Inc. (29) Optimal CRV Is Essential Because…

• … it’s the only way to find bugs that occur exclusively under complex combinations of ƒ Bus traffic ƒ Configurations ƒ Parameters ƒ Timing windows • ... it is humanly impossible to think of all the cases CRV generates!!!

© 2003 Synopsys, Inc. (30) Experience Shows That It Works

• 3 “mature” cores reverified ƒ In production from 6 months-3 years ƒ Passed all compliance testing ƒ Scores of tapeouts • Optimal CRV found average 20-30 bugs • 15-20% severe, no workaround

© 2003 Synopsys, Inc. (31) IP Products

Basic Verification Library Standard Cores Star IP Library

„ Data path „ Ver. Suites „ USB1.0, 2.0, .. „ MIPS 4KE „ Memory „ AMBA „ PCI, PCI-X, ... „ TriCore1 „ OCB/AMBA „ PCI, PCI-X „ Ethernet 10/100,.. „ C166S „ Building Blocks „ USB OTG … „ Bluetooth „ V850E „ DW Verification „ Memory Models „ USB PHY… „ PPC 440 Library „ …

„ Complete SoC „ Smart Verification „ Connectivity IP „ Partner licenses infrastructure IP „ Standard protocol „ Interoperability „ Synopsys „ Improved QoR verification „ Time to market supports „ Smart Verification „ Verification farms

© 2003 Synopsys, Inc. (32) AnAn SoCSoC PerspectivePerspective OnOn PlatformPlatform--basedbased DesignDesign

• A Platform ƒ An essentially defined architecture*: increased complexity & cost • VSIA Established DWG On Platform-based Design ƒ Platform-based design : “an integration-oriented approach emphasizing systematic reuse, for developing complex products based on platforms and compatible hardware and software VCs, to reduce development risks, costs, and TTM” ƒ Observation: bottom-up to date by chip makers intent on reuse

© 2003 Synopsys, Inc. (33) *Gary Smith From IP to Platforms

USB2.0 Device Controller CPU PCI-X Application- rd PCI Specific 3 Party Logic Peripherals MPEG2

Arbitration System Bus + Decode + Control

ROM RAM Flash Bridge DSP

Peripheral Bus

Basic Peripherals Application- 3rd Party E.g., Timer, Specific Peripherals Logic Interrupt Control, UART

© 2003 Synopsys, Inc. (34) The Future

• IP reuse up to 99%

• IP complexity comparable to SoC

• Standards are key

• Verification IP

• Platforms

• More functionality in SW

© 2003 Synopsys, Inc. (35) Economics: Standards-based IP

• Initial development ƒ Understand the standard ƒ Functional spec, architecture design ƒ Implementation, verification, flow testing ƒ Certification (test chips, boards, lab, …) ƒ Documentation ƒ Packaging & release The typical core development project today takes roughly 5-20 person years to complete

© 2003 Synopsys, Inc. (36) Economics: Standards-based IP

• Maintenance ƒ Bugs / enhancements ƒ Track standard ƒ Add new interfaces • -> Initial development ƒ Run regressions

The typical core maintenance today runs at roughly 1-2 person years per year

© 2003 Synopsys, Inc. (37) Strong Forces for Consolidation In IP Providers

Small vendors are just too high risk IPIP Multiple vendors on one chip brings multiple challenges

Elements for greatly reducing risk: • Choose widely used, trusted cores • Inspect reuse methodology • Insist on advanced verification technology

© 2003 Synopsys, Inc. (38) IP Providers Cumulative Rank Company 2001 ($M) 2002 ($M) Growth Share Share 1 ARM 168.0 184.9 10% 20% 20% 2 Rambus 107.3 97.4 -9% 10% 30% 3 Synopsys * 45.0 73.2 63% 8% 38% 4 TTP Com 39.5 58.0 47% 6% 44% 5 ParthusCeva * 40.9 51.2 25% 5% 50% 6 Virage Logic 34.8 47.5 36% 5% 55% 7 Artisan 27.8 43.7 57% 5% 60% 8 MIPS Technologies 70.2 43.1 -39% 5% 64% 9 30.4 25.7 -15% 3% 67% 10 Monolithic System Technology 9.5 24.9 162% 3% 70% 11 ARC International 16.5 17.7 7% 2% 71% 12 LogicVision 17.3 15.6 -10% 2% 73% 13 Nurlogic 15.1 15.4 2% 2% 75% 14 LEDA Systems 16.2 15.3 -5% 2% 76% 15 Imagination Technologies 11.4 15.3 35% 2% 78% 16 Newlogic Technologies 7.0 15.0 114% 2% 80% 17 Tensilica 21.1 12.8 -40% 1% 81% 18 Cadence Design Foundry 15.5 12.4 -20% 1% 82% 19 Virtual Silicon 13.7 10.1 -26% 1% 83% 20 Sarnoff 7.0 9.6 38% 1% 84% Total Top 20 714.0 788.8 10% 84% 84% Others * 177.4 145.0 -18% 16% 100% Total 891.5 933.8 5% 100% 100%

© 2003 Synopsys, Inc. (39) Source Gartner Dataquest April 2003 IP Market Segments and Growth

2001 2002 Gr ow th Microprocessor and DSP Cores 301.4 273.4 -9% Platforms 98.7 127.2 29% Signal Processing 33.3 36.9 11% Networking 44.4 42.6 -4% Block Libraries 54.3 54.2 0% Bus Interfaces 30.9 31.6 2% Chip Infrastructure and Test IP 25.3 24.2 -4% Other 73.2 85.6 17% Memory 64.6 93.8 45% Physical Libraries 32.9 39.5 20% Analog Mixed Signal 25.3 27.3 8% Rambus 107.3 97.4 -9% Total IP 891.5 933.8 5%

© 2003 Synopsys, Inc. (40) Source: DataQuest April 2003 Summary

• IP diversity matters ƒ It is kept in check by standards ƒ IP needs to work together – platforms • IP vendor size matters ƒ IP needs to be certified by the vendor ƒ The user certifies the vendor • Consolidation is unavoidable

© 2003 Synopsys, Inc. (41)