.

Appendix A Current Microelectronics Technology

This appendix provides general background in- Figure A-1 .—Generations of Technology formation about microelectronics technology, the roots of which extend back to the early part of this century. Today, a vast assortment of integrated Beyond circuits (ICs) and other miniature electronic de- very large-scale Fifth generation vices are on the market. All of these components integration pass through complex design and fabrication proc- esses before reaching consumers. t Electronics Technology From Vacuum Tubes to Integrated Circuits Very Electronics technology over the last century has Early 1980s large-scale Fourth generation advanced in a series of dramatic breakthroughs: integrated circuits the vacuum tube, the , and the inte- grated circuit. These are often used to designate different generations of technology, as shown in figure A-1. The history of electronic devices began in the early 1900s with the invention of vacuum tubes, 1958 Integrated Third generation the first devices to reach major use in manipulat- circuits ing and amplifying electrical currents. This tech- nology is limited by several related features. b Vacuum tubes require high voltages and, by microelectronics standards, a great deal of power. t The invention of the transistor in 1947 marked the beginning of a new generation in electronics.

The inventors fabricated the device from a crys- 1947 Second generation tal of material to which they added controlled quantities of different impurities. The transistor had three points of electrical contact, or terminals. Like a vacuum tube, it could amplify an electrical signal, but at room temperature, and t it required only a small fraction of the power, volt- age, and space that tubes demand. The transistor rapidly became the central component in circuits Early 1900s Vacuum First generation for a variety of applications. tubes By the late 1950s, transistor-based circuits dom- inated early and military systems. But SOURCE Off Ice of Technology Assessment the drive for increasingly complex circuitry en- countered some difficult barriers. Millions of con- demanded smaller, faster circuits that would con- nections were required to turn the hundreds of sume less power and could be fabricated reliably. thousands of components into a functioning The next major breakthrough in electronics was circuit—a labor-intensive process with unreliable the invention of the in 1958. Un- results. Further complicating things, the total cir- til this time, all electrical circuits consisted of sep- cuit size was growing unmanageable, although the arate components-transistors, , , individual components were quite small. The —connected by wires. The inventors of equipment in which electronic devices were used the IC recognized that the wires and other com-

27 28 ponents could also be fabricated from or on the vices in general. Figure A-2 shows the types of same semiconductor material that was used to microelectronic devices on the market today. The make transistors.1 In this way, they made an en- most numerous and widely used of these are stand- tire circuit on a single piece, or chip, of the mate- ard ICs made of silicon. Other semiconductor rial. Using this technique, engineers were able to devices, such as optoelectronic and microwave make connections and components by etching the products and discrete devices, are also part of semiconductor and depositing metals and insula- microelectronics technology. Additionally, a few tors in patterns on the chip. The new process elim- examples exist of miniature devices made from inated many of the problems associated with pro- materials other than (e.g., mag- ducing highly complex circuits. Because the huge netic bubble storage devices), but these fall out- number of connections no longer had to be made side the scope of this paper. by soldering wires together, the IC technique was more reliable and less labor-intensive. The individ- Types of Integrated Circuits ual components could now be smaller since they would not need to be handled by people. This Integrated circuits are commonly classified in shrinkage yielded circuits that operated at higher a variety of ways and referred to with an impres- frequencies and consumed less power. sive number of acronyms. They may be catego- Progress in microelectronics over the last 25 rized by function, by the level of integration (num- years has been based on further shrinkage of the ber of components), by the type of transistors used circuitry etched onto chips. The number of com- in the circuitry, or by the underlying material (or ponents per chip has, on average, almost doubled substrate) on which they are fabricated. every year, Today, up to 1 million transistors and Types of Functions.–Integrated circuits may be other components are fabricated on a single chip either digital or analog (also called linear). In gen- that may have an area of less than 1 square centi- eral, a digital circuit or stores voltages meter. that represent discrete values. For example, O volts and 5 volts may represent the values O and 1, respectively. An analog circuit, in contrast, am- Microelectronic Devices plifies or otherwise modifies voltages of any value within a given range (e.g., any voltage between O In this background paper, the term “microelec- and 5 volts). Some integrated circuits are designed tronics” is used to refer to miniature electronic de- to convert signals from analog form to digital form ‘For an account of the invention of the integrated circuit, see T. Il. (A-to-D converters) or vice versa (D-to-A con- Reid, ‘The Chip,” Science 85, February 1985, p. 32, excerpted from T.R. verters). Custom chips can combine the various Reid, The Chip: 7’he Microelectronics Revolution and the Men W’ho Made It (New York: Simon & Schuster, 1985). functions. Currently, the majority of ICs are digi-

Figure A-2.—Types of Microelectronic Products

Microelectronic products . 1 1 I I Integrated Discrete Optoelectronic Microwave circuits transistors devices - devices and diodes . b I 1 t ? * 1 Custom and other Monolithic Digital Analog Lasers Discrete Ics (linear) ICs ICs and light- microwave I 4 4 < devices emitting Detectors ICs diodes (LEDs) t -1 f Microprocessors a Memories - Logic ICs 4 A Read-only Random-access memories memories (ROMs) (RAMs)

SOURCE Office of Technology Assessment 29 tal; computers, the major use of ICs, are based on Designers can program information into read- digital integrated circuits. only memories in different ways. They may put the The major product categories in digital inte- information in the physical chip design; this type grated circuits are logic circuits, memories, and is known as a mask-programmable ROM. Alter- microprocessors. All three handle information in natively, chip manufacturers fabricate ROMs that the form of electrical signals: logic circuits proc- users can program themselves (programmable ess the signals, memories store them, and micro- ROMs, or PROMs)–a much more versatile prod- processors combine the two functions. uct. Some varieties of these PROMS can be erased Logic circuits carry out the operations required and reprogrammed using light or electrical signals to manipulate data in binary digital form. They (erasable PROMS, or EPROMs). EPROMs differ perform mathematical functions. Logic ICs are from read/write memories in two ways: they typi- typically classified according to the type of tran- cally cannot be reprogrammed by the it- sistors used to make them. self, but they need no power source to retain in- Semiconductor memories are microelectronic cir- formation. cuits designed to store information. They fall into The capacity of a semiconductor memory is de- two groups: read/write memories and read-only termined by the number of bits that it can store. memories (ROMs). Although both groups consist The maximum capacity available in the early primarily of memories that can be accessed ran- 1970s was 1,024 bits, commonly called a kilobit or domly (i.e., any storage location can be accessed kbit. Today, 262,144-bit (256 -kbit) RAMs are on in the same amount of time), the term “random- the market, and l,048,676-bit (l-megabit) RAMs access memory” (RAM) usually refers only to are just around the corner. Prices for memories read/write memories. A computer can store a da- have dropped as dramatically as their capacity has tum in a location within a read/write memory and risen: memory costs approximately one-thousandth later retrieve it or store a new datum there. How- of a cent per bit today. ever, the computer can only retrieve information Because a microprocessor is a complete com- that is already stored (by some external means) in puter processing unit on a single IC, it can proc- a ROM. Thus, a ROM may be used to store un- ess data expressed in a series of binary digits or changing information or instructions that the com- bits (ones or zeroes). The number of bits used in puter needs regularly, while read/write memories the series determines the precision of the datum’s are used to store data as they come into the com- digital representation; precision increases with the puter and as they are processed. number of bits. The original microprocessor, made Read/write memories may be labeled “static” or in 1970 at Intel Corp., was designed to handle four “dynamic” depending on the design of the circuits bits. The industry introduced 8- and 16-bit micro- that make up the RAM. Dynamic RAMs (DRAMs) processors by the end of that decade and 32-bit store data in the form of charge on capacitors, microprocessors within the last few years. and so require that the capacitors be recharged Levels of Integration.—The level of integration regularly-every few milliseconds. Static RAMs of an integrated circuit refers to the number of (SRAMs), on the other hand, store data by chang- components packed on the chip. The progress in ing the state of transistors in the storage element, integration since the invention of the IC is shown a technique that requires a constant flow of power in table A-1. Figure A-3 shows how a transistor rather than intermittent recharging to maintain on an IC compares in size with some other micro- accurate storage. Both static and dynamic read/ scopic items. write memories need a constant supply of power Types of Transistors. -An integrated circuit may to the circuit as a whole to operate. also be classified according to the kind of transis-

Table A-1 .—Levels of Integration — ——— Design rule Number of Approximate Level of integration (microns) transistors years Scale-scale integration (SSI) ...... 30-20 2 to 64 1960-65 Medium-scale integration (MSI) ., ...... 20-10 64 to 2,000 1965-70 Large-scale integration (LSI) ...... 10-3½ 2,000 to 64,000 1970-78 Very large-scale integration (VLSI) . . ... 3½ -1 1/4 64,000 to 2 million 1978-86 Ultra large-scale integration (ULSI) ...... <1 l/4 >2 million after 1986

NOTE The numbers (n Ihls table a;e approximate NO standard de flnlt;on extsts for the dlfferenl I ntegratton levels SOURCE Adapted from James M Early and Bruce E Deal Falrchlld Research Center and C Gordon Bell Encore Computer Co.[) 30

Figure A-3. —Microscopic Sizes

I&l ++ 1 micron Transistor on an integrated circuit approx. 1-2 microns

Bacterium approx. 3 microns long / \ m Cross section of 1 Small human hair particle approx. 50 microns 15 microns

o 5 10 15 20 25 30 35 40 45 50 55 60 65 M icrons

SOURCE Off Ice of Technology Assessment Adapted fr)m .] flqure by Jlmmle R Suttle Army Research Of f!ce tor used in its design. For silicon ICs, two types chines because they are the only chips capable of of transistors are used: bipolar transistors and the high speeds that are required. On the other metal-oxide-semiconductor field-effect transistors hand, personal computer vendors tend to base (). These are further subdivided to de- their products on MOS chips, because cost is gen- scribe the specific structure of the device and the erally a bigger concern than speed. design of the surrounding circuit. The most com- Types of Materials. –Virtually all integrated cir- mon bipolar designations are ECL (emitter- cuits on the market today are made on substrates coupled logic) and TTL (transistor-transistor of the same material that was the base for the first logic); the most common types of MOSFETS are IC: silicon. The longevity of silicon is due to its NMOS (n-channel MOS) and CMOS (complemen- physical properties as well as to practical economic tary MOS). (See the discussion of chip fabrication considerations. Silicon IC technology is more eas- in this appendix for some additional details.) ily executed than is the technology of compound The primary differences between bipolar and semiconductors, which have more than one ele- MOS technologies are speed (bipolar transistors ment, because the chemistry of a material made are faster); power (MOS circuits require less of a single element is innately simpler. Further- power); and ease of manufacture (MOS circuits more, once silicon technology was established, eco- have higher yield). Because the yield determines, nomic factors dictated that any replacement be far in large part, the cost of the chip, bipolar chips are superior to silicon to be worth the large costs of typically more expensive. However, the advantage converting to a new system. And since silicon tech- of higher speed is worth the extra cost and power nology has never stopped progressing-or even requirements for bipolar ICs in some applications. slowed significantly-attempts to replace it have For example, most makers of large computers use been shooting at a moving target. The recent de- bipolar ICs for the central components in their ma- cline of Josephson junction technology (which is 31 based on superconductors) for computers has wires that carry electrical signals. Semiconductor largely been attributed to this problem. lasers or LEDs generate the light signals, and semi- Despite its great usefulness, however, silicon conductor photodetectors convert the received cannot satisfy all demands of microelectronics. light signals back to electrical signals. These de- Since transistors made from gallium arsenide vices are most commonly made from layers of (GaAs) can be faster and more impervious to ra- GaAs and aluminum gallium arsenide (AIGaAs) on diation damage than silicon transistors, several a substrate of GaAs, or, more recently, from layers companies are trying to introduce GaAs inte- of iridium gallium arsenide phosphide (InGaAsP) grated circuits. These ICs are particularly attrac- and iridium gallium arsenide (InGaAs) on a sub- tive for some military applications (e.g., space- strate of InP. The quaternary materials-different based circuitry), and they may also find standard compositions of InGaAsP-can generate and de- commercial applications. However, they cannot tect the wavelengths of light that travel along the currently compete with silicon technology for glass fibers with the least distortion (wavelength standard functions. For example, a fully opera- of 1.3 microns) and fading (wavelength of 1.55 tional 1,024-bit GaAs RAM is still in the labora- microns). tory phase, while silicon RAMs with over 250 The primary purpose of long-wavelength in- times the capacity have been on the market for frared (IR) detection is to “see” living or hot ob- some time already, (See ch, 3 for a more detailed jects in the dark. The Department of Defense has description of current R&D activities in this area.) spearheaded work on IR sensors, since their po- tential military uses are many. Typically, the de- Other Semiconductor Devices vices are made from alloys of HgTe and CdTe, be- cause these materials can be adjusted to detect the Semiconductor materials are also used to make appropriate wavelengths of light (3 to 5 microns a host of individual microelectronic devices, as and 8 to 14 microns). Special types of silicon di- distinguished from standard silicon integrated odes can also act as IR sensors in some of these circuits. These include discrete transistors and wavelength ranges. diodes, optoelectronic devices, and microwave Microwave Devices.-Microwave (high-frequen- devices. cy) devices take advantage of the intrinsic high Discrete Transistors and Diodes.—Electronic in- speed of gallium arsenide. They generate, amplify, struments, including radios, , and con- , and receive microwave signals with fre- trol instruments, use not only ICs but also indi- quencies from approximately 1 to 60 gigahertz (1 vidual transistors and diodes. (Diodes are two- gigahertz is 1 billion cycles per second). Radar sys- terminal devices that allow current flow in only one tems and transmission systems for telephone, tele- direction.) These components are typically made vision, and telegraph signals, which operate at from silicon, The transistors may be bipolar or these frequencies, as well as military systems, de- field-effect transistors. pend on these microwave devices. This technology Optoelectronic Devices. -Semiconductors such as is at present progressing to monolithic microwave gallium arsenide (GaAs), iridium phosphide (InP), integrated circuits (MM ICs), in which microwave cadmium telluride (CdTe), and mercury telluride circuits are fabricated on a single substrate, typi- (HgTe) are the bases for a range of other micro- cally gallium arsenide. electronic devices that require properties that sili- con lacks—the ability to interact efficiently with Technologies To Produce light and the ability to operate at extremely high speeds or frequencies. Semiconductor Microelectronics Optoelectronic devices depend on the first of Numerous steps are involved in making any these properties. Optoelectronics includes light- microelectronic device. Integrated circuits are the emitting diodes (LEDs) and lasers, which convert most complex in this respect. The process can be electrical signals to light signals, and photodetec- separated into two parts: circuit design and chip tors, which convert light signals into electrical sig- fabrication. nals. The two major applications for these devices are fiber optic communications and long-wave- Circuit Design length infrared light detection. Fiber optic or lightwave communications sys- Once the application for a new integrated circuit tems use glass fibers to transmit light signals from is established, the first step in making the chip is one point to another; the fibers can replace metal the design of the circuit. The use of a wide variety 32 of computer-aided design (CAD) tools has facili- Figure A-4.– First of Four Mask Processes To Make tated all parts of the design process for large ICs an N-channel MOSFET tremendously. For a digital IC, the overall circuit will typically be a combination of logic functions n-type n-type and storage functions. The designer determines A. B the layout of the subcircuits that carry out each siIicon I I silicon I of these functions and the connections between the subcircuits. Also in this initial stage, the chip Light designer must establish mechanisms for bringing the external signals and power to the various sub- circuits. Each subcircuit may itself be composed of smaller, interconnected cells of circuitry. The fundamental units for the designer are the indi- vidual components, such as transistors, diodes, resistors, and capacitors, and the connections be- tween them. Because the process of designing circuits has grown so complex over the years, now involving over 100,000 components on a chip, design soft- ware is a major research area which is as impor- E n-type F n-type tant to progress in microelectronics technology as silicon silicon I I I I advancements in chip fabrication. As the capabil- ities of design tools grow, they provide increas- ingly greater flexibility for different chip architec- p-,type impurities tures, and therefore open the door to using IC I I I I technology in completely new ways to fabricate specialized circuits.

Chip Fabrication Chip fabrication includes making the circuitry and packaging the completed chip. The first step of the process is transferring the Metal contacts circuit designers’ layout onto the semiconductor chip. The substrate onto which the circuits are transferred is a , or thin slice, of a single crys- tal of the semiconductor. Currently, a typical wa- fer is several inches in diameter, so many chips can SOURCE Office of Technology Assessment be made on a single wafer and separated after cir- cuit fabrication. The circuit is created by deposit- thography) leave a layer of silicon dioxide (SiO2), ing thin layers of metals, insulators, and perhaps with small holes etched in it, on top of the sub- additional semiconductor materials on the wafer; strate. This layer serves as a stencil for the p-type adding n-type impurity atoms (negative charge impurities to which the chip is then exposed: only contributors) or p-type impurity atoms (positive the portions that are not covered with SiO2 become charge contributors) to the semiconductor; and p-type. etching away precisely defined portions of the vari- This process is accomplished as follows. The ous layers with chemicals or ions. starting material is a wafer of silicon to which n- Illustrating a simplified example of one part of type impurities were added during growth. The this process, figure A-4 shows the steps in the first first step is to grow a thin layer of silicon dioxide phase of the fabrication of a single transistor-an on the surface of this substrate, either by expos- n-channel MOSFET—on a silicon substrate. The ing the surface to the proper chemicals, or by heat- objective of this first phase is to add p-type impu- ing the wafer in a furnace with water vapor (step rity atoms in two small selected regions near the A in figure A-4). Next, a layer of light-sensitive ma- surface of the chip, thereby forming “p-wells.” The terial called photoresist coats the oxide (step B). first six steps of this phase (which constitute li- The portions of the photoresist that are exposed —

when the surface is irradiated with light through Fabricating an entire integrated circuit is sig- a glass mask (step C) undergo a chemical change. nificantly more complicated because a larger num- These parts of the photoresist do not wash away ber of much more complex masks are necessary. when the chip is rinsed in the chemical developer, Chips now also require extremely sophisticated but the unexposed portions do (step D). Now, the techniques for selective etching of materials, pho- chip is placed in a bath of chemicals that etch away toresist exposure, and other parts of the process. silicon dioxide, but leave the photoresist and sili- All of these processing steps must be carried out con intact (step E). Since the portions of the ox- in an environment completely free of particulate ide that are below the photoresist are not etched, —clean-room facilities. Thus, it is not surprising this procedure opens windows in the oxide layer. that the trend in chip fabrication has consistently A different chemical bath removes the remaining been towards greater automation of the processes, photoresist without damaging the oxide regions which reduces the chances of contamination or hu- (step F). The chip is now ready for exposure to the man error. Today, most machines used in chip p-type impurities, which are driven into the chip fabrication can handle cassettes containing many either from a piece of source material in a furnace large wafers of silicon, minimizing the need for hu- or by accelerating impurity ions into the material mans to handle the delicate circuitry. (step G). When the number of p-type impurities ex- When the final lithographic procedures are com- ceeds the number of n-type impurities that were plete, the large silicon wafer is tested and diced there originally, the wells will exhibit p-type be- to separate the individual chips. The good chips havior. Finally, when the p-wells have been formed, are then assembled in packages appropriate for the remaining SiO2 can be chemically removed their particular applications. Packaging technol- (step H). ogy is a crucial part of the production of ICs. After three more mask cycles, the completed de- Proper packaging techniques can shield the chip vice is composed of regions of semiconductor with from physical damage and some forms of radiation p- and n-type impurities; silicon dioxide, which acts damage, For commodity ICs that are sold to a va- as an electrical ; and aluminum electrical riety of end users, standard packaging systems are contacts. In present-day chips, the physical sepa- necessary to ensure that chips are interchangeable. ration between the regions with p-type impurities On the other hand, chips designed and used for may be less than 1 micron, and the entire transis- high-speed computation require special custom tor is invisible to the naked eye. Furthermore, the packages that maximize the speed with which sig- number of impurities must be controlled to within nals enter and leave the chip, since standard pack- a few parts per billion in some regions of the aging schemes could obliterate the special speed device. advantage of the chip by itself.