Memory in Systemverilog

Total Page:16

File Type:pdf, Size:1020Kb

Memory in Systemverilog Memory in SystemVerilog Prof. Stephen A. Edwards Columbia University Spring 2015 Implementing Memory Memory = Storage Element Array + Addressing Bits are expensive They should dumb, cheap, small, and tighly packed Bits are numerous Can’t just connect a long wire to each one Williams Tube CRT-based random access memory, 1946. Used on the Manchester Mark I. 2048 bits. Mercury acoustic delay line Used in the EDASC, 1947. 32 × 17 bits Selectron Tube RCA, 1948. 2 × 128 bits Four-dimensional addressing A four-input AND gate at each bit for selection Magnetic Core IBM, 1952. Magnetic Drum Memory 1950s & 60s. Secondary storage. Modern Memory Choices Family Programmed Persistence Mask ROM at fabrication 1 PROM once 1 EPROM 1000s, UV 10 years FLASH 1000s, block 10 years EEPROM 1000s, byte 10 years NVRAM 1 5 years SRAM 1 while powered DRAM 1 64 ms Implementing ROMs 0 Z: “not 0/1 Bitline 2 Bitline 1 Bitline 0 connected” 0 Wordline 0 1 0 1 1 0 0 1 Wordline 1 A1 2-to-4 1 1 0 1 A0 Decoder 2 Wordline 2 1 1 1 0 0 Add. Data 3 Wordline 3 00 011 0 1 0 01 110 10 100 D2 D1 D0 11 010 Implementing ROMs 0 Z: “not 0/1 Bitline 2 Bitline 1 Bitline 0 connected” 0 0 Wordline 0 1 0 1 1 0 0 0 1 Wordline 1 1 A1 2-to-4 1 1 0 0 1 A Decoder 0 1 2 Wordline 2 1 1 1 0 0 0 Add. Data 3 Wordline 3 00 011 0 1 0 01 110 1 0 0 10 100 D2 D1 D0 11 010 Implementing ROMs 0 Z: “not 0/1 connected” 0 1 0 0 1 A1 2-to-4 1 A0 Decoder 2 1 1 Add. Data 3 00 011 01 110 10 100 D2 D1 D0 11 010 Implementing ROMs 0 Z: “not 0/1 connected” 1 0 1 0 0 1 1 A1 2-to-4 1 A Decoder 0 0 2 1 1 1 Add. Data 3 00 011 01 110 1 0 0 10 100 D2 D1 D0 11 010 Mask ROM Die Photo A Floating Gate MOSFET Cross section of a NOR FLASH transistor. Kawai et al., ISSCC 2008 (Renesas) Floating Gate n-channel MOSFET SiO2 Control Gate Floating Gate Drain Source Channel Floating gate uncharged; Control gate at 0V: Off Floating Gate n-channel MOSFET SiO2 Control Gate +++++++++ − − − − − − −− Floating Gate +++++++++ − − − − − − −− Drain Source Channel Floating gate uncharged; Control gate positive: On Floating Gate n-channel MOSFET SiO2 Control Gate ++++ − − −− Floating Gate − − −− ++++ Drain Source Channel Floating gate negative; Control gate at 0V: Off Floating Gate n-channel MOSFET SiO2 Control Gate ++++++++ − − − − − − − Floating Gate −− ++ Drain Source Channel Floating gate negative; Control gate positive: Off EPROMs and FLASH use Floating-Gate MOSFETs Static Random-Access Memory Cell Bit line Bit line Word line Layout of a 6T SRAM Cell ! $% $%&$ ! '() "# Weste and Harris. Introduction to CMOS VLSI Design. Addison-Wesley, 2010. Intel’s 2102 SRAM, 1024 × 1 bit, 1972 2102 Block Diagram SRAM Timing A12 A11 . D7 CS1 . D6 . CS2 A2 6264 . A1 8K × 8 . A0 SRAM D1 WE CS1 D0 OE CS2 WE Addr 1 2 OE Data write 1 read 2 6264 SRAM Block Diagram I/O0 INPUT BUFFER I/O1 A1 I/O2 A2 A3 I/O3 A4 256 x 32 x 8 A5 ARRAY I/O4 A6 A7 I/O A8 5 I/O6 POWER CE1 DOWN I/O7 CE2 COLUMN DECODER WE OE CY6264-1 Toshiba TC55V16256J 256K × 16 A17 A16 . D15 . A2. D14 A1 . 256K × 16 . A0 . SRAM D1 UB D0 LB WE OE CE Dynamic RAM Cell Column Row Ancient (c. 1982) DRAM: 4164 64K × 1 A7 A6 . A2. A1 4164 A0 64K × 1 DRAM Din Dout WE CAS RAS Basic DRAM read and write cycles RAS CAS Addr Row Col Row Col WE Din to write Dout read Page Mode DRAM read cycle RAS CAS Addr Row Col Col Col WE Din Dout read read read Samsung 8M × 16 SDRAM BA1 BA0 I/O Control A11 LWE A10 Data Input Register . LDQM . Bank Select . Refresh Counter Output Buffer Output Row Decoder Col. Buffer A2 8M x 4 / 4M x 8 / 2M x 16 Sense AMP DQ15 Row Buffer A1 8M x 4 / 4M x 8 / 2M x 16 Address Register Address DQi DQ14 8M x 4 / 4M x 8 / 2M x 16 A0 CLK × . 8M x 4 / 4M x 8 / 2M x 16 8M 16 . SDRAM . ADD UDQM DQ1 LRAS LCBR Column Decoder LDQM DQ0 Latency & Burst Length WE LCKE Programming Register CAS LRAS LCBR LWE LCAS LWCBR LDQM RAS CS Timing Register CKE CLK CKE CS RAS CAS WE L(U)DQM CLK SDRAM: Control Signals RAS CAS WE Action 1 1 1 NOP 0 0 0 Load mode register 0 1 1 Active (select row) 1 0 1 Read (select column, start burst) 1 0 0 Write (select column, start burst) 1 1 0 Terminate Burst 0 1 0 Precharge (deselect row) 0 0 1 Auto Refresh Mode register: selects 1/2/4/8-word bursts, CAS latency, burst on write SDRAM: Timing with 2-word bursts Load Active Write Read Refresh Clk RAS CAS WE Addr Op R C C BA B B B DQ W W R R Using Memory in SystemVerilog Basic Memory Model Clock Address A0 A1 A1 Address Read A0 Data In Data Out Memory Data In D1 Write Write Clock Data Out D0 old D1 D1 Basic Memory Model Clock Address A0 A1 A1 Address Write A1 Data In Data Out Memory Data In D1 Write Write Clock Data Out D0 old D1 D1 Basic Memory Model Clock Address A0 A1 A1 Address Read A1 Data In Data Out Memory Data In D1 Write Write Clock Data Out D0 old D1 D1 Memory Is Fundamentally a Bottleneck Plenty of bits, but You can only see a small window each clock cycle Using memory = scheduling memory accesses Software hides this from you: sequential programs naturally schedule accesses You must schedule memory accesses in a hardware design Modeling Synchronous Memory in SystemVerilog module memory( Write enable input logic clk , input logic write , 4-bit address input logic [3:0] address , 8-bit input bus input logic [7:0] data_in , output logic [7:0] data_out);data_out 8-bit output bus logic [7:0] mem [15:0]; The memory array: 16 8-bit bytes always_ff @(posedge clk)clk begin Clocked if (write) mem[address] <= data_in;data_in data_out <= mem[address];mem[address] Write to array when asked end endmodule Always read (old) value from array M10K Blocks in the Cyclone V 10 kilobits (10240 bits) per block Dual ported: two addresses, write enable signals Data busses can be 1–20 bits wide Our Cyclone V 5CSXFC6 has 557 of these blocks (696 KB) Memory in Quartus: the Megafunction Wizard Memory: Single- or Dual-Ported Memory: Select Port Widths Memory: One or Two Clocks Memory: Output Ports Need Not Be Registered Memory: Wizard-Generated Verilog Module This generates the following SystemVerilog module: module memory ( // PortA: input logic [12:0] address_a, // 8192 1-bit words input logic clock_a, input logic [0:0] data_a, input logic wren_a, // Write enable output logic [0:0] q_a, // PortB: input logic [8:0] address_b, // 512 16-bit words input logic clock_b, input logic [15:0] data_b, input logic wren_b, // Write enable output logic [15:0] q_b); Instantiate like any module; Quartus treats specially Two Ways to Ask for Memory 1. Use the Megafunction Wizard + Warns you in advance about resource usage − Awkward to change 2. Let Quartus infer memory from your code + Better integrated with your code − Easy to inadvertantly ask for garbage The Perils of Memory Inference Failure: Exploded! module twoport( Synthesized to an 854-page input logic clk, input logic [8:0] aa, ab, schematic with 10280 input logic [19:0] da, db, registers (no M10K blocks) input logic wa, wb, output logic [19:0] qa, qb); Page 1 looked like this: logic [19:0] mem [511:0]; always_ff @(posedge clk) begin if (wa) mem[aa] <= da; qa <= mem[aa]; if (wb) mem[ab] <= db; qb <= mem[ab]; end endmodule The Perils of Memory Inference Failure module twoport2( input logic clk, input logic [8:0] aa, ab, Still didn’t work: input logic [19:0] da, db, input logic wa, wb, output logic [19:0] qa, qb); RAM logic “mem” is uninferred due to logic [19:0] mem [511:0]; unsupported always_ff @(posedge clk) begin read-during-write behavior if (wa) mem[aa] <= da; qa <= mem[aa]; end always_ff @(posedge clk) begin if (wb) mem[ab] <= db; qb <= mem[ab]; end endmodule The Perils of Memory Inference Finally! module twoport3( input logic clk, Took this structure from a input logic [8:0] aa, ab, template: Edit!Insert input logic [19:0] da, db, input logic wa, wb, Template!Verilog HDL!Full output logic [19:0] qa, qb); Designs!RAMs and logic [19:0] mem [511:0]; ROMs!True Dual-Port RAM (single clock) always_ff @(posedge clk) begin clk qa[0]~reg[19..0] if (wa) begin mem DATAOUT[19..0] D Q qa[19..0] mem[aa] <= da; PORTBDATAOUT[0] CLK PORTBDATAOUT[1] qa <= da; PORTBDATAOUT[2] PORTBDATAOUT[3] qb[0]~reg[19..0] end else qa <= mem[aa]; CLK0 PORTBDATAOUT[4] D Q qb[19..0] da[19..0] DATAIN[19..0] PORTBDATAOUT[5] CLK end PORTBCLK0 PORTBDATAOUT[6] db[19..0] PORTBDATAIN[19..0] PORTBDATAOUT[7] ab[8..0] PORTBRADDR[8..0] PORTBDATAOUT[8] always_ff @(posedge clk) begin PORTBWADDR[8..0] PORTBDATAOUT[9] wb PORTBWE PORTBDATAOUT[10] if (wb) begin aa[8..0] RADDR[8..0] PORTBDATAOUT[11] WADDR[8..0] PORTBDATAOUT[12] mem[ab] <= db; wa WE PORTBDATAOUT[13] PORTBDATAOUT[14] qb <= db; PORTBDATAOUT[15] PORTBDATAOUT[16] end else qb <= mem[ab]; PORTBDATAOUT[17] PORTBDATAOUT[18] end PORTBDATAOUT[19] SYNC_RAM endmodule The Perils of Memory Inference Also works: separate read module twoport4( and write addresses input logic clk, input logic [8:0] ra, wa, q[0]~reg[19..0] D Q q[19..0] input logic write, clk CLK mem input logic [19:0] d, CLK0 output logic [19:0] q); d[19..0] DATAIN[19..0] ra[8..0] RADDR[8..0] DATAOUT[19..0] wa[8..0] WADDR[8..0] logic [19:0] mem [511:0]; write WE SYNC_RAM always_ff @(posedge clk) begin if (write) mem[wa] <= d; Conclusion: q <= mem[ra]; end Inference is fine for single port or one read and one endmodule write port.
Recommended publications
  • The Design of a Drum Memory System
    Scholars' Mine Masters Theses Student Theses and Dissertations 1967 The design of a drum memory system Frederick W. Lynch Follow this and additional works at: https://scholarsmine.mst.edu/masters_theses Part of the Electrical and Computer Engineering Commons Department: Recommended Citation Lynch, Frederick W., "The design of a drum memory system" (1967). Masters Theses. 5163. https://scholarsmine.mst.edu/masters_theses/5163 This thesis is brought to you by Scholars' Mine, a service of the Missouri S&T Library and Learning Resources. This work is protected by U. S. Copyright Law. Unauthorized use including reproduction for redistribution requires the permission of the copyright holder. For more information, please contact [email protected]. THE DESIGN OF A DRUM MEMORY SYSTEM BY FREDERICK W. LYNCH A l'HESIS 129533 submitted to the faculty of the UNIVERSITY OF MISSOURI AT ROLLA in partial fulfillment of the requirements for the Degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING Rolla, Missouri I"",,--;;'d I ~ 1967 rfJ·.dfl c, I ii ABSTRACT Three methods for obtaining an auxiliary memory for an SeC-6S0 (Scientific Control Corporation) digital computer are presented. A logic design is then developed on the basis of using the drum and write circuits from an available IBM-6S0 digital computer and con­ structing the remaining logic functions. The results of the logic design are the transfer equations necessary to implement the memory system. iii ACKNOWLEDGMENT The author wishes to express his sincere appreciation to his major professor, Dr. James Tracey, for guidance and support in the preparation of this thesis. iv TABLE OF CONTENTS Page ABSTRACT ii ACKNm>JLEDGlVIENT iii LIST OF FIGURES v LIST OF TABLES vi I.
    [Show full text]
  • DRAM EMAIL GIM Teams!!!/Teaming Issues •Memories in Verilog •Memories on the FPGA
    Memories & More •Overview of Memories •External Memories •SRAM (async, sync) •Flash •DRAM EMAIL GIM teams!!!/teaming Issues •Memories in Verilog •Memories on the FPGA 10/18/18 6.111 Fall 2018 1 Memories: a practical primer • The good news: huge selection of technologies • Small & faster vs. large & slower • Every year capacities go up and prices go down • Almost cost competitive with hard disks: high density, fast flash memories • Non-volatile, read/write, no moving parts! (robust, efficient) • The bad news: perennial system bottleneck • Latencies (access time) haven’t kept pace with cycle times • Separate technology from logic, so must communicate between silicon, so physical limitations (# of pins, R’s and C’s and L’s) limit bandwidths • New hopes: capacitive interconnect, 3D IC’s • Likely the limiting factor in cost & performance of many digital systems: designers spend a lot of time figuring out how to keep memories running at peak bandwidth • “It’s the memory - just add more faster memory” 10/18/18 6.111 Fall 2018 2 How do we Electrically Remember Things? • We can convey/transfer information with voltages that change over time • How can we store information in an electrically accessible manner? • Store in either: • Electric Field • Magnetic Field 10/18/18 6.111 Fall 2018 3 Mostly focus on rewritable • Punched Cards have existed as electromechanical program storage since ~1800s • We’re mostly concerned with rewritable storage mechanisms today (cards were true Computer program in punched card format ROMs) https://en.wiKipedia.org/wiKi/Computer_programming_in_the_
    [Show full text]
  • Nanotechnology ? Nram (Nano Random Access
    International Journal Of Engineering Research and Technology (IJERT) IFET-2014 Conference Proceedings INTERFACE ECE T14 INTRACT – INNOVATE - INSPIRE NANOTECHNOLOGY – NRAM (NANO RANDOM ACCESS MEMORY) RANJITHA. T, SANDHYA. R GOVERNMENT COLLEGE OF TECHNOLOGY, COIMBATORE 13. containing elements, nanotubes, are so small, NRAM technology will Abstract— NRAM (Nano Random Access Memory), is one of achieve very high memory densities: at least 10-100 times our current the important applications of nanotechnology. This paper has best. NRAM will operate electromechanically rather than just been prepared to cull out answers for the following crucial electrically, setting it apart from other memory technologies as a questions: nonvolatile form of memory, meaning data will be retained even What is NRAM? when the power is turned off. The creators of the technology claim it What is the need of it? has the advantages of all the best memory technologies with none of How can it be made possible? the disadvantages, setting it up to be the universal medium for What is the principle and technology involved in NRAM? memory in the future. What are the advantages and features of NRAM? The world is longing for all the things it can use within its TECHNOLOGY palm. As a result nanotechnology is taking its head in the world. Nantero's technology is based on a well-known effect in carbon Much of the electronic gadgets are reduced in size and increased nanotubes where crossed nanotubes on a flat surface can either be in efficiency by the nanotechnology. The memory storage devices touching or slightly separated in the vertical direction (normal to the are somewhat large in size due to the materials used for their substrate) due to Van der Waal's interactions.
    [Show full text]
  • Solid State Drives Data Reliability and Lifetime
    Solid State Drives Data Reliability and Lifetime White Paper Alan R. Olson & Denis J. Langlois April 7, 2008 Abstract The explosion of flash memory technology has dramatically increased storage capacity and decreased the cost of non-volatile semiconductor memory. The technology has fueled the proliferation of USB flash drives and is now poised to replace magnetic hard disks in some applications. A solid state drive (SSD) is a non-volatile memory system that emulates a magnetic hard disk drive (HDD). SSDs do not contain any moving parts, however, and depend on flash memory chips to store data. With proper design, an SSD is able to provide high data transfer rates, low access time, improved tolerance to shock and vibration, and reduced power consumption. For some applications, the improved performance and durability outweigh the higher cost of an SSD relative to an HDD. Using flash memory as a hard disk replacement is not without challenges. The nano-scale of the memory cell is pushing the limits of semiconductor physics. Extremely thin insulating glass layers are necessary for proper operation of the memory cells. These layers are subjected to stressful temperatures and voltages, and their insulating properties deteriorate over time. Quite simply, flash memory can wear out. Fortunately, the wear-out physics are well understood and data management strategies are used to compensate for the limited lifetime of flash memory. Floating Gate Flash Memory Cells Flash memory was invented by Dr. Fujio Masuoka while working for Toshiba in 1984. The name "flash" was suggested because the process of erasing the memory contents reminded him of the flash of a camera.
    [Show full text]
  • Embedded DRAM
    Embedded DRAM Raviprasad Kuloor Semiconductor Research and Development Centre, Bangalore IBM Systems and Technology Group DRAM Topics Introduction to memory DRAM basics and bitcell array eDRAM operational details (case study) Noise concerns Wordline driver (WLDRV) and level translators (LT) Challenges in eDRAM Understanding Timing diagram – An example References Slide 1 Acknowledgement • John Barth, IBM SRDC for most of the slides content • Madabusi Govindarajan • Subramanian S. Iyer • Many Others Slide 2 Topics Introduction to memory DRAM basics and bitcell array eDRAM operational details (case study) Noise concerns Wordline driver (WLDRV) and level translators (LT) Challenges in eDRAM Understanding Timing diagram – An example Slide 3 Memory Classification revisited Slide 4 Motivation for a memory hierarchy – infinite memory Memory store Processor Infinitely fast Infinitely large Cycles per Instruction Number of processor clock cycles (CPI) = required per instruction CPI[ ∞ cache] Finite memory speed Memory store Processor Finite speed Infinite size CPI = CPI[∞ cache] + FCP Finite cache penalty Locality of reference – spatial and temporal Temporal If you access something now you’ll need it again soon e.g: Loops Spatial If you accessed something you’ll also need its neighbor e.g: Arrays Exploit this to divide memory into hierarchy Hit L2 L1 (Slow) Processor Miss (Fast) Hit Register Cache size impacts cycles-per-instruction Access rate reduces Slower memory is sufficient Cache size impacts cycles-per-instruction For a 5GHz
    [Show full text]
  • Magnetic Storage- Magnetic-Core Memory, Magnetic Tape,RAM
    Magnetic storage- From magnetic tape to HDD Juhász Levente 2016.02.24 Table of contents 1. Introduction 2. Magnetic tape 3. Magnetic-core memory 4. Bubble memory 5. Hard disk drive 6. Applications, future prospects 7. References 1. Magnetic storage - introduction Magnetic storage: Recording & storage of data on a magnetised medium A form of „non-volatile” memory Data accessed using read/write heads Widely used for computer data storage, audio and video applications, magnetic stripe cards etc. 1. Magnetic storage - introduction 2. Magnetic tape 1928 Germany: Magnetic tape for audio recording by Fritz Pfleumer • Fe2O3 coating on paper stripes, further developed by AEG & BASF 1951: UNIVAC- first use of magnetic tape for data storage • 12,7 mm Ni-plated brass-phosphorus alloy tape • 128 characters /inch data density • 7000 ch. /s writing speed 2. Magnetic tape 2. Magnetic tape 1950s: IBM : patented magnetic tape technology • 12,7 mm wide magnetic tape on a 26,7 cm reel • 370-730 m long tapes 1980: 1100 m PET –based tape • 18 cm reel for developers • 7, 9 stripe tapes (8 bit + parity) • Capacity up to 140 MB DEC –tapes for personal use 2. Magnetic tape 2014: Sony & IBM recorded 148 Gbit /squareinch tape capacity 185 TB! 2. Magnetic tape Remanent structural change in a magnetic medium Analog or digital recording (binary storage) Longitudinal or perpendicular recording Ni-Fe –alloy core in tape head 2. Magnetic tape Hysteresis in magnetic recording 40-150 kHz bias signal applied to the tape to remove its „magnetic history” and „stir” the magnetization Each recorded signal will encounter the same magnetic condition Current in tape head proportional to the signal to be recorded 2.
    [Show full text]
  • A 3T Gain Cell Embedded DRAM Utilizing Preferential Boosting for High Density and Low Power On-Die Caches Ki Chul Chun, Pulkit Jain, Jung Hwa Lee, and Chris H
    IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 6, JUNE 2011 1495 A 3T Gain Cell Embedded DRAM Utilizing Preferential Boosting for High Density and Low Power On-Die Caches Ki Chul Chun, Pulkit Jain, Jung Hwa Lee, and Chris H. Kim, Senior Member, IEEE Abstract—Circuit techniques for enabling a sub-0.9 V logic-com- for achieving low static power and high operating speed. patible embedded DRAM (eDRAM) are presented. A boosted 3T SRAMs have been the embedded memory of choice due to gain cell utilizes Read Word-line (RWL) preferential boosting to their logic compatibility and fast access time. Recently, em- increase read margin and improve data retention time. Read speed is enhanced with a hybrid current/voltage sense amplifier that al- bedded DRAMs (eDRAMs) have been gaining popularity in lows the Read Bit-line (RBL) to remain close to VDD. A regu- the research community due to features such as small cell size, lated bit-line write scheme for driving the Write Bit-line (WBL) is low cell leakage, and non-ratioed circuit operation. There have equipped with a steady-state storage node voltage monitor to over- been a number of successful eDRAM designs based on tradi- come the data ‘1’ write disturbance problem of the PMOS gain cell without introducing another boosted supply for the Write Word- tional 1T1C DRAM cells as well as logic-compatible gain cells line (WWL) over-drive. An adaptive and die-to-die adjustable read [2]–[9]. 1T1C cells are denser than gain cells, but at the cost of a reference bias generator is proposed to cope with PVT variations.
    [Show full text]
  • Influence of U.S. Cryptologic Organizations on the Digital Computer Industry
    UNCLASSIFIED Influence of U.S. Cryptologic Organizations on the Digital Computer Industry SAMUELS. SNYDER This article was originally published in two parts in the Fall 1977 and Winter 1978 issues o{Cryptologic Spectrum (Vol. 7, No. 4 and Vol. 8, No. 2). INTRODUCTION An unfortunate aspect of historical accounts of computer lore in open sources is the omission - conspicuously to some of us - of mention of the U.S. cryptologic organizations, or of the contributions by these organizations which helped in laying the foundation of the computer industry. NSA, and other cryptologic organizations, have been required over the years to observe a policy of anonymity, and with good reason. But in the age of maturing appreciation of the role of computers in nearly all civilized endeavors, it is time to acknowledge, for the first time, their outstanding contributions to the computer industry. The reader will notice the absence of remarks concerning software efforts by cryptologic organizations. While this side of their operations received its proper share of support, space restrictions preclude its inclusion in this article. Also, the Army and Navy cryptologic services have frequently changed organizational titles throughout the years. Therefore, to avoid confusion in this article, they are, previous to 1945, referred to as "Army," "Navy," "service cryptologic organizations," and the like. Too, NSA's predecessor organization, AFSA, was established in 1949, and NSA in 1952. Consequently, references to "NSA" and "Agency" are, on occasion, used interchangeably, the particular agency referred to depending upon the time period being discussed. EARLY DEVELOPMENTS The development of the U.S. computer industry might have been delayed for years ifit had not been for the stimulus and financial support of the U.S.
    [Show full text]
  • Computer Peripheral Memory System Forecast
    OF NBS H^^LK,!,, STAND S. TECH PUBLICATIONS | COMPUTER SUici^CZ^i TECHNOLOGY: COMPUTER PERIPHERAL MEMORY SYSTEM FORECAST QC 100 U57 NBS Special Publication 500-45 #500-45 U.S. DEPARTMENT OF COMMERCE 1979 National Bureau of Standards NATIONAL BUREAU OF STANDARDS The National Bureau of Standards' was established by an act of Congress March 3, 1901 . The Bureau's overall goal is to strengthen and advance the Nation's science and technology and facilitate their effective application for public benefit. To this end, the Bureau conducts research and provides: (1) a basis for the Nation's physical measurement system, (2) scientific and technological services for industry and government, (3) a technical basis for equity in trade, and (4) technical services to promote public safety. The Bureau's technical work is performed by the National Measurement Laboratory, the National Engineering Laboratory, and the Institute for Computer Sciences and Technology. THE NATIONAL MEASUREMENT LABORATORY provides the national system of physical and chemical and materials measurement; coordinates the system with measurement systems of other nations and furnishes essential services leading to accurate and uniform physical and chemical measurement throughout the Nation's scientific community, industry, and commerce; conducts materials research leading to improved methods of measurement, standards, and data on the properties of materials needed by industry, commerce, educational institutions, and Government; provides advisory and research services to other Government Agencies; develops, produces, and distributes Standard Reference Materials; and provides calibration services. The Laboratory consists of the following centers: Absolute Physical Quantities^ — Radiation Research — Thermodynamics and Molecular Science — Analytical Chemistry — Materials Science.
    [Show full text]
  • 2129710142200313CSE312 Amir Adel Salah.Pdf
    استمارة جقييم الزسائل البحثيت ملقزر دراس ي اوﻻ : بياهاث جمل بمعزفت الطالب اسم الطالبـــــــــــ :أم ري عادل صﻻح عبد العظيم كلية : الهندســــه القسم: الحاسبات و النظم الفرقة/المستوى : الثالثة الشعبة : اسم المقرر :بنية الحاسب كود المقرر : CSE312 استاذ المقرر : د.طارق مراد جمعة ر ال رييد اﻻلكيون [email protected] : للطالب عنوان الرسالة البحثية : Modern Computers Memory ثاهيا: بياهاث جمل بمعزفت لجىت املمتحىيين هل الزسالت البحثيت املقدمت متشابت جشئيا او كليا ☐ وعم ☐ ﻻ فى حالت الاجابت بىعم ﻻ يتم جقييم املشزوع البحثى ويعتبر غير مجاس جقييم املشزوع البحثى م عىاصز التقييم الوسن التقييم اليسبى 1 الشكل العام للزسالت البحثيت 2 جحقق املتطلباث العلميت املطلوبت 3 يذكز املزاجع واملصادر العلميت 4 الصياغت اللغويت واسلوب الكتابت جيد هتيجت التقييم النهائى 100/ ☐ هاجح ☐ راسب جوقيع لجىت التقييم 1. .2 .3 .4 .5 جزفق هذه الاستمارة كغﻻف للمشزوع البحثى بعد استكمال البياهاث بمعزفت الطالب وعلى ان ﻻ جشيد عً صفحت واحدة Computers Memory Introduction At the beginning of the age of technology , A new term name called Memory has appeared .The memory is the most important thing in Computer . it is the main item which is responsible for data storage. The memories were designed by different ways and through multiple stages. At the beginning of memory manufacturing, the memory was produced by vacuum tubes from 1946 to 1959 .Vacuum tubes were basic components which was used to make the first generation of memories . Also the vacuum tubes used to make circuitry of CPU (Central Processing unit).In this generation ,the basic programming language was machine code which used in computers used vacuum tubes in the memory.
    [Show full text]
  • A Tube for Selective Electrostatic Storage
    The Selectron -- A Tube for Selective Electrostatic Storage We are engaged at the RCA Laboratories in the development of a storage tube for the inner memory of electronic digital computers. This work is a part of our collaboration with the Institute for Advanced Study in the development of a universal electronic computer. The present note describes briefly the principle of operation of the tube, which is still in its experimental stage. It is a summary of a paper presented at the "Symposium of Large Scale Calculating Machinery" at Harvard University on January 8, 1947; see MTAC, v.2, p. 22~238. The necessity of an inner memory in electronic digital computers has been realized by all designers. The high computing speed possible with electronic devices becomes useful only when sufficient intermediary results can be memorized rapidly to allow the automatic handling of long sequences of accurate computations which would be impractically lengthy by any other slower means. An ideal inner memory organ for a digital computer should be able to register in as short a writing time as possible any selected one of as many as possible on-off signals and be able to deliver unequivocally the result of this registration after an arbitrarily long or short storing time with the smallest possible delay following the reading call. The selectron is a vacuum tube designed in an attempt to meet these ideal requirements. In it, the signals are represented by electrostatic charges forcefully stored on small areas of an insulating surface. The tube comprises an electron source which bombards the entire storing surface.
    [Show full text]
  • SRAM Edram DRAM
    Technology Challenges and Directions of SRAM, DRAM, and eDRAM Cell Scaling in Sub- 20nm Generations Jai-hoon Sim SK hynix, Icheon, Korea Outline 1. Nobody is perfect: Main memory & cache memory in the dilemma in sub-20nm era. 2. SRAM Scaling: Diet or Die. 6T SRAM cell scaling crisis & RDF problem. 3. DRAM Scaling: Divide and Rule. Unfinished 1T1C DRAM cell scaling and its technical direction. 4. eDRAM Story: Float like a DRAM & sting like a SRAM. Does logic based DRAM process work? 5. All for one. Reshaping DRAM with logic technology elements. 6. Conclusion. 2 Memory Hierarchy L1$ L2$ SRAM Higher Speed (< few nS) Working L3$ Better Endurance eDRAM Memory (>1x1016 cycles) Access Speed Access Stt-RAM Main Memory DRAM PcRAM ReRAM Lower Speed Bigger Size Storage Class Memory NAND Density 3 Technologies for Cache & Main Memories SRAM • 6T cell. • Non-destructive read. • Performance driven process Speed technology. eDRAM • Always very fast. • 1T-1C cell. • Destructive read and Write-back needed. • Leakage-Performance compromised process technology. Standby • Smaller than SRAM and faster than Density Power DRAM. DRAM • 1T-1C cell. • Destructive read and Write-back needed. • Leakage control driven process technology. • Not always fast. • Smallest cell and lowest cost per bit. 4 eDRAM Concept: Performance Gap Filler SRAM 20-30X Cell Size Cell eDRAM DRAM 50-100X Random Access Speed • Is there any high density & high speed memory solution that could be 100% integrated into logic SoC? 5 6T-SRAM Cell Operation VDD WL WL DVBL PU Read PG SN SN WL Icell PD BL VSS BL V SN BL BL DD SN PD Read Margin: Write PG PG V Write Margin: SS PU Time 6 DRAM’s Charge Sharing DVBL VS VBL Charge Sharing Write-back VPP WL CS CBL V BL DD 1 SN C V C V C C V S DD B 2 DD S B BL 1/2VDD Initial Charge After Charge Sharing DVBL T d 1 1 V BL SS DVBL VBL VBL VDD 1 CB / CS 2 VBBW Time 1 1 I t L RET if cell leakage included Cell select DVBL VDD 1 CB / CS 2 CS 7 DRAM Scalability Metrics BL Cell WL CS • Cell CS.
    [Show full text]