Solutions for Emerging Problems in Modular System-On-A-Chip Testing Solutions for Emerging Problems in Modular System-On-A-Chip Testing

Total Page:16

File Type:pdf, Size:1020Kb

Solutions for Emerging Problems in Modular System-On-A-Chip Testing Solutions for Emerging Problems in Modular System-On-A-Chip Testing SOLUTIONS FOR EMERGING PROBLEMS IN MODULAR SYSTEM-ON-A-CHIP TESTING SOLUTIONS FOR EMERGING PROBLEMS IN MODULAR SYSTEM-ON-A-CHIP TESTING BY QIANG XU AUGUST 2005 A THESIS SUBMITTED TO THE DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING AND THE COMMITTEE ON GRADUATE STUDIES OF MCMASTER UNIVERSITY IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF DOCTOR OF PHILOSOPHY °c Copyright 2005 by Qiang Xu All Rights Reserved DOCTOR OF PHILOSOPHY (2005) McMaster University (Electrical and Computer Engineering) Hamilton, Ontario TITLE: Solutions for Emerging Problems in Modular System-on-a- Chip Testing AUTHOR: Qiang Xu SUPERVISOR: Nicola Nicolici NUMBER OF PAGES: xvii, 211 ii Acknowledgments Finally the long journey has come to the end, and I am really glad that I have now the opportunity to express my gratitude for all the people who have made this thesis possible. The first person I would like to thank is my supervisor, Nicola Nicolici, whose encour- agement, enthusiasm to high-quality work and motivating discussions helped me in all the time of research for and writing of this thesis. In particular, I am deeply grateful to the support and freedom he gave me on my summer travel. It was a great pleasure to me to conduct this thesis under his supervision. Needless to say, I am grateful to all of my colleagues in the computer-aided design and test research group at McMaster University, Bai Hong Fang, Henry Ko, David Lemstra, Adam Kinsman, David Leung and Ehab Anis, those with whom I have shared both hap- piness and sadness as a graduate student. I also would like to thank Theo Gonciari and Paul Rosinger from University of Southampton. In particular, I would like to express my appreciation to Theo Gonciari for his early work and insightful comments on this thesis. Krishnendu Chakrabarty from Duke University also provided constructive suggestions on this thesis and I am deeply indebted to him. Many thanks also go to the faculty, admin- istrative and technical members in Department of Electrical and Computer Engineering at McMaster University, whose assistance was vital for the research. In particular, I would like to express my gratitude to Cheryl Gies, who is of great help whenever needed. My father Zhiqing Xu, my mother Qinghua Zhang, my wife Yujie Cui and my sister Dongdong Xu have loved, trusted and supported me all the time in my life. I cannot live through this Ph.D period without their love and patience. Words cannot express my love and gratitude to my family. iii Abstract Manufacturing test has established itself as an enabling technology for the system-on-a- chip (SOC) design paradigm. Although the IEEE Std. 1500 for embedded core test and prior work on test access mechanism design ease the SOC testing process, there are several emerging problems not treated explicitly or cost-effectively by the state-of-the-art methods. For example, the number of clock domains is stepping-up and the specific test access and isolation problems posed by multi-frequency cores have not been treated explicitly; detec- tion of timing failures, which are predominant in deep sub-micron technologies, requires support from the test access architectures for at-speed application of two successive pat- terns; glue logic defined by system integrators for product differentiation is increasing in size and its test can adversely influence either the chip area or testing time; the continuous growth in the size of SOCs leads to multiple levels of design hierarchy, which imposes an additional constraint on the design and reuse of test architectures. This dissertation shows how at-speed test application, without test hazards, can be achieved for cores with multiple clock domains, by embedding small custom logic in the wrapper. It also introduces novel test architectures for SOCs containing unwrapped logic blocks without any loss in fault coverage, by combining dedicated bus-based test access mechanism and functional interconnects for test data transfer. With some minor changes, this new test architecture can be utilized to effectively apply two-pattern test for core-based SOCs, which is essential for detecting delay faults and CMOS stuck-open faults. Test ac- cess mechanism design algorithms for the new SOC test architectures are also proposed and design trade-offs between test area and testing time are discussed. Finally, this disser- tation presents a new framework for the design space exploration of multi-level test access mechanisms, which is important for future hierarchical SOCs that reuse past-generation SOCs with existing test infrastructure as internal mega-cores. iv List of Abbreviations ATE Automatic Test Equipment ATPG Automatic Test Pattern Generation BIST Built-In Self-Test CMOS Complementary Metal Oxide Silicon CTL Core Test Language CUT Core under Test DFT Design for Testability FF Flip-Flop FIFO First-In First-Out FSM Finite State Machine HDL Hardware Description Language I/O Input/Output IC Integrated Circuit ILP Integer Linear Programming IP Intellectual Property ITRS International Roadmap for Semiconductors LFSR Linear Feedback Shift Register LSSD Level-Sensitive Scan Design MFCW Multi-Frequency Core Wrapper MISR Multiple Input Signature Analyzer NC-PI Non-Controlled Primary Inputs PC-PI Parallelly-Controlled Primary Inputs v PCB Printed Circuit Board PI Primary Input PLL Phase-Locked Loop PO Primary Output PSI Pseudo-Input PSO Pseudo-Output RAM Random Access Memory SA Signature Analyzer SC-PI Serially-Controlled Primary Inputs SECT Standard for Embedded Core Test SFCW Single-Frequency Core Wrapper SFF Scanned Flip-Flop SOC System-on-a-Chip TAM Test Access Mechanism TAT Test Application Time TDC Test Data Compression TPG Test pattern generator UDL User Defined Logic VC Virtual Core VLSI Very Large Scale Integration VTB Virtual Test Bus VTB-DIU Virtual Test Bus De-Multiplexing Interface Unit VTB-MIU Virtual Test Bus Multiplexing Interface Unit WBR Wrapper Boundary Register WBY Wrapper Bypass Register WIC Wrapper Input Cell WIR Wrapper Instruction Register WOC Wrapper Output Cell WPI Wrapper Parallel Input WPO Wrapper Parallel Output vi WSC Wrapper Serial Control WSI Wrapper Serial Input WSO Wrapper Serial Output Wrapper SC Wrapper Scan Chain vii Contents Acknowledgments iii Abstract iv List of Abbreviations v 1 Introduction 1 1.1 Core-Based SOC Design ........................... 2 1.2 Thesis Motivation ............................... 3 1.3 Thesis Organization and Contributions .................... 6 2 Background 9 2.1 VLSI Testing Concepts ............................ 9 2.1.1 Defect vs. Fault Modeling ...................... 10 2.1.2 Test Pattern Generation ........................ 13 2.1.3 Design for Testability (DFT) ..................... 14 2.1.4 Cost of Test .............................. 18 2.2 Scan Design with Multiple Clock Domains . 19 2.2.1 Avoiding Clock Skew during Scan Shift . 19 2.2.2 Avoiding Clock Skew during Scan Capture . 21 2.3 Delay Fault Testing Strategies ........................ 23 2.4 Concluding Remarks ............................. 25 viii 3 Previous Work 26 3.1 IEEE Std. 1500 ................................ 27 3.1.1 Scalable Core Test Wrapper ..................... 27 3.1.2 Wrapper Instruction Set ....................... 30 3.1.3 Core Test Language (CTL) ...................... 31 3.2 SOC Test Access ............................... 32 3.2.1 Direct Access ............................. 32 3.2.2 Isolation Ring Access ........................ 33 3.2.3 Functional Access .......................... 34 3.2.4 Dedicated Bus-Based Access ..................... 36 3.2.5 Cost Analysis for Different SOC Test Access Strategies . 38 3.3 Test Scheduling and Test Architecture Optimization . 40 3.3.1 Test Scheduling ............................ 42 3.3.2 Wrapper Design and Optimization . 44 3.3.3 Integrated Wrapper/TAM Co-Optimization and Test Scheduling . 45 3.4 SOC Test Resource Partitioning ....................... 52 3.4.1 Test Stimuli Compression ...................... 53 3.4.2 Test Response Compaction ...................... 55 3.5 Concluding Remarks ............................. 56 4 Wrapper Design for Multi-Frequency IP Cores 57 4.1 Preliminaries and Summary of Contributions . 57 4.2 Multi-Frequency Core Wrapper Design ................... 61 4.3 Multi-Frequency Core Wrapper Optimization . 68 4.3.1 Wrapper Optimization Using an ILP Model . 69 4.3.2 Wrapper Optimization Using Fast Heuristics . 71 4.4 Experimental Results ............................. 75 4.5 Concluding Remarks ............................. 78 5 Two-Pattern Test of Core-Based SOCs 79 5.1 Preliminaries and Summary of Contributions . 80 5.2 Proposed Architecture for Two-Pattern Test . 85 ix 5.2.1 The Two-Pattern Testing Process ................... 85 5.2.2 1500-Compatible Core Wrapper Design for Two-Pattern Test . 87 5.3 Proposed Architecture Optimization ..................... 88 5.3.1 Test Conflicts ............................. 88 5.3.2 TAM Division into Producer and CUT Groups . 89 5.3.3 Two-Pattern Test Scheduling ..................... 90 5.4 Experimental Results ............................. 95 5.4.1 SOC Specifications .......................... 96 5.4.2 Experiment 1: DFT Area Savings . 96 5.4.3 Experiment 2: Optimal Producer-CUT TAM Configuration . 97 5.4.4 Experiment 3: Test Schedule and Test Application Time . 98 5.5 Concluding Remarks .............................101 6 Modular SOC Testing with Reduced Wrapper Count 104 6.1 Preliminaries and Summary of Contributions . 105 6.1.1 Light Wrapper ............................106 6.1.2 Summary of Contributions . 109 6.2
Recommended publications
  • SCAN CHAIN BASED HARDWARE SECURITY Xi Chen, Doctor of Philosophy, 2018 Dissertation Directed B
    ABSTRACT Title of Dissertation: SCAN CHAIN BASED HARDWARE SECURITY Xi Chen, Doctor of Philosophy, 2018 Dissertation directed by: Professor Gang Qu Department of Electrical and Computer Engineering Hardware has become a popular target for attackers to hack into any computing and communication system. Starting from the legendary power analysis attacks discovered 20 years ago to the recent Intel Spectre and Meltdown attacks, security vulnerabilities in hardware design have been exploited for malicious purposes. With the emerging Internet of Things (IoT) applications, where the IoT devices are extremely resource constrained, many proven secure but computational expensive cryptography protocols cannot be applied on such devices. Thus there is an urgent need to understand the hardware vulnerabilities and develop cost effective mitigation methods. One established field in the semiconductor and integrated circuit (IC) industry, known as IC test, has the goal of ensuring that fabricated ICs are free of manufacturing defects and perform the required functionalities. Testing is essential to isolate faulty chips from good ones. The concept of design for test (DFT) has been integrated in the commercial IC design and fabrication process for several decades. Scan chain, which provides test engineer access to all the flip flops in the chip through the scan in (SI) and scan out (SO) ports, is the backbone of industrial testing methods and can be found in almost all the modern designs. In addition to IC testing, scan chain has found applications in intellectual property (IP) protection and IC identification. However, attackers can also leverage the controllability and observability of scan chain as a side channel to break systems such as cryptographic chips.
    [Show full text]
  • Design and Implementation of High Speed Scan-Hold Flip Flop Based Shift Registers
    e-ISSN (O): 2348-4470 Scientific Journal of Impact Factor (SJIF): 4.72 p-ISSN (P): 2348-6406 International Journal of Advance Engineering and Research Development Volume 4, Issue 12, December -2017 Design And Implementation of High Speed Scan-Hold Flip Flop based Shift Registers Kamal Prakash Pandey1, Gunjan Agrawal2 Department of Electronics and Communication Engg. SIET Allahabad Abstract: There has been a great increase in the number of electronic devices and the requirement of high speed design has been increasing exponentially. Here, thus the design of the flip-flop and the sequential logic devices has been a prime constraint in the overall speed performances. Thus to increase the overall speed performance of the flip-flip design, various methodologies have been proposed. The major shift registers used in various digital devices are Serial-In - Serial-Out, Universal shift registers has been developed. In our proposed work, we have proposed scan mode Flip-Flop and these flip-flop based Shift register design. The proposed modified design of the scan multiplexer based D Flip-Flip has been used for the implementation of various shift registers. Here, the Serial In Serial Out, Parallel In Parallel out, and Universal Shift Register design has been presented. Our proposed design is shown to have lower delay and minimize the gate count. The simulation has been done using Xilinx ISE 9.1 and Target device is to be SPARTAN 3E. Keywords: High speed, D-Flip flop, Shift registers, Scan Flip Flop, Gate count, Propagation delay I. INTRODUCTION Electronics market is leading the share of overall business day by day.
    [Show full text]
  • An Open Source Platform and EDA Tool Framework to Enable Scan Test Power Analysis Testing
    An Open Source Platform and EDA Tool Framework to Enable Scan Test Power Analysis Testing Author Ivano Indino Supervisor Dr Ciaran MacNamee Submitted for the degree of Master of Engineering University of Limerick June 2017 ii Abstract An Open Source Platform and EDA Tool Framework to Enable Scan Test Power Analysis Testing Ivano Indino Scan testing has been the preferred method used for testing large digital integrated circuits for many decades and many electronic design automation (EDA) tools vendors provide support for inserting scan test structures into a design as part of their tool chains. Although EDA tools have been available for many years, they are still hard to use, and setting up a design flow, which includes scan insertion is an especially difficult process. Increasingly high integration, smaller device geometries, along with the requirement for low power operation mean that scan testing has become a limiting factor in achieving time to market demands without compromising quality of the delivered product or increasing test costs. As a result, using EDA tools for power analysis of device behaviour during scan testing is an important research topic for the semiconductor industry. This thesis describes the design synthesis of the OpenPiton, open research processor, with emphasis on scan insertion, automated test pattern generation (ATPG) and gate level simulation (GLS) steps. Having reviewed scan testing theory and practice, the thesis describes the execution of each of these steps on the OpenPiton design block. Thus, by demonstrating how to apply EDA based synthesis and design for test (DFT) tools to the OpenPiton project, the thesis addresses one of the most difficult problems faced by any new user who wishes to use existing EDA tools for synthesis and scan insertion, namely, the enormous complexity of the tool chains and the huge and confusing volume of related documentation.
    [Show full text]
  • Built-In Self Training of Hardware-Based Neural Networks
    Built-In Self Training of Hardware-Based Neural Networks A thesis submitted to the Division of Research and Advanced Studies of the University of Cincinnati in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE in the Department of Electrical Engineering and Computer Science of the College of Engineering and Applied Science November 16, 2017 by Thomas Anderson BS Electrical Engineering, University of Cincinnati, April 2017 Thesis Advisor and Committee Chair: Dr. Wen-Ben Jone Abstract Artificial neural networks and deep learning are a topic of increasing interest in computing. This has spurred investigation into dedicated hardware like accelerators to speed up the training and inference processes. This work proposes a new hardware architecture called Built-In Self Training (BISTr) for both training a network and performing inferences. The architecture combines principles from the Built-In Self Testing (BIST) VLSI paradigm with the backpropagation learning algorithm. The primary focus of the work is to present the BISTr architecture and verify its efficacy. The development of the architecture began with analysis of the backpropagation algorithm and the derivation of new equations. Once the derivations were complete, the hardware was designed and all of the functional components were tested using VHDL from the bottom to top level. An automatic synthesis tool was created to generate the code used and tested in the experimental phase. The application tested during the experiments was function approximation. The new architecture was trained successfully for a couple of the test cases. The other test cases were not successful, but this was due to the data representation used in the VHDL code and not a result of the hardware design itself.
    [Show full text]
  • Computer Aided Design Solutions for Testability and Security Qihang Shi University of Connecticut - Storrs, [email protected]
    University of Connecticut OpenCommons@UConn Doctoral Dissertations University of Connecticut Graduate School 8-18-2017 Computer Aided Design Solutions for Testability and Security Qihang Shi University of Connecticut - Storrs, [email protected] Follow this and additional works at: https://opencommons.uconn.edu/dissertations Recommended Citation Shi, Qihang, "Computer Aided Design Solutions for Testability and Security" (2017). Doctoral Dissertations. 1640. https://opencommons.uconn.edu/dissertations/1640 Computer Aided Design Solutions for Testability and Security Qihang Shi, Ph.D. University of Connecticut, 2017 As technology down scaling continues, new technical challenges emerge for the Inte- grated Circuits (IC) industry. One direct impact of down-scaling in feature sizes leads to elevated process variations, which has been complicating timing closure and requiring classification of fabricated ICs according to their maximum performance. To address this challenge, speed-binning based on on-chip delay sensor measurements has been proposed as alternative to current speed-binning methods. This practice requires ad- vanced data analysis techniques for the binning result to be accurate. Down-scaling has also increased transistor count, which puts an increased burden on IC testing. In particular, increase in area and capacity of embedded memories has led to overhead in test time and loss test coverage, which is especially true for System-on-Chip (SOC) Qihang Shi, University of Connecticut, 2017 designs. Indeed, expected increase in logic area between logic and memory cores will likely further undermine the current solution to the problem, the hierarchical test ar- chitecture. Further, widening use of information technology led to widened security concerns. In today’s threat environment, both hardware Intellectual Properties (IP) and software security sensitive information can become target of attacks, malicious tamper- ing, and unauthorized access.
    [Show full text]
  • Evaluation of Hardware Test Methods for VLSI Systems
    Evaluation of Hardware Test Methods for VLSI Systems By Jens Eriksson LiTH-ISY-EX-ET--05/0315--SE 2005-06-07 Evaluation of Hardware Test Methods for VLSI Systems Master Thesis Division of Electronics Systems Department of Electrical Engineering Linköping Institute of Technology Linköping University, Sweden By Jens Eriksson LiTH-ISY- EX-ET--05/0315--SE Supervisor: Thomas Johansson Examiner: Kent Palmkvist 2005-06-07 Avdelning, Institution Datum Division, Department Date 2005-06-07 Institutionen för systemteknik 581 83 LINKÖPING Språk Rapporttyp ISBN Language Report category Svenska/Swedish Licentiatavhandling ISRN LITH-ISY-EX-ET--05/0315--SE X Engelska/English X Examensarbete C-uppsats Serietitel och serienummer ISSN D-uppsats Title of series, numbering Övrig rapport ____ URL för elektronisk version http://www.ep.liu.se/exjobb/isy/2005/315/ Titel Evaluation of Hardware Test Methods for VLSI Systems Title Författare Jens Eriksson Author Sammanfattning Abstract The increasing complexity and decreasing technology feature sizes of electronic designs has caused the challenge of testing to grow over the last decades. The purpose of this thesis was to evaluate different hardware test methods/approaches based on their applicability in a complex SoC design. Among the aspects that were investigated are test implementation effort, test efficiency and the performance penalties implicated by the test. This report starts out by presenting a general introduction to the basics of hardware testing. It then moves on to review available standards and methodologies. In the end one of the more interesting methods is investigated through a case study. The method that was chosen for the case study has been implemented on a DSP, and is rather new and not as prolific as many of the standards discussed in the report.
    [Show full text]
  • Altium Designer® Integrates XJTAG to Boost PCB Testability
    www.xjtag.com XJTAG Technology Partner Altium Designe r® Integrates XJTAG ® Boundary Scan to Boost PCB Testability The Altium Designer PCB development environment has powerful features that help engineers manage “their designs from schematic to product. Altium sought XJTAG’s expertise in boundary scan to extend Altium Designer with Design-For-Test features that enable designers to find and correct errors and harness boundary scan’s power to maximise testability. ” Altium Designer is trusted by engineers for its rich features, which not implementation of boundary scan Altium Designer as an XJDeveloper only accelerate design capture and help overcome layout and routing chains to allow designers to identify project. XJDeveloper is the XJTAG challenges, but also help verify mechanical fit and coordinate the entire and correct potential problems during test development environment, design-to-production process. Its wide-ranging capabilities extend beyond schematic capture, thereby avoiding which is widely used to accelerate design entry, to include managing design assets to facilitate reuse, costly board respins. XJTAG Chain debugging of prototype hardware, checking component pricing and availability, verifying design files, and Checker verifies the boundary scan and to augment traditional in-circuit supporting design with advanced technologies such as rigid-flex PCB. chain is correctly routed and is in and functional testing on the compliance with DFT best practices, production line resulting in increased “Our aim is to ensure that Altium achieved,” explains Daniel Fernsebner. reporting any connection, termination or test coverage and faster cycle times. Designer is always the industry “The XJTAG boundary scan system compliance pin errors to the user.
    [Show full text]
  • Test and Side-Channel Analysis of Asynchronous Circuits Ricardo Aquino Guazzelli
    test and side-channel analysis of asynchronous circuits Ricardo Aquino Guazzelli To cite this version: Ricardo Aquino Guazzelli. test and side-channel analysis of asynchronous circuits. Micro and nanotechnologies/Microelectronics. Université Grenoble Alpes [2020-..], 2020. English. NNT : 2020GRALT070. tel-03206505 HAL Id: tel-03206505 https://tel.archives-ouvertes.fr/tel-03206505 Submitted on 23 Apr 2021 HAL is a multi-disciplinary open access L’archive ouverte pluridisciplinaire HAL, est archive for the deposit and dissemination of sci- destinée au dépôt et à la diffusion de documents entific research documents, whether they are pub- scientifiques de niveau recherche, publiés ou non, lished or not. The documents may come from émanant des établissements d’enseignement et de teaching and research institutions in France or recherche français ou étrangers, des laboratoires abroad, or from public or private research centers. publics ou privés. THÈSE Pour obtenir le grade de DOCTEUR DE L’UNIVERSITÉ GRENOBLE ALPES Spécialité : Nano Electronique et Nano Technologies (NENT) Arrêtée ministériel : 25 mai 2016 Présentée par Ricardo AQUINO GUAZZELLI Thèse dirigée par Laurent FESQUET préparée au sein du Laboratoire Techniques de l’Informatique et de la Microélectronique pour l’Architecture des systèmes intégrés (TIMA) dans l’École Doctorale Electronique, Electrotecnique, Automatique & Traitement du Signal (EEATS) Test and Side-channel Analysis of Asynchronous Circuits Thèse soutenue publiquement le 3 décembre 2020, devant le jury composé de : Laurent
    [Show full text]
  • TFE4141 Design of Digital Systems 1
    TFE4141 Design of Digital Systems 1 Assignment 1: Terms and definitions used among digital designers Q1: What is 010002 + 010012? 01000 + 01001 10001 Q2: Which number do 11112 represent? a) In 4-bit unsigned format b) In 4-bit signed format a. 4-bit unsigned format of 11112 is: = 1.23 + 1.22 + 1.21 +1.20 = 8 + 4 + 2 + 1 = 15 (in decimal) b. 4-bit signed format of 11112 is: = - (1.22 + 1.21 +1.20) = - (4 + 2 + 1) = - 7 (in decimal) Q2: Which number do 11112 represent? a) In 4-bit unsigned format b) In 4-bit signed format (2’s complement) a. 4-bit unsigned format of 11112 is: = 1.23 + 1.22 + 1.21 +1.20 = 8 + 4 + 2 + 1 = 15 (in decimal) b. 4-bit signed format of 11112 (2’s complement) is: 1’s complement of 11112 is: = 10002 [keeping the first bit constant as it is the signed bit] 2’s complement of 10002 is: 1000 + 1 1001 = - 1 (in decimal) Q3: What does “dynamic range” in the context of number representation mean? Dynamic range is the ratio of the maximum absolute value representable and the minimum positive (i.e., non-zero) absolute value representable. Q4: What has highest dynamic range of a 32 bit floating point number and a 32 bit fixed point number? Dynamic range for a fixed point 32-Bit (unsigned) number is: 2N – 1 = 231 – 1 = 2.15 x 109 Dynamic range for a floating point 32-bit number (as per IEEE Standard 754, single-precision) is: (2−2−23) × 2127 = 3.402823 × 1038 The highest value, as mentioned above, is for the 32-bit floating point number.
    [Show full text]
  • Scanworks Interconnect Development Station Bundle
    SCANWORKS INTERCONNECT DEVELOPMENT STATION BUNDLE With the ScanWorks Interconnect Development Station you’ll be able to quickly and easily create JTAG or boundary-scan– based tests that verify your board has been assembled correctly. As with all ScanWorks products, the Interconnect Development Station is an easy-to-use, affordable tool that quickly solves your test problems now, but has the power to solve the most difficult test problems later when they arise. The Interconnect Development Station has the tools you’ll need to create tests that verify your printed circuit board (PCB) is free of assembly defects such as shorts and opens. It includes all of the hardware that’s needed to apply the tests to a PCB, as well as several methods for controlling the way a test is applied. And when defects are detected, the Interconnect Development Station diagnoses them, often identifying defects on a specific device pin. Generally speaking, boundary scan or JTAG verifies the assembly of a PCB by testing the connections between devices (interconnects) to determine whether interconnects work as they were designed. Effective and safe interconnect testing requires several steps. First, ScanWorks Interconnect Development Station must be installed and set up. Second, ScanWorks will help you gather and organize information that describes your PCB design. Next, you’ll use ScanWorks tools to create and verify an accurate design description. Then ScanWorks automatically generates tests or you can develop custom tests that accomplish your test goals. Once
    [Show full text]
  • Ieee Designandtest 20210304.Pdf
    March/April 2021 Volume 38 Number 2 Special Issue uest Editors’ yH2: Using PyMTL3 to 5 GIntroduction: The P Create Productive and Resurgence of Open- 53 Open-Source Hardware Source EDA Technology Testing Methodologies Sherief Reda, Leon Stok, and Shunning Jiang, Yanghui Ou, Peitian Pan, Copublished by the IEEE Council Pierre-Emmanuel Gaillardon Kaishuo Cheng, Yixiao Zhang, and on Electronic Design Automation, on Electronic Design Automation, LIGN: A System for Christopher Batten the IEEE Circuits and Systems Automating Analog A penTimer v2: A Parallel 8 Layout Society, the IEEE Solid-State 62 OIncremental Timing Circuits Society, and the Test Tonmoy Dhar, Kishor Kunal, Yaguang Li, Analysis Engine Meghna Madhusudan, Jitesh Poojary, Technology Technical Council Tsung-Wei Huang, Chun-Xun Lin, Arvind K. Sharma, Wenbin Xu, and Martin D. F. Wong Steven M. Burns, Ramesh Harjani, Jiang Hu , ATNAP-Sim: Desmond A. Kirkpatrick, Parijat Mukherjee, ATNAP-Sim: A Comprehensive Soner Yaldiz, and Sachin S. Sapatnekar C 69 Exploration and a AGICAL: An Open- Nonvolatile Processor 19 MSource Fully Automated Simulator for Energy Analog IC Layout Harvesting Systems System from Netlist to GDSII Ali Hoseinghorban, Mohammad Abbasinia, Hao Chen, Mingjie Liu, Biying Xu, Ali Paridari, and Alireza Ejlali Keren Zhu, Xiyuan Tang, Shaolan Li, Yibo Lin, Nan Sun, and David Z. Pan General Interest n Open-Source EDA esign of = | = Shape AFlow for Asynchronous Logic DStub-Based Negative 27 A 78 Group Delay Circuit Samira Ataei, Wenmian Hua, Yihang Yang, Rajit Manohar, Yi-Shan Lu, Jiayuan He, Fayu Wan, Ningdong Li, Blaise Ravelo, Sepideh Maleki, and Keshav Pingali Wenceslas Rahajandraibe, and Sébastien Lalléchère eal Silicon Using esign of Single-Bit Fault- 38 ROpen-Source EDA 89 DTolerant Reversible Circuits R.Timothy Edwards, Mohamed Shalan, Hari M.
    [Show full text]
  • Production Testin of RF and System-On-A-Chip Devices For
    Production Testing of RF and System-on-a-Chip Devices for Wireless Communications For a listing of recent titles in the Artech House Microwave Library, turn to the back of this book. Production Testing of RF and System-on-a-Chip Devices for Wireless Communications Keith B. Schaub Joe Kelly Artech House, Inc. Boston • London www.artechhouse.com Library of Congress Cataloguing-in-Publication Data A catalog record for this book is available from the U.S. Library of Congress. British Library Cataloguing in Publication Data Schaub, Keith B. Production testing of RF and system-on-a-chip devices for wireless communications. —(Artech House microwave library) 1. Semiconductors—Testing 2. Wireless communication systems—Equipment and supplies—Testing I. Title II. Kelly, Joe 621.3’84134’0287 ISBN 1-58053-692-1 Cover design by Gary Ragaglia © 2004 ARTECH HOUSE, INC. 685 Canton Street Norwood, MA 02062 All rights reserved. Printed and bound in the United States of America. No part of this book may be reproduced or utilized in any form or by any means, electronic or mechanical, includ- ing photocopying, recording, or by any information storage and retrieval system, without permission in writing from the publisher. All terms mentioned in this book that are known to be trademarks or service marks have been appropriately capitalized. Artech House cannot attest to the accuracy of this informa- tion. Use of a term in this book should not be regarded as affecting the validity of any trade- mark or service mark. International Standard Book Number: 1-58053-692-1 10987654321 To my loving mother, Billie Meaux, and patient father, Leslie Meaux, for being the best parents that any son could wish for and without whose love and guidance I would be lost.
    [Show full text]