<<

applied sciences

Article Influence of Pre-Ionized on the Dynamics of a Tin Laser-Triggered Discharge-Plasma

Qiang Xu 1, Xiaolong Deng 1,*, He Tian 1 , Yongpeng Zhao 2 and Qi Wang 2

1 College of Science, Northeast Forestry University, Harbin 150040, China; [email protected] (Q.X.); [email protected] (H.T.) 2 National Key Laboratory of Science and Technology on Tunable Laser, Harbin Institute of Technology, Harbin 150080, China; [email protected] (Y.Z.); [email protected] (Q.W.) * Correspondence: [email protected]

 Received: 16 October 2019; Accepted: 16 November 2019; Published: 20 November 2019 

Abstract: The effect of laser-current delay on extreme emission by laser-triggered discharge-plasma has been investigated. Typical waveforms for current, voltage, laser signals, and X-ray signals have been compared. Theoretical tin spectra were simulated among the electron temperature ranges from 10 to 50 eV to compare with the experimental results. The results show that longer laser-current delay time is propitious to increase the steady-state time of plasma at high temperatures, and it increases the intensity and spectral purity of 13.5 nm emission in 2% band. The 13.5 nm radiation intensity increases about 120% with the delay increasing from 0.7 to 5 µs, and the extreme ultraviolet (EUV) emission conversion efficiency (CE) increases from 0.5% to 1.1%.

Keywords: EUV emission; laser-triggered discharge plasma; pre-ionized plasma; tin spectra; 13.5 nm emission

1. Introduction In order to keep pace with Moore’s law, photolithography has been widely used in the semiconductor industry during the last few decades. At present, the 13.5 nm extreme ultraviolet lithography (EUVL) is one of the photolithography methods with the most potential [1]. The is 13.5 nm emission in a 2% bandwidth, which is mainly for the molybdenum-silicon (Mo/Si) multilayer mirrors [2] and the photo resistance [3]. Due to the high convention efficiency of 13.5 nm emission, Sn has been widely used as an extreme ultraviolet (EUV) source [4]. Two types of EUV sources are used for EUVL, which are laser-produced plasma (LPP) [5,6] and laser-triggered discharge plasma (LTD) [7]. The LTD source has the features of being a low-cost system, high repetition rate, selective spectral wavelength according to target, potential high repetition-rate operation, and precise timing controllability of a light pulse. In this way, it can be used not only for EUVL, but also for EUV-induced plasma [8], nano-patterning [9], and X-ray microscopy [10,11]. Moreover, it can be used to inspect the key components of the EUVL system, such as the mask and so on [12]. In recent years, a number of experiments have been conducted with LTD sources. The dynamics of the Z-pinch plasma are investigated by different methods [13,14], which show that the electron 18 19 3 density is about 10 –10 cm− , and the electron temperature is about 20–40 eV. With a special three electrodes system, Lu et al. investigated the post-discharge stage of the LTD EUV source [15], and it shows that that electrical recovery lasts for a few tens to several hundreds of microseconds, and the repetition of the source can exceed 70 kHz, which is useful to achieve high power of the EUV source. Parameters and types of lasers are also investigated by V. M. Borisov et al. and G. A. Beyene et al. The former reports that the maximum conversion efficiency is almost the same for CO2, Nd:YAG, XeF, and KrF laser, and the dependence of the EUV source efficiency on the laser power density is

Appl. Sci. 2019, 9, 4981; doi:10.3390/app9234981 www.mdpi.com/journal/applsci Appl. Sci. 2019, 9, 4981 2 of 10 obtained as well [16]. The latter studies the EUV spectra generated by picosecond and nanosecond laser triggering under different laser . It reports that ps-triggering is better in order to get a higher EUV conversion efficiency and narrower spectral profiles [7]. Moreover, the effect of current rise time, electrical energy, and inter-electrode distance are also reported [16,17]. Appl. Sci. 2019, 9, 4981 2 of 10 The plasma characteristics during the Z-pinch and recovery stage have been studied extensively by using optical techniques or spectroscopy. Until now, the research on the expanding of the pre-ionized XeF, and KrF laser, and the dependence of the EUV source efficiency on the laser power density is plasma for Sn LTD sources has been reported very little. For the LTD source, the trigger laser generates obtained as well [16]. The latter studies the EUV spectra generated by picosecond and nanosecond an expanding pre-ionized plasma, which is the discharge medium for the latter process. The condition laser triggering under different laser energy. It reports that ps-triggering is better in order to get a of the pre-ionized plasma varies with the expanding, and it will dictate the subsequent compression higher EUV conversion efficiency and narrower spectral profiles [7]. Moreover, the effect of current dynamics and the spectral properties of the Z-pinch. Moreover, the pre-ionized plasma results in a rise time, electrical energy, and inter-electrode distance are also reported [16,17]. much lower threshold for the breakdown voltage as well [18]. In this way, study of the delay between The plasma characteristics during the Z-pinch and recovery stage have been studied extensively the triggering laser and the current, may be useful for the optimizing of the EUV emission. In this paper, by using optical techniques or spectroscopy. Until now, the research on the expanding of the pre- we focus on the dynamics under different laser-current delays by time-resolved EUV spectroscopy. ionized plasma for Sn LTD sources has been reported very little. For the LTD source, the trigger laser generates2. Experimental an expanding Apparatus pre-ionized plasma, which is the discharge medium for the latter process. The condition of the pre-ionized plasma varies with the expanding, and it will dictate the subsequent compressionAn experimental dynamics schematic and the spectral diagram properties of tin LTD of the is given Z-pinch. in Figure Moreover,1, which the ispre-ionized mentioned plasma in the resultsprevious in worksa much [19 lower]. In threshold all experiments, for the abreakdown 3 mm thick voltage and 60 as mm well diameter [18]. In highthis way, purity, study planar of the tin delaytarget between was the sourcethe triggering for EUV generation.laser and the An current, Nd:YAG may laser be beam, useful produced for the optimizing by Continuum of the Minillite EUV emission.II laser system In this (8 nspaper, pulse we width, focus 1–50 on the mJ @1064dynamics nm, under 1–10 Hz), different having laser-current a pulse energy delays of 20 by mJ, time- was resolvedfocused byEUV an spectroscopy.f = 10 cm focal length planoconvex BK7 glass lens, which was placed in the center of the hollow cathode. The laser beam was injected perpendicularly onto the tin target. The power 10 2 2.density Experimental was 10 ApparatusW/cm at a focal spot diameter of 45 µm FWHM. The distance between the hollow tungsten-copper anode and the tin cathode was 5 mm. Moreover, the laser pulse, which was used to An experimental schematic diagram of tin LTD is given in Figure 1, which is mentioned in the produce a pre-ionized plasma, was not high enough to produce a detectable EUV emission. The tin previous works [19]. In all experiments, a 3 mm thick and 60 mm diameter high purity, planar tin cathode was rotated after five laser shots to avoid the effect of the electrode ablation [20]. In this paper, target was the source for EUV generation. An Nd:YAG laser beam, produced by Continuum Minillite the measured spectrum is the average of the five shots. II laser system (8 ns pulse width, 1–50 mJ @1064 nm, 1–10 Hz), having a pulse energy of 20 mJ, was A 1 m Rowland circle grazing incidence spectrometer (GIS, McPherson 248/310 G) and an X-ray focused by an f = 10 cm focal length planoconvex BK7 glass lens, which was placed in the center of CCD (Andor, DO920P-BN) were used to record the time-integrated spectra. The spectral resolution the hollow cathode. The laser beam was injected perpendicularly onto the tin target. The power is better than 0.04 nm. The spectrometer axis was vertical to the axis between anode and cathode density was 1010 W/cm2 at a focal spot diameter of 45 μm FWHM. The distance between the hollow electrodes, and coincided with the focused laser. An EUV energy monitor E-Mon (Bruker Advanced tungsten-copper anode and the tin cathode was 5 mm. Moreover, the laser pulse, which was used to Supercon GmbH, 00M-EM-001), consisting of a Zr filter, two Mo/Si multilayer mirrors, and an X-ray produce a pre-ionized plasma, was not high enough to produce a detectable EUV emission. The tin photo-diode was used to measure pulsed EUV- and calibrated the GIS spectra detection system cathode was rotated after five laser shots to avoid the effect of the electrode ablation [20]. In this paper, at central wavelength of 13.5 nm with a bandwidth of 2%. the measured spectrum is the average of the five shots.

Figure 1. Cont.

Appl. Sci. 2019, 9, 4981 3 of 10 Appl. Sci. 2019, 9, 4981 3 of 10

Figure 1. SchematicSchematic of of the the experimental experimental setup setup used used for for a laser-triggered a laser-triggered discha dischargerge produced produced in tin in tinplasma. plasma. (a) Schematic (a) Schematic of the of whole the whole setup. setup. (b) Photograph (b) Photograph of the ofexperimental the experimental setup from setup the from side the of sidethe grazing of the grazing incidence incidence spectromet spectrometerer of the of thevacuum chamber. chamber. (c) (cTime) Time series series diagram diagram of of thethe experimental setup.setup.

InA 1 order m Rowland to avoid circle self-discharge grazing incidence and absorption spectrom ofeter EUV (GIS, emission, McPherson the vacuum 248/310 chamber G) and pressurean X-ray 3 wasCCD typically (Andor, inDO920P-BN) the order of were 10− Pa,used maintained to record bythe a time-integrated mechanical pump spectra. and a The turbo spectral molecular resolution pump. Ais Rogowskibetter than coil 0.04 (Tektronix nm. The P6015A)spectrometer anda axis high wa voltages vertical probe to (Pearsonthe axis between 5046) were anode used and to measurecathode theelectrodes, current and and coincided the voltage with respectively. the focused A laser. diode An (Thorlabs, EUV energy DET10A monitor/M) E-Mon was used (Bruker to monitor Advanced the laserSupercon signal. GmbH, An EUV 00M-EM-001), photo-diode consisting (IRD, AXUV20HS1BNC) of a Zr filter, two was Mo/Si used multilayer to observe mirrors, the X-ray and signal an X-ray from aphoto-diode discharge. was A 500 used nm to thick measure zirconium pulsed metal EUV-en filterergies was placedand calibrated in front ofthe the GIS IRD spectra diode detection to select wavelengthssystem at central from wavelength 6.5 nm to 16.8 of 13.5 nm nm [21]. with These a bandwidth outputs of of the 2%. current, the voltage, the signal of the laser,In and order the to X-ray avoid signal self-discharge in one shot and were absorption recorded of on EUV a digital emission, oscilloscope the vacuum (Tektronix, chamber MDO3054). pressure was typicallyThe power in the supply order was of 10 based−3 Pa, onmaintained three-level by magnetica mechanical pulse pump compression, and a turbo which molecular differs pump. from conventionalA Rogowski coil LTD (Tektronix sources [ 17P6015A),22]. The and high a high voltage voltage can probe be generated (Pearson and 5046) maintained were used by to the measure pulse powerthe current system. andWhen the voltage the pre-ionized respectively. plasma A diode bridges (Thorlabs, the anode DET10A/M) and the tin was cathode, used to the monitor current the is generated.laser signal. Then, An EUV the photo-diode pre-ionized (IRD, plasma AXUV20HS1B is pinched toNC) the was center used by to the observe Lorentz the force. X-ray Insignal this from way, high-temperaturea discharge. A 500 plasma nm thick is made zirconium to radiate metal EUV filter emissions. was placed By modifyingin front of thethe delayIRD diode between to select laser andwavelengths voltage, thisfrom power 6.5 nm supply to 16.8 can nm command [21]. These the outp dischargeuts of the process current, and the the voltage, condition the of signal the plasma. of the Figurelaser, and1bshows the X-ray the signal time series in one diagram shot were of record the experimentaled on a digital setup. oscilloscope A computer (Tektronix, gives two MDO3054). signals simultaneouslyThe power to supply trigger was the powerbased supplyon three-level and the digitalmagnetic delay pulse generator compression, (SRS, DG535). which Thediffers inherent from delayconventionalT1 is defined LTD sources by the [17,22]. pulse power The high system voltag ande can the be final generated Z-pinch and device, maintained and it isby a the constant. pulse Meanwhile,power system. the When delay theT2 controlled pre-ionized by plasma the DG535 bridges was the used anode to adjust and the delaytin cathode, between the the current current is andgenerated. the laser Then, signal. the pre-ionized plasma is pinched to the center by the Lorentz force. In this way, high-temperatureFigure2 shows plasma two typical is made waveforms to radiate for EUV the voltage, emissions. the current, By modifying the IRD the diode delay X-ray between signal, laser and theand laser voltage, signal this under power the supply laser-current can command delay d thet = discharge0.68 µs and process 1.60 µ sand respectively. the condition The of laser-current the plasma. delayFigure d 1bt (~10% shows of the laser time pulse series to diagram 10% of current)of the experimental is defined as setup. the time A computer interval betweengives two the signals laser irradiationsimultaneously (pre-ionized to trigger plasma) the power and the supply onset ofand the the current digital (breakdown). delay generator The increasing(SRS, DG535). time andThe fullinherent width delay at half T maximum1 is defined (FWMH) by the ofpulse the currentpower system are 100 nsand and the 260 final ns, respectively,Z-pinch device, and and the typicalit is a currentconstant. and Meanwhile, voltage values the delay are aboutT2 controlled 8 kA and by 20the kV, DG535 respectively. was used The to adjust capacitance the delay of thebetween last loop the iscurrent 120 nF, and which the laser delivers signal. 24 J energy to the pre-ionized plasma. According to the experiments, no dischargeFigure will 2 shows occur two at d typicalt smaller waveforms than 0.68 forµs, the which voltage, is mainly the current, determined the IRD by diode the electrical X-ray signal, gap distance,and the laser the laser signal irradiation, under the and laser-current the target materialdelay dt [ 23= ].0.68 In fact,μs and many 1.60 experiments μs respectively. have beenThe laser- done bycurrent increasing delay dTt2 ,(~10% which of is laser shown pulse in Figureto 10%1 b.of current) When T is2 isdefined large enough,as the time the interval pre-ionized between plasma the willlaser be irradiation generated (pre-ionized after that the plasma) high voltage and the is onset loaded of the between current the (breakdown). two electrodes. The Inincreasing this way, time the highand full voltage width will at half be maintained,maximum (FWMH) which is of similar the current to Figure are 1002A. ns The and time 260 intervalns, respectively, was defined and the as beingtypical between current and the fallingvoltage edge values of theare voltageabout 8 andkA an thed 20 onset kV, ofrespectively. the current The as d capacitancet’, which is of shown the last in Figureloop is2 120A. The nF, experimentalwhich delivers results 24 J energy show thatto the d tpre-ionized’ is increasing plasma. with theAccording increasing to the of T experiments,2. However, no discharge will occur at dt smaller than 0.68 μs, which is mainly determined by the electrical gap

Appl. Sci. 2019, 9, 4981 4 of 10 distance, the laser irradiation, and the target material [23]. In fact, many experiments have been done by increasing T2, which is shown in Figure 1b. When T2 is large enough, the pre-ionized plasma will be generated after that the high voltage is loaded between the two electrodes. In this way, the high Appl.voltage Sci. 2019will, 9be, 4981 maintained, which is similar to Figure 2A. The time interval was defined as being4 of 10 between the falling edge of the voltage and the onset of the current as dt’, which is shown in Figure 2A. The experimental results show that dt’ is increasing with the increasing of T2. However, the laser- the laser-current delay dt (~10% of laser pulse to 10% of current) is almost 0.68 µs under different current delay dt (~10% of laser pulse to 10% of current) is almost 0.68 μs under different T2. There are T2. There are two peaks of the X-ray signals. The first peak is generated by the collision between the two peaks of the X-ray signals. The first peak is generated by the collision between the anode and the anode and the electrons, which is generated by the laser and accelerated by the electric field [22]. The electrons, which is generated by the laser and accelerated by the electric field [22]. The second peak, second peak, which is corresponding to the dip in the current signal, is due to the Z-pinch formation which is corresponding to the dip in the current signal, is due to the Z-pinch formation by the current by the current [14,22]. By comparing the two X-ray signals, it can be found that there are much more [14,22]. By comparing the two X-ray signals, it can be found that there are much more smaller peaks smaller peaks for the second peak when dt is larger. Moreover, the pinch times, which are defined as for the second peak when dt is larger. Moreover, the pinch times, which are defined as the onset of the onset of the current and the dip of the current, are 116.4 ns and 105.2 ns for condition (A) and (B) the current and the dip of the current, are 116.4 ns and 105.2 ns for condition (A) and (B) respectively. respectively. Due to the expanding of the pre-ionized plasma, lower density of ions will be obtained Due to the expanding of the pre-ionized plasma, lower density of ions will be obtained when the when the laser-current delay is larger. In this way, the pre-ionized plasma will be pinched much more laser-current delay is larger. In this way, the pre-ionized plasma will be pinched much more drastically and faster according to the Bennett equilibrium and the snow-plow model [24,25]. Multiple drastically and faster according to the Bennett equilibrium and the snow-plow model [24,25]. pinches may occur when dt is larger as well [26]. Moreover, the FWHM of the X-ray signal is larger Multiple pinches may occur when dt is larger as well [26]. Moreover, the FWHM of the X-ray signal under larger dt, according to Figure2. is larger under larger dt, according to Figure 2.

25 10 50 (B) (A)

20 40 0 (b) 30 15 dt -10 (a) 20 10 X-ray signal (V) signal X-ray Current(kA) Voltage (kV) ' (c)

dt Laser signal (V) X-ray signal (V) X-ray (d) 10 5 -20

0 0 -1000 -500 0 500 1000 1500 2000 2500 800 850 900 950 1000 1050 Time (ns) Time (ns) 10 50 25 (C) (D)

40 20 0 (b) 30 15 (a) 20 -10 10 Current (kA) Current Voltage (kV) (d) (V) signal Laser (c) X-ray signal (V) X-ray signal (V) 10

5 -20 0

0 0 500 1000 1500 2000 2500 3000 3500 1650 1700 1750 1800 1850 1900 Time (ns) Time (ns) Figure 2. TypicalTypical waveforms for the voltage (a, black line), current (b, line), IRD diode X-ray signal (c, blueblue line),line), and and the the laser laser signal signal (d, (d, pink pink line) line) under under two two laser-current laser-current delays. delays. (A) ( TheA) The high high voltage voltage was maintainedwas maintained until until the pre-ionized the pre-ionized plasma plasma was ignited, was ignited, and the and electrical the electrical breaks breaks under theunder laser-current the laser- delaycurrent 0.68 delayµs. (0.68C) The μs. tin(C) pre-ionized The tin pre-ionized plasma was plasma generated was bygenera laserted long by before laser long the high before voltage the high was loadedvoltage betweenwas loaded the anode between and thethe tinanode cathode. and (theB,D )tin The cathode. X-ray signals, (B,D) whichThe X-ray are enlarged signals, bywhich 20 times, are haveenlarged been by magnified 20 times, correspondinghave been magnified to conditions corresponding (A) and (toC )conditions respectively. (A) and (C) respectively.

3. Theoretical Calculations 3. Theoretical Calculations To simulate the emission from tin ions around 13.5 nm, the transition probability (gA) and oscillator To simulate the emission from tin ions around 13.5 nm, the transition probability (gA) and strengths (gf ) versus wavelength (λ) are calculated for a range of tin ion stages by Hartree–Fock oscillator strengths (gf) versus wavelength (λ) are calculated for a range of tin ion stages by Hartree– configuration interaction (HFCI) Cowan codes [27]. Here configuration interaction (CI) is taken into Fock configuration interaction (HFCI) Cowan codes [27]. Here configuration interaction (CI) is taken n n 1 n 1 5 n+1 account between the 4d , 4d − mf, 4d − tp, and 4p 4d (m = 4–6, t = 5–7, n = 1–8) configurations, as it will considerably influence the gA, the wavelength [28], and narrow the bandwidth. The Slater Condon parameters are set according to Gerry O’Sullivan [29]. There are tens of thousands of transition lines in the EUV band for highly charged tin ions, and the lines from different charge states and Appl. Sci. 2019, 9, 4981 5 of 10 Appl. Sci. 2019, 9, 4981 5 of 10 into account between the 4dn, 4dn−1mf, 4dn−1tp, and 4p54dn+1 (m = 4–6, t = 5–7, n = 1–8) configurations, as it will considerably influence the gA, the wavelength [28], and narrow the bandwidth. The Slater diCondonfferent transitionparameters arrays are set overlap according with eachto Gerry other, O’Sullivan forming an [29]. unresolved There are transition tens of array thousands (UTA) orof quasi-continuoustransition lines in the band. EUV Therefore, band for ithighly is necessary charged to tin broaden ions, and the the spectral lines from lines different when simulating charge states the spectraland different lines. transition Statistical arrays methods overlap are with used each to calculate other, forming the averaged an unresolved wavelength transition versus array ion (UTA) stages accordingor quasi-continuous to Equation band. (1) [Therefore,30]. As shown it is necess in Figureary to3A, broaden the 13.5 the nm spectral emission lines in when 2% bandwidth simulating (13.365–13.635the spectral lines. nm) Statistical is mainly methods generated are by used the to Sn ca8+lculateSn13+ the4d–4 averagedf and 4p– wavelength4d transitions. versus ion stages − according to Equation (1) [30]. As shown in Figure 3A, the 13.5 nm emission in 2% bandwidth (13.365– tuv 13.635 nm) is mainly generatedXN by theX NSn8+−Sn13+ 4d–4f andXN 4p–4d transitions.XN 2 λ = (λi gANNi)/ gAi ∆λ = N[(λi λ) NgAi ]/ gAi (1) λλ·= (⋅Δ−⋅gAgA ) / λ = [( λλ )2 − gAgA ] / · = ii= i = i i  i = (1) i 1 ii==11i 1 i =i 11 i = 1i 1

35 1.0 16 (A) 4d-5p (B) 4d-6p 30 4d-4f 0.8 4d-5f 12 14+ 25 4p-4d 6+ Sn Sn 0.6 10+

20 Sn 8

0.4 Ion fraction 15 Average ions stage ions Average 4 Average Wavelength (nm) 0.2 10

5 0.0 0 468101214 0 10203040506070 Ion Stage Electron Temperature (eV) Figure 3. Theoretical results of averaged wavelength and ion fraction. ( AA).). Average Average wavelength verse ion stage for tin for the transitions 4d–5p (black, square square),), 4d–6p (red, (red, circle), 4d–4f (, up up triangle), triangle), 4d–5f (pink, star), and 4p–4d4p–4d (,(green, diamond).diamond). ( B). Fractional ion stage distributionsdistributions and averageaverage 1919 −33 ions stagestage inin anan LTDLTD tintin plasma plasma vs vsT Te eat atn ne e= = 1010 cmcm− evaluatedevaluated using using the the Colombant and Tonon’s collisional-radiative model. Ion stages which can emit 13.5 nm emission are shown in red.red.

A collision–radiationcollision–radiation (CR) (CR) model model of Colombantof Colomban andt Tononand Tonon [31] is [31] used is to used approximately to approximately estimate theestimate ion stage the distribution.ion stage distribution. The ion fraction The ionfz offraction charge fzz isof givencharge in z Equation is given (2)in forEquation rate coe (2)ffi cientsfor rate of collisionalcoefficients of collisionalS, radiative ionization recombination 𝑆, radiative αrecombinationr and three-body 𝛼 recombinationand three-bodyn erecombinationα3b. Figure3B shows𝑛𝛼. theFigure ion fractions3B shows and the averageion fractions ions stages and average versus electronions stages temperature versus electron for tin totemperature the 15th ion for stage tin 19 3 duringto the 15th the electronion stage temperature during the electron range from temperatur 1 to 70 eV.e range The electron from 1 densityto 70 eV. is The choosing electron as 10densitycm− is, whichchoosing is typicalas 1019 forcm− LTD3, which source is typical [13,14]. for Moreover, LTD source the electron[13,14]. Moreover, density has the almost electron no edensityffect on has the 21 3 ionalmost stage no distributions effect on the whenion stage the electrondistributions density when is underthe electron 10 cm density− [32]. is It under is seen 10 that21 cm the−3 [32]. optimal It is temperatureseen that the for optimal Sn8+~Sn temperature13+ ions, which for Sn can8+~Sn emit13+ theions, emission which aroundcan emit 13.5 the nm, emission mainly around lies in the13.5 range nm, ofmainly 15–50 lies eV. in The the dominantrange of 15–50 fractional eV. The abundance dominant of fractional Sn8+~Sn 13abundance+ ions should of Sn be8+~Sn less13+ than ions 60% should of the be totalless than ions 60% for a of given the totalTe. ions for a given Te. nz+1 S(z, Te) n + SzT(, ) fz = f ===z 1 e (2) n z (ααz +++1, T ) + n +(z + 1, T ) (2) z nzTnzTzαr reebe( 1,)e 3 e (α3b 1,) e The LTD plasma is opticallyoptically thin when comparing it with the LPP plasma [[7].7]. In this way, the opacity eeffectffect is ignored. A Boltzmann distribution appropriate to the temperature is used to weight the population of the upper states for each stagestage [[33].33]. Figure 44 showsshows thethe simulatedsimulated tintin spectraspectra asas aa function of temperature and wavelength. It It is cl clearear from these simulated spectra that the optimum electron temperaturetemperature isis around around 30 30 eV, eV, where where the the emission emission around around 13.5 13.5 nm nm is highest. is highest. The The spectra spectra in the in d f p d 6+ 8+ rangethe range 14–16 14–16 nm, nm, which which aremainly are mainly generated generated by the by 4 the–d 4dand–df 4and–4 4ptransitions–4d transitions of Sn of–Sn Sn6+–Snions,8+ ions, can be seen when the electron temperature is lower than 20 eV. Moreover, with the increasing of Te, the can be seen when the electron temperature is lower than 20 eV. Moreover, with the increasing of Te, UTAthe UTA bandwidth bandwidth is getting is getting narrower narrower as well. as well.

Appl. Sci. 2019, 9, 4981 6 of 10 Appl. Sci. 2019, 9, 4981 6 of 10

5 1.0 (a) (b) 4 0.8

3 0.6

2 0.4 Intensity (a.u.) Intensity (a.u.) Intensity 1 0.2

0 0.0 10 10

20 20

)

)

V

V

e

e

(

(

30 30

e

e

T

T

40 40

50 50 12.0 12.5 13.0 13.5 14.0 14.5 15.0 15.5 16.0 12.0 12.5 13.0 13.5 14.0 14.5 15.0 15.5 16.0 Wavelength (nm) Wavelength (nm) FigureFigure 4.4. TheoreticalTheoretical tintin spectraspectra as a function of temperaturetemperature and wavelength, wavelength, convolution convolution with with a a GaussianGaussian profileprofile ofof 0.060.06 nmnm fullfull widthwidth atat halfhalf maximum.maximum. ( (aa)) The The variation variation of of absolute absolute emission emission as as a a functionfunction of ofT Tee.(. (b) Normalized to to the the peak peak at at each each temper temperatureature to to compare compare the the variation variation in in profile. profile.

4.4. ExperimentalExperimental ResultsResults andand Discussion Time-integratedTime-integrated tin tin EUVEUV emissionsemissions are presented in Figure Figure 5 under different di fferent laser-current laser-current delays. delays. TheThe energy energy andand focal-spotfocal-spot diameterdiameter of the laser is fixed. fixed. Moreover, Moreover, the the discharge discharge current current is is basically basically unchangedunchanged underunder didifferentfferent delaysdelays whenwhen the voltage is maintained. In In this this way, way, the the input input electrical electrical energyenergy isis almostalmost thethe same.same. SinceSince thethe experimentalexperimental spectrum is is time-integrated time-integrated over over the the laser laser pulse pulse duration,duration, itit isis notnot possiblepossible toto characterizecharacterize the emis emissionsion by by a a single single electron electron temperature temperature over over aa range range ofof power power densitiesdensities andand electronelectron temperatures.temperatures. Figu Figurere 66 showsshows thethe comparisonscomparisons with the theoretical theoretical simulationssimulations forfor steady-state electron electron temperatures temperatures 32 32,, 20, 20, and and 12 12eV, eV, respectively. respectively. The Thefractions fractions of the of thecontributing contributing ion ionstages stages for foreach each electron electron temperatur temperaturee are given are given in the in inset. the inset. It can It be can found be found that the that thetheoretical theoretical result result from from the the temperature temperature of of32 32 eV eV fits fits the the short-wavelength short-wavelength spectra spectra well, well, especially especially betweenbetween 13.013.0 andand 13.513.5 nm.nm. The long-wavelengthlong-wavelength spectra spectra are are in in good good agreement agreement with with those those of of the the temperaturetemperature ofof 1212 eVeV whenwhen thethe delaydelay is 1.51.5 µμs. In this this way, way, the electron electron temperature temperature of of the the plasma plasma shouldshould bebe inin thethe rangerange ofof 1212 andand 3232 eVeV during thethe Z-pinch process. process. According According to to Figures Figures 3A3A and and 6a,6a, thethe spectra spectra between between 13 13 and and 14 14 nm nm mainly mainly originate originate from from Sn Sn1010++ and Sn 12+12+, ,and and these these ions ions mainly mainly exist exist underunder the the electronelectron temperaturetemperature 3232 eV. InIn this way, the experimental data data and and the the simulation simulation data data can can agreeagree well. well. The The condition condition for for Figure Figure6c 6c is is for for the the same same reason. reason. However, However, it canit can be be seen seen from from Figure Figure3A that3A thethat origin the origin of spectra of spectra in the in range the range of 14–15 of 14–15 nm is particularlynm is partic complex,ularly complex, which arewhich mainly are mainly coming 6+ 13+ fromcoming Sn6 from+~Sn Sn13+ ions.~Sn These ions. These ions can ions exist can exist in a very in a very large large temperature temperature range. range. At theAt the same same time, time, the measuredthe measured spectrum spectrum is a time is a integraltime integral spectrum, spectrum, which which corresponds corresponds to the to overall the overall situation situation of plasma of radiationplasma radiation spectrum spectrum at different at temperatures different temperatures during the wholeduring Z-pinch the whole process. Z-pinch This meansprocess. that This the spectrameans inthat this the band spectra can not in matchthis band the fittingcan not spectra match at the a certain fitting temperature,spectra at a whichcertain is temperature, also the main reasonwhich whyis also the the theoretical main reason and experimentalwhy the theoretica spectral and in Figure experimental6b cannot spectra fit well. in Figure 6b cannot fit well.According to Figures5 and6a, the intensity between 13 and 14 nm, which mainly originates from Sn10+15000and Sn12+, is increasing with the delay from 0.71.0 to 5.0 µs. Meanwhile, as shown in Figure6b,c, (a) μ (b) μ 0.7 s 0.7 6s+ 9+ there is some emission fromthe 2% band the lower 1.5 ion-stages, μs and the strongest emission is from Sn1.5 μs to Sn 12000 0.8 (14–16 nm). As shown inof Figure 13.5nm 5b, the 3.0 fraction μs of the emission between 14 nm and 16 nm3.0 μs is going 5.0 μs 5.0 μs down9000 with the increase of the delay. As mentioned,0.6 the experimental spectra are time-integrated, which indicates average charge state during the plasma lifetime. In this way, the charge state increases with6000 the delay, which means that the average electron temperature0.4 of the plasma is increasing. As the Intensity (a.u.) Intensity (a.u.) delay increases, the density of the plasma decreases due to expanding. In this way, the Z-pinch process gets more3000 intense according to the Bennett equilibrium.0.2 The electron temperature gets higher as well. Moreover, there is a small peak around 12.5 nm, which is generated by the Sn7+ 4d–5f transition. 0 0.0 It almost12.0 cannot 12.5 13.0 be detected 13.5 14.0 when 14.5 15.0 the delay 15.5 16.0 is larger than12.0 3.0 12.5µs according 13.0 13.5 14.0 to Figure 14.5 15.05, which 15.5 16.0 implies Wavelength (nm) Wavelength (nm) that the ratio of the ion stages lower than Sn7+ is too little by comparing with higher ion stages. Figure 5. Extreme ultraviolet (EUV) spectra and 13.5 nm intensity in 2% band under different laser- current delays. (a) Original spectra between 12.0 and 16.0 nm, the spectra marked between the two black lines are the 13.5 nm emission in the 2% band. (b) Normalized for each comparison.

Appl. Sci. 2019, 9, 4981 6 of 10

5 1.0 (a) (b) 4 0.8

3 0.6

2 0.4 Intensity (a.u.) Intensity (a.u.) Intensity 1 0.2

0 0.0 10 10

20 20

)

)

V

V

e

e

(

(

30 30

e

e

T

T

40 40

50 50 12.0 12.5 13.0 13.5 14.0 14.5 15.0 15.5 16.0 12.0 12.5 13.0 13.5 14.0 14.5 15.0 15.5 16.0 Wavelength (nm) Wavelength (nm) Figure 4. Theoretical tin spectra as a function of temperature and wavelength, convolution with a Gaussian profile of 0.06 nm full width at half maximum. (a) The variation of absolute emission as a

function of Te. (b) Normalized to the peak at each temperature to compare the variation in profile.

4. Experimental Results and Discussion Time-integrated tin EUV emissions are presented in Figure 5 under different laser-current delays. The energy and focal-spot diameter of the laser is fixed. Moreover, the discharge current is basically unchanged under different delays when the voltage is maintained. In this way, the input electrical energy is almost the same. Since the experimental spectrum is time-integrated over the laser pulse duration, it is not possible to characterize the emission by a single electron temperature over a range of power densities and electron temperatures. Figure 6 shows the comparisons with the theoretical simulations for steady-state electron temperatures 32, 20, and 12 eV, respectively. The fractions of the contributing ion stages for each electron temperature are given in the inset. It can be found that the theoretical result from the temperature of 32 eV fits the short-wavelength spectra well, especially between 13.0 and 13.5 nm. The long-wavelength spectra are in good agreement with those of the temperature of 12 eV when the delay is 1.5 μs. In this way, the electron temperature of the plasma should be in the range of 12 and 32 eV during the Z-pinch process. According to Figures 3A and 6a, the spectra between 13 and 14 nm mainly originate from Sn10+ and Sn12+, and these ions mainly exist under the electron temperature 32 eV. In this way, the experimental data and the simulation data can agree well. The condition for Figure 6c is for the same reason. However, it can be seen from Figure 3A that the origin of spectra in the range of 14–15 nm is particularly complex, which are mainly coming from Sn6+~Sn13+ ions. These ions can exist in a very large temperature range. At the same time, the measured spectrum is a time integral spectrum, which corresponds to the overall situation of plasma radiation spectrum at different temperatures during the whole Z-pinch process. This means that the spectra in this band can not match the fitting spectra at a certain temperature, Appl.which Sci. 2019 is, 9also, 4981 the main reason why the theoretical and experimental spectra in Figure 6b cannot7 of 10 fit well.

15000 1.0 (a) 0.7 μs (b) 0.7 μs the 2% band 1.5 μs 1.5 μs 12000 of 13.5nm 3.0 μs 0.8 3.0 μs 5.0 μs 5.0 μs

9000 0.6

6000 0.4 Intensity (a.u.) Intensity (a.u.)

3000 0.2

0 0.0 12.0 12.5 13.0 13.5 14.0 14.5 15.0 15.5 16.0 12.0 12.5 13.0 13.5 14.0 14.5 15.0 15.5 16.0 Wavelength (nm) Wavelength (nm) FigureFigure 5. 5.Extreme Extreme ultraviolet ultraviolet (EUV)(EUV) spectr spectraa and and 13.5 13.5 nm nm intensity intensity in 2% in 2%band band under under different different laser- laser-currentcurrent delays. delays. (a) (aOriginal) Original spectra spectra between between 12.0 12.0 and and 16.0 16.0 nm, nm, the the spectra spectra marked marked between between the thetwo Appl. Sci. 2019, 9, 4981 7 of 10 twoblack black lines lines are are the the 13.5 13.5 nm nm emission emission in in the the 2% 2% band. band. (b ()b Normalized) Normalized for for each each comparison. comparison.

1.0 1.0 1.0 (a) 0.5 (b) 0.5 0.5 0.4 0.4 0.4 0.6 T = 20eV 0.8 0.3 0.8 0.8 e 0.3 0.3 Te = 32eV

0.2 0.2

Ratio 0.2 Ratio

0.1 0.1 0.1

0.6 0.0 0.6 0.6 0.0 0.0 0.4 8 9 10 11 12 13 14 567891011 Ion stage Ion stage

0.4 0.4 0.4 Intensity (a.u.) Intensity (a.u.) Intensity (a.u.) Intensity 0.2

0.2 0.2 0.2

0.0 0.0 0.0 0.0 12.0 12.5 13.0 13.5 14.0 14.5 15.0 15.5 16.0 12.0 12.5 13.0 13.5 14.0 14.5 15.0 15.5 16.0 Wavelength (nm) Wavelength (nm) 1.0 1.6

(c) 0.6

0.8 Te = 12eV 0.4 1.2 Ratio 0.2

0.6 0.0 3456789 Ion stage 0.8

0.4 Intensity (a.u.) Intensity Intensity (a.u.) Intensity 0.4 0.2

0.0 0.0 12.0 12.5 13.0 13.5 14.0 14.5 15.0 15.5 16.0 Wavelength (nm) Figure 6.6. ComparisonComparison ofof theoretical theoretical spectra spectra (blue, (blue, dash dash line) line) with with the experimentalthe experimental spectra spectra (black, (black, solid line)solid atline) electron at electron temperatures temperatures 32 eV 32 (a eV), 20 (a), eV 20 (b eV), and (b), 12and eV 12 ( ceV) for (c) d fort of d 1.5t ofµ 1.5s. Theμs. The fractions fractions of the of contributingthe contributing ion stagesion stages for eachfor each electron electron temperature temperature are givenare given in the in inset.the inset.

FigureAccording7 shows to Figures the 13.5 5 nm and intensity 6a, the inintensity the 2% bandbetween (red, 13 circle) and and14 nm, spectral which purity mainly (blue, originates star) as functionsfrom Sn10+ of and laser-current Sn12+, is increasing delay. Here with the the spectral delay purityfrom 0.7 is definedto 5.0 μs. as Meanwhile, the ratio of 13.5as shown nm intensity in Figure in the6b,c, 2% there band is tosome the emission range of 12.0from to the 16.0 lower nm. io Itn-stages, can be found and the that stronges the 13.5t nmemission intensity is from increases Sn6+ to about Sn9+ 120%(14–16 when nm). theAs shown delay increasesin Figure from5b, the 0.7 fraction to 5 µs. of The the EUVemission conversion between effi 14ciency nm and (CE) 16is nm increasing is going fromdown 0.5% with to the 1.1%. increase The EUV of the CE delay. is determined As mentione by thed, ratiothe experimental of the energy spectra radiated are in atime-integrated, 2% bandwidth aroundwhich indicates 13.5 nm to average the input charge electrical state energy during [5]. the As shownplasma in lifetime. Figure7 ,In the this EUV way, CE isthe increasing charge withstate theincreases laser-current with the delay, delay, but which the increase means does that not the have average a linear electron relationship. temperature In contrast, of the the plasma stability is ofincreasing. the light As decreases, the delay which increases, is harmful the density to the of source. the plasma Moreover, decreases the 13.5due nmto expanding. intensity is In almost this way, the the Z-pinch process gets more intense according to the Bennett equilibrium. The electron temperature gets higher as well. Moreover, there is a small peak around 12.5 nm, which is generated by the Sn7+ 4d–5f transition. It almost cannot be detected when the delay is larger than 3.0 μs according to Figure 5, which implies that the ratio of the ion stages lower than Sn7+ is too little by comparing with higher ion stages. Figure 7 shows the 13.5 nm intensity in the 2% band (red, circle) and spectral purity (blue, star) as functions of laser-current delay. Here the spectral purity is defined as the ratio of 13.5 nm intensity in the 2% band to the range of 12.0 to 16.0 nm. It can be found that the 13.5 nm intensity increases about 120% when the delay increases from 0.7 to 5 μs. The EUV conversion efficiency (CE) is increasing from 0.5% to 1.1%. The EUV CE is determined by the ratio of the energy radiated in a 2% bandwidth around 13.5 nm to the input electrical energy [5]. As shown in Figure 7, the EUV CE is increasing with the laser-current delay, but the increase does not have a linear relationship. In contrast, the stability of the light decreases, which is harmful to the source. Moreover, the 13.5 nm intensity is almost the same as that of 4 μs when the delay is longer than 4 μs. When the delay is too large, the density of the pre-ionized plasma is too little, and the voltage becomes hard to be broken down as well.

Appl. Sci. 2019, 9, 4981 8 of 10 same as that of 4 µs when the delay is longer than 4 µs. When the delay is too large, the density of the pre-ionized plasma is too little, and the voltage becomes hard to be broken down as well. Appl. Sci. 2019, 9, 4981 8 of 10

1.2 24

(a) 1.0 21 0.8

0.6 18 (b) 0.4 (%) Purity 15 0.2 13.5nm intensity ( 2%band ) (a.u.) ) ( 2%band intensity 13.5nm

0.0 12 12345 μ Laser-current delay ( s)

Figure 7.7. Relationships between 13.5 nm intensity in the 2% band (a, red circular) asas wellwell asas spectralspectral puritypurity (b,(b, blueblue star)star) andand laser-currentlaser-current delay.delay.

For the EUV source, thethe 13.513.5 nm emission intensityintensity inin thethe 2% band is defineddefined by the lifetime and density ofof thethe plasmaplasma which which can can generate generate spectra spectra around around 13.5 13.5 nm. nm. The The plasma plasma lifetime lifetime and and density density are decidedare decided by the by recombinationthe recombination and ionizationand ionization of the of ions. the Hence,ions. Hence, we should we should keep the keep plasma, the plasma, which iswhich useful is foruseful emitting for emitting 13.5 nm 13.5 emission, nm emission, as long as as long possible. as possible. According According to Figures to Figures5 and6, 5 it and can 6, be it foundcan be thatfound the that mean the temperaturemean temperature is increasing is increasing with thewith delay, the delay, which which means means that thethat plasma the plasma is in is a high-temperaturein a high-temperature state forstate a longerfor a longer time during time duri theng Z-pinch the Z-pinch process. process. In this experiment,In this experiment, the optimal the delayoptimal for delay 13.5 nmfor emission13.5 nm emission in the 2% in band, the 2% which band, is which mainly is generated mainly generated by the Sn by8+ –Snthe 13Sn+8+4–Snd–413+f and 4d– 4pf –4andd transitions, 4p–4d transitions, has not has been not obtained. been obtained. The density The densit of thesey of these ions isions decided is decided by the by densitythe density of the of plasma.the plasma. Moreover, Moreover, it is it also is also decided decided by theby the abundance abundance ratio ratio between between the the density density of of Sn Sn8+8+–Sn–Sn1313++ ionsions and thethe total density ofof the plasma. Longer laser-current delay leads to a lower density. On the other hand, thethe increasingincreasing ofof SnSn1010++ and Sn 12+12+ ions’ions’ intensity intensity with with the the delay delay from from 0.7 0.7 to to 5.0 5.0 μµs means that the ratioratio shouldshould increase.increase. In other words, a limited currentcurrent leads toto a limited Z-pinch Lorentz force.force. When thethe Z-pinchZ-pinch LorentzLorentz forceforce is is limited, limited, the the pre-ionized pre-ionized plasma plasma cannot canno bet be pinched pinched accurately accurately as as well. well. As As a result,a result, the the electron electron temperature temperature is not is not high high enough enough to get to enough get enough Sn8+ –SnSn8+13–Sn+ ions.13+ ions. In this In this way, way, we will we trywill to try increase to increase the dischargethe discharge current current to reduce to reduce the optimalthe optimal laser-current laser-current delay, delay, and and it will it will increase increase the EUVthe EUV CE asCE well. as well. Moreover, Moreover, finite finite laser laser power power will w restrictill restrict the the density density of theof the pre-ionized pre-ionized plasma plasma as well,as well, so weso we will will study study the the influence influence of laser-currentof laser-current delay delay under under diff differenterent laser laser power power by changingby changing the focusthe focus distances. distances.

5. Summary InIn conclusion,conclusion, wewe studied studied the the e ffeffectect of of laser-current laser-current delay delay on on tin tin LTD LTD plasma plasma by experiments by experiments and theory.and theory. Due toDue the to expanding the expanding of the of pre-ionized the pre-ioni plasma,zed plasma, multiple multiple pinches pinches may occur may occur when when the delay the isdelay larger. is larger. The shape The ofshape the spectra of the andspectra 13.5 and nm (2%13.5 band)nm (2% intensity band) variesintensity with varies the delay. with the Spectrum delay. simulationsSpectrum simulations predict that predict the 13.5 that nm the intensity 13.5 nm reaches intensity the maximumreaches the when maximum the electron when temperaturethe electron 10+ istemperature close to 30 is eV, close where to 30 the eV, ratio where of the Sn ratioions of Sn is highest.10+ ions is Withhighest. fixed With discharge fixed discharge energy butenergy higher but laser-currenthigher laser-current delay, lower delay, vapor lower velocity vapor leads velo highercity electronleads higher temperature electron and temperature higher intensity and 13.5higher nm emissionintensity in13.5 the nm 2% emission band, which in the increases 2% band, about whic 120%.h increases The EUV about CE 120%. increases The fromEUV 0.5%CE increases to 1.1% when from the0.5% delay to 1.1% increases when fromthe delay 0.7 to increases 5 µs. The from results 0.7 show to 5 μ strongers. The results dependence show stronger of the 13.5 dependence nm intensity of the on the13.5 laser-current nm intensity delay. on the By tuninglaser-current the delay, delay. the bandwidthBy tuning the of the delay, UTA the is narrower bandwidth as well. of the Moreover, UTA is thenarrower stability as ofwell. the Moreover, 13.5 nm emission the stability decreases of the as 13.5 well. nm emission decreases as well.

Author Contributions: The authors have worked together to complete this research.

Funding: We acknowledge funding from the Fundamental Research Funds for the Central Universities (No. 2572018BC18), and Northeast Forestry University Students’ Innovation and Entrepreneurship Training Program (No. 201610225100).

Appl. Sci. 2019, 9, 4981 9 of 10

Author Contributions: The authors have worked together to complete this research. Funding: We acknowledge funding from the Fundamental Research Funds for the Central Universities (No. 2572018BC18), and Northeast Forestry University Students’ Innovation and Entrepreneurship Training Program (No. 201610225100). Conflicts of Interest: The authors declare no conflict of interest.

References

1. Mizoguchi, H. Update of EUV Source Development Status for HVM Lithography. J. Laser Micro Nanoeng. 2016, 11, 276–284. [CrossRef] 2. Zameshin, A.A.; Yakshin, A.E.; Chandrasekaran, A.; Bijkerk, F. Angular and Spectral Bandwidth of Extreme UV Multilayers Near Spacer Material Absorption Edges. J. Nanosci. Nanotechnol. 2019, 19, 602–608. [CrossRef] [PubMed] 3. Del Re, R.; Passarelli, J.; Sortland, M.; Cardineau, B.; Ekinci, Y.; Buitrago, E.; Neisser, M.; Freedman, D.A.; Brainard, R.L. Low-line edge roughness extreme ultraviolet photoresists of organotin carboxylates. J. Micro Nanolithogr. MEMS MOEMS 2015, 14, 043506. [CrossRef] 4. Tomie, T. Tin laser-produced plasma as the light source for extreme ultraviolet lithography high-volume manufacturing: History, ideal plasma, present status, and prospects. J. Micro Nanolithogr. MEMS MOEMS 2012, 11, 021109. [CrossRef] 5. Hui, L.; Lei, H.; Zuo, D.; Wang, X.; Zheng, G. Ion and extreme ultraviolet emission features of CO 2 laser produced Sn and SnO 2 plasmas. Vacuum 2017, 135, 86–92. 6. Tomita, K.; Sato, Y.; Nishikawa, K.; Uchino, K.; Yanagida, T.; Tomuro, H.; Wada, Y.; Kunishima, M.; Kodama, T.; Mizoguchi, H.; et al. Development of a collective Thomson scattering system for laser-produced tin plasmas for extreme-ultraviolet light sources. Appl. Phys. Express 2015, 8, 126101. [CrossRef] 7. Beyene, G.A.; Tobin, I.; Juschkin, L.; Hayden, P.; O’Sullivan, G.; Sokell, E.; Zakharov, V.S.; Zakharov, S.V.; O’Reilly,F.Laser-assisted vacuum arc extreme ultraviolet source: A comparison of picosecond and nanosecond laser triggering. J. Phys. D Appl. Phys. 2016, 49, 225201. [CrossRef] 8. Beckers, J.; Van de Ven, T.; Van der Horst, R.; Astakhov, D.; Banine, V. EUV-Induced Plasma: A Peculiar Phenomenon of a Modern Lithographic Technology. Appl. Sci. 2019, 9, 2827. [CrossRef] 9. Banine, V.Y.; Koshelev, K.N.; Swinkels, G.H.P.M. Physical processes in EUV sources for microlithography. J. Phys. D Appl. Phys. 2011, 44, 253001. [CrossRef] 10. Juschkin, L.; Freiberger, R.; Bergmann, K. EUV microscopy for defect inspection by dark-field mapping and zone plate zooming. J. Phys. Conf. Ser. 2009, 186, 012030. [CrossRef] 11. Helk, T.; Zurch, M.; Spielmann, C. Perspective: Towards single shot time-resolved microscopy using short wavelength table-top light sources. Struct. Dyn. 2019, 6, 010902. [CrossRef][PubMed] 12. Vinokhodov, A.Y.; Krivtsun, V.M.; Lash, A.A.; Borisov, V.M.; Yakushev, O.F.; Koshelev, K.N. High-brightness laser-induced EUV source based on tin plasma with an unlimited lifetime of electrodes. Quantum Electron. 2016, 46, 81–87. [CrossRef] 13. Zhu, Q.; Yamada, J.; Kishi, N.; Watanabe, M.; Okino, A.; Horioka, K.; Hotta, E. Investigation of the dynamics of theZ-pinch imploding plasma for a laser-assisted discharge-produced Sn plasma EUV source. J. Phys. D Appl. Phys. 2011, 44, 145203. [CrossRef] 14. Kieft, E.R.; Van Der Mullen, J.J.A.M.; Banine, V. Subnanosecond Thomson scattering on a vacuum arc discharge in tin vapor. Phys. Rev. E 2005, 72, 026415. [CrossRef][PubMed] 15. Lu, P.; Katsuki, S.; Watanebe, T.; Akiyama, H. Electrical Recovery After Laser-Assisted Discharge for Highly Repetitive Plasma EUV Source. IEEE Transactions on Plasma Science 2011, 39, 1849–1854. [CrossRef] 16. Borisov, V.M.; Vinokhodov, A.Y.; Ivanov, A.S.; Kiryukhin, Y.B.; Mishchenko, V.A.; Prokof’ev, A.V.; Khristoforov, O.B. Laser-induced extreme UV radiation sources for manufacturing next-generation integrated circuits. Quantum Electron. 2009, 39, 967–972. [CrossRef] 17. Lim, S.; Kamohara, T.; Hosseini, S.H.R.; Katsuki, S. Dependence of current rise time on laser-triggered discharge plasma. J. Phys. D Appl. Phys. 2016, 49, 295207. [CrossRef] 18. Liu, X.; Lu, X.; Zhang, Z.; Liu, X.-L.; Ma, J.-L.; Zhang, J. Triggering of high voltage discharge by femtosecond laser filaments on different . Opt. Commun. 2011, 284, 5372–5375. [CrossRef] Appl. Sci. 2019, 9, 4981 10 of 10

19. Xu, Q.; Tian, H.; Zhao, Y.; Wang, Q. Effect of Time Delay on Laser-Triggered Discharge Plasma for a Beyond EUV Source. Symmetry 2019, 11, 658. [CrossRef] 20. Tao, Y.; Tillack, M.S.; Yuspeh, S.; Burdt, R.A.; Shaikh, N.M.; Amin, N.; Najmabadi, F. Interaction of a CO2 Laser Pulse With Tin-Based Plasma for an Extreme Ultraviolet Lithography Source. IEEE Transactions on Plasma Science 2010, 38, 714–718. 21. Lan, H.; Wang, X.B.; Chen, H.; Zuo, D.L.; Lu, P.X. Influence of a magnetic field on laser-produced Sn plasma. Plasma Sour. Sci. Technol. 2015, 24, 055012. [CrossRef] 22. Xie, Z.; Wu, J.; Dou, Y.; Lin, J.; Tomie, T. Plasma dynamics in the initial stage of a laser-triggered discharge-plasma. J. Appl. Phys. 2018, 124, 213303. [CrossRef] 23. Lu, P.; Katsuki, S.; Tomimaru, N.; Ueno, T.; Akiyama, H. Dynamic Characteristics of Laser-Assisted Discharge Plasmas for Extreme Ultraviolet Light Sources. Jpn. J. Appl. Phys. 2010, 49, 096202. [CrossRef] 24. Ryutov, D.D.; Derzon, M.S.; Matzen, M.K. The physics of fast Z pinches. Rev. Mod. Phys. 2000, 72, 167–223. [CrossRef] 25. Haines, M.G.; Lebedev, S.V.; Chittenden, J.P.; Beg, F.N.; Bland, S.N.; Dangor, A.E. The past, present, and future of Z pinches. Phys. Plasmas 2000, 7, 1672–1680. [CrossRef] 26. Xu, Q.; Zhao, Y.; Xie, Y.; Liu, Y.; Li, Q.; Wang, Q. Effect of current on multiple pinches of Xe plasma in capillary discharge. Eur. Phys. J. D 2014, 68, 1–5. [CrossRef] 27. Collins, P.D.B. The Theory of Atomic Structure and Spectra. Phys. Today 1982, 35, 57. [CrossRef] 28. Zeng, J.; Gao, C.; Yuan, J. Detailed investigations on radiative opacity and emissivity of tin plasmas in the extreme-ultraviolet region. Phys. Rev. E 2010, 82, 026409. [CrossRef] 29. O’Sullivan, G.; Dunne, P.; Higashiguchi, T.; Kos, D.; Maguire, O.; Miyazaki, T.; O’Reilly, F.; Sheil, J.; Sokell, E.; Kilbane, D. Spectroscopy of highly charged ions for extreme ultraviolet lithography. Nucl. Instrum. Methods Phys. Res. 2017, 48, 3–8. [CrossRef] 30. Bauchearnoult, C.; Bauche, J. Statistical Approach to the Spectra of Plasmas. Phys. Scr. 1992, T40, 58–64. [CrossRef] 31. Colombant, D. X-ray emission in laser-produced plasmas. J. Appl. Phys. 1973, 44, 3524. [CrossRef] 32. Yoshida, K.; Fujioka, S.; Higashiguchi, T.; Ugomori, T.; Tanaka, N.; Kawasaki, M.; Suzuki, Y.; Suzuki, C.; Tomita, K.; Hirose, R. Density and x-ray emission profile relationships in highly ionized high-Z laser-produced plasmas. Appl. Phys. Lett. 2015, 106, 121109. [CrossRef] 33. Li, B.; Dunne, P.; Higashiguchi, T.; Otsuka, T.; Yugami, N.; Jiang, W.; Endo, A.; O’Sullivan, G. Gd plasma source modeling at 6.7 nm for future lithography. Appl. Phys. Lett. 2011, 99, 231502. [CrossRef]

© 2019 by the authors. Licensee MDPI, Basel, Switzerland. This article is an open access article distributed under the terms and conditions of the Creative Commons Attribution (CC BY) license (http://creativecommons.org/licenses/by/4.0/).