CComputeromputer AArchitecturerchitecture CPUCPU By Nuttapon Sanpote (( CCentralentral PProcessingrocessing UUnitnit )) E-mail : [email protected] Semester 1, 2007 http://www.ict.pyo.nu.ac.th/nuttapons/ComArch.html

Central Processing Unit Components of Processor

A B Program Memory ALU Counter Address Y Register Data Bus Control Bus หนวยประมวลกลาง (CPU : Central Processing Register Multiplexer Address Bus หนวยประมวลกลาง File Unit) เรเรียกอี ีกอยี างหน ึ่งวึ่ า “ โปรเซสเซอร  (Processor)”

Instruction มีหนี าท ี่ดี่ ังตั อไปน ี้ี้ Register

ƒ ประมวลผลขอม ูลตู างๆ Control Unit Clock System Bus ƒ ควบคุมการทุ ํางานของสํ วนต างๆ ภายในเครื่องคอมพื่ ิวเตอริ  ภาพแสดงโครงสรางพื้นฐานของโปรเซสเซอร Components of Processor Components of Processor (con.)

โครงสรางพ ื้นฐานของโปรเซสเซอรื้  โครงสรางพ ื้นฐานของโปรเซสเซอรื้   (ตอ ) 1. หนวยคํานวณทางคณิตศาสตรและตรรกะ (ALU) เปนวงจรที่มี 2 4. หนวยควบคุม (Control Unit) เปนการถอดรหัสในรีจีสเตอรคําสั่ง อินพุต(A และ B) และมีผลลัพธอยูทางดานเอาทพุต (ที่เปน Y) มี และกําหนดสัญญาณในการควบคุมการทํางานของโปรเซสเซอร โอเปอเรชันขั้นตนคือ บวก (add), ลบ (abstract), และ (And) 5. สัญญาณนาฬิกา (Clock) เปนการควบคุมการในการดึงขอมูลใหมเขา 2. รีจีสเตอรไฟล  (Register File) เปนชุดของตําแหนงชั่วคราว มาทํางาน เนื่องจากโปรเซสเซอรมีลักษณะการทํางานแบบซิงโครนัส 3. อินสตรัคชันรีจีสเตอร  (Instruction Register) เปนช ุดของตําแหนง (Synchronous) คือการทํางานโดยเขาจังหวะกับสัญญาณนาฬิกา ชั่วคราวที่เก็บคําสั่งปจจุบันที่ถกเอู กซ็ ีคิวตโดยโปรเซสเซอร 6. โปรแกรมเคาเตอร  (Program Counter) ใชเก็บที่อยูของหนวยความ จําของคําสั่งตอไปที่จะถูกเอ็กซีคิวต

Components of Processor (con.) Components of Processor (con.)

โครงสรางพ ื้นฐานของโปรเซสเซอรื้   (ตอ ) โครงสรางพ ื้นฐานของโปรเซสเซอรื้   (ตอ ) 7. เมมโมรีแอ็คเดรสรีจีสเตอร (Memory Address Register) เปน 10. คอนโทรลบัส (Control Bus) บัสนี้มีหนาที่ควบคุมรูปแบบการสง รีจีสเตอรที่ถูกโหลดดวยที่อยูของขอมูลตอไปที่มาจากหนวยความจํา ขอมูลและควบคุมดีไวตที่จะทําการรับขอมูล หลัก 11. บัสรวม (Multiplexed Bus) บัสพิเศษที่โปรเซสเซอรที่มีประสิทธ ิ 8. แอ็คเดรสบัส (Address Bus) เปนบัสที่ใชในการถายโอนแอ็คเดรส ภาพสูงแยก Address Bus และ Data Bus ออกจากกันเพื่อลด ไปยังหนวยความจําและอุปกรณตอพวงที่มีการแม็พหนวยความจํา ความซ้ําซอนของบัส 9. ดาตาบัส (Data Bus) เปนบ ัสที่นําขอมูลจากโปรเซสเซอร อุปกรณตอ พวงหรือหนวยความจํา Processor Architecture Processor Architecture (con.)

สถาปตยกรรมโปรเซสเซอร  สถาปตยกรรมโปรเซสเซอร  (ตอ ) ƒ CISC (Complex Instruction Set Computing) เปนสถาปต ƒ RISC (Reduced Instruction Set Computing) เปนสถาปต กรรมของออกแบบโปรเซสเซอร เพิ่มขีดความสามารถของคําสั่งทําให กรรมของออกแบบโปรเซสเซอร ที่ถกลดคู ําสั่งลงใหเหลือคําสั่งพื้นฐาน คําสั่งหนึ่งตองทํางานเพิ่มขึ้นและซับซอนมากยิ่งขึ้น โดยมีตองมีการ มากที่สุด แลวใชหลักการทํางานแบบ Pipeline โดยมุงที่ประสิทธิภาพ สนับสนุนชุดคําสั่งใหมๆ เพิ่มขึ้นมา โดยจะทําใหการออกแบบวงจร ของฮารดแวร ทําใหคอมพิวเตอรแบบ RISC ทํางานไดเร็วกวาแบบ ภายในซับซอนมาก แตงายกับโปรแกรมเมอรในการเรียนรูคําสั่ง CISC สวนมากจะพบในเครื่องระดับเวิรคเตชันขึ้นไป เชน SPARC โปรเซสเซอรที่ใชสถาปตยกรรมนี้ไดแก  80386, 80486 จนถึง 4

Speed of CPU Calculation of CPU Speed

ความเร็วของ็ CPU การคํานวณความเรํ ็วของ็ CPU ความเร็วของ CPU ก็คือ “ ความถี่ของสัญญาณนาฬิกา ” ซึ่งเปน ตัวกําหนดความเร็วในการทํางานของ CPU และคอยใหจังหวะในการ ความเร็วของสัญญาณนาฬิกาภายใน CPU = ตัวคูณ x ความเร็วของ FSB ทํางานแกวงจรและอุปกรณตางๆ ภายในเครื่องคอมพิวเตอร ƒ สัญญาณนาฬิกาภายใน CPU คือ สัญญาณที่ใหจังหวะในการทํางาน Ex. CPU MHz GHz ภายใน ไดแก หรือ CPU 3.0 GHz ทางานทํ ี่ FSB ความเร็ว 200 MHz และมีตัว ƒ สัญญาณนาฬิกาภายนอก CPU คือ สัญญาณที่ใหจังหวะในการทํางาน คูณเปน 15 จะมี BUS CPU Front แก ที่ ใชรับสงขอมูลกับหนวยความจํา ไดแก ความเร็วของสัญญาณนาฬิกาภายใน CPU = 15 x 200 Side Bus (FSB) = 3000 MHz Central Processing Unit Central Processing Unit

เทคนเทคนิคในการแสดงความเริ ็วส็ ุทธุ ิิ (effective bus speed) โดยปกติแลว FSB ในเครื่องรุนใหมๆ จะมีความเร็วอยูที่ 100-200 MHz แตบางครั้งอาจมีการบอกความเร็วของ FSB ที่สูงกวานี้ เชน 400, 533, 800, 1060 MHz นั่นคือ “ ความเร็วสุทธิ (effective bus speed) “ เกดจากริ ับขอมูล ƒ AMD ตั้งแตรุน Sempron และ Athlon XP จะใชเทคนิค Double เกินกวา 1 ครั้งในการทํางาน 1 รอบสัญญาณนาฬิกา โดยที่ CPU แตละคายจะมี Data Rate คือ เพื่อรับสงขอมูล 2 ครั้ง ในแตละรอบสัญญาณนาฬิกา รับขอมูลแตกตางกันดังนี้ ƒ ตั้งแตรุน D และ Pentium 4 จะใชเทคนิค Quad- Pumped คือ เพื่อรับสงขอมูล 4 ครั้ง ในแตละรอบสัญญาณนาฬิกา

Cache Memory Cache Level

หนวยความจ ําแคชํ (Cache Memory) ระดบของแคชัั (Cache Level)

ƒ เปนหนวยความจําขนาดเลกท็ ี่มีความเร็วสูงมากๆ ƒ แคชระดับที่ 1 (L1 Cache หรือ Level 1 Cache) ƒ มีหนาที่ในการจดจําคําสั่งและผลลัพธที่ใชบอยๆ ไวเพื่อใชประมวลผลใน มีชื่อเรียกอีกอยางหนึ่งวา Internal Cache โดยจะมีขนาดไมใหญ คราวตอไป ยิ่งแคชมีขนาดใหญก็สามารถที่จะจดจําคําสั่งไดมากยิ่งขึ้น มากนัก อยูในชวง 16 – 64 Kb เนื่องจากมีราคาสูง

ƒ ถูกสรางขึ้นใหอยูใกลกบหนั วยประมวลผล CPU

Processing Unit CPU Cache RAM

L1 Cache Cache Level (con.) Cache Level (con.)

ระดบของแคชัั (Cache Level) (ตอ ) CPU

ƒ แคชระดับที่ 2 (L2 Cache หรือ Level 2 Cache) Processing Unit มีสองแบบคือ แบบที่อยูบนซีพียูเลย (Internal Cache) หรือที่

เรียกวา “ On-Die ” และแบบที่อยูภายนอกซีพียู (External Cache) L1 Cache หรือที่เรียกวา “ Off-Die ” L2 Cache RAM

ภาพแสดงแคชระดับ 2 ที่ถูกสรางอยูภายใน CPU

Cache Level (con.) Cache Level (con.)

CPU ระดบของแคชัั (Cache Level) (ตอ ) Processing Unit ƒ แคชระดับที่ 3 (L3 Cache หรือ Level 3 Cache) เปนแคชแบบเฉพาะของทาง AMD โดยมีการใส  Cache ลงไปบน L1 Cache เมนบอรดเลย

L2 Cache

RAM

ภาพแสดงแคชระดับ 2 ที่ถูกสรางอยูบนแผนการด CPU Cache Level (con.) Development of CPU

CPU การพัฒนาการผลั ิติ CPU

Processing Unit CPU ผลิตจากแผนซิลิกอนที่มีการบรรจุทรานซิสเตอรลงไปนับลานๆ ตัว โดยใชเทคโนโลยีการผลิตสารกึ่งตัวนําแบบ “ ซีมอส (CMOS : Complementary Metal-Oxide Semiconductor) ” การระบุวา CPU รุนนี้ใชเทคโนโลยีการผลิตแบบ L1 Cache ใดนั้น จะดูจากคาความละเอียดลายวงจร ซึ่งก็คือระยะหางของทรานซิสเตอรแต ละตัวที่อยูติดกัน เชน 0.35 ไมครอน, 0.25 ไมครอน , 0.18 ไมครอน หรือ L2 Cache L3 Cache RAM 0.13 ไมครอน (Pentium 4)

ภาพแสดงแคชระดับ 3 ที่ถูกสรางอยูบนเมนบอรด

Development of CPU Development of CPU

เทคโนโลยเทคโนโลยการพีี ัฒนาการผลั ิติ CPU CPU ที่ผลิตดวยเทคโนโลยีการผลิตที่มีความละเอียดสูงๆ นั้น จะมีการเพิ่ม ประสิทธิการทํางาน โดยดูไดจากปจจัยดังตอไปนี้ ƒ สามารถบรรจุทรานซิสเตอรไดมากขึ้น เพื่อทําใหสามารถสรางวงจรการ คํานวณที่ซับซอน หลากหลายและมีประสิทธิภาพที่ดีขึ้น ƒ สามารถผลิต CPU ที่มีความเร็วสูงๆ ได เนื่องจากระยะหางทรานซิสเตอร นอยลง จะทําใหการเดินทางของขอมูลในซีพียูทําไดเร็วขึ้น สงผลใหมี ความเร็วในการทํางานเพิ่มขึ้น ƒ กินไฟนอยลง ทําใหประหยัดพลังงานและลดความรอนจากการทํางาน ภาพแสดงโครงสรางภายในของ CPU Multimedia for CPU Multimedia for CPU

เทคโนโลยเทคโนโลยชีี ุดคุ ําสํ ั่งสั่ ําหรํ ับงานั ทางดานม ัลั ติมิ ิเดิเดยของีี CPU ƒ Intel MMX มีการเพิ่มชุดคําสั่งมาอีก 57 คําสั่ง ถูกนํามาใชในซีพียูอินเทล เปนชุดคําสั่งที่ผูผลิต CPU คิดคนขึ้นมาเพื่อใชกับงานทางดานมัลติมิเดีย ที่เรียกวา “ Pentium MMX ” โดยใชเทคนิคแบบ SIMD (Single Instruction โดยเฉพาะ เนนไปที่ชุดคําสั่งที่จะชวยให  CPU ประมวลผลดานเลขทศนิยม Multiple Data) โดยที่เทคนิคนี้จะชวยใหการประมวลผลดวยคําสั่งเดียว แต ตางๆ ในการคํานวณการแสดงกราฟในแบบ 3 มิติ การคํานวณดานการแสดง ไดผลลัพธออกมามากกวา 1 ผลลพธั  เรียกการประมวลผลแบบนี้วา ภาพและเสียง โดยมีเทคโนโลยีตางๆ ดังนี้ “ Parallel Processing หรือ การประมวลผลแบบขนาน” ƒ Intel SSE เดมชิ ื่อ MMX2 แตเปลี่ยนมาเปน KNI (Katmai New Instruction) มีการเพิ่มชุดคําสั่งมาอีก 70 คําสั่ง โดยจะเนนที่การประมวลผลทศนิยมมาก ขึ้น

Multimedia for CPU model of CPU

ƒ Intel SSE2 เปนชุดคําสั่งที่ใชกับ Pentium 4 มีการเพิ่มชุดคําสั่งมาอีก 144 /8080 คําสั่ง อีกทั้งยังขยายขนาดของ SIMD จาก 64 bit ไปเปน 128 bit ทําใหเพิ่ม อัตราการคํานวณเพิ่มขึ้นเปน 2 เทา ƒ AMD 3DNow! เปนชุดคําสั่งจากคาย AMD เริ่มใชในซีพียูรุน K6-II และ K6-III มีการเพิ่มชุดคําสั่งมาอีก 21 คําสั่ง ใชเทคนิคการทํางานเขนเดียวกับ SIMD ƒ AMD Enhance 3DNow! มีการเพิ่มชุดคําสั่งมาอีก 24 คําสั่ง ประกอบไป เปนซีพียูรุน 8086 เปนรุนที่ทํางานแบบ 16 บิตแบบสมบูรณ เพราะทั้ง ดวย 12 คําสั่งสําหรับเพิ่มความสามารถในการคํานวณดานเลขจํานวนเต็ม สถาปตยกรรมภายในและภายนอกเปนแบบ 16 บิตอยางแทจริง ตางจาก 8080 ที่ ของโปรแกรมตางๆ กับ 7 ชุดคําสั่งที่ชวยเรงความเร็วในการเคลื่อนยาย สถาปตยกรรมภายในเปนระบบประมวลผลแบบ 16 บิต แตสถาปตยกรรม ขอมูล และ 5 ชุดคําสั่งสุดทายในการคํานวณขอมูลสัญญาณดิจิตอล ภายนอกที่เชื่อมตอกับดาตาบัส (Data Bus) เปนแบบ 8 บิต model of CPU model of CPU

Intel 80286 Intel 80386SX/80386DX

เปนรุนที่ภายในมีทรานซิสเซอรบรรจุอยูประมาณ 250,000 ตัว เปนซีพียูรุน 80286 เปนรุนที่มีความเร็วเพียง 6 MHz ซึ่งบัสของ 80286 สถาปตยกรรมภายในเปนแบบ 32 บิต แตสถาปตยกรรมภายนอกที่ใชในการ เปนแบบ 16 บิต ภายในมีทรานซิสเซอรบรรจุอยูประมาณ 130,000 ตัว ทําใหเกิด เชื่อมตอกับดาตาบัสเพื่อรับสงขอมูลเปนแบบ 16 บิต ความรอนสูงในการทํางาน ดังนั้นจึงตองมีการติดตั้งพัดลมระบายความรอน ƒ Intel 80386SX มีความเร็วตั้งแต 16, 20, 25 และ 33 MHz ( 16 บิต) ƒ Intel 80386DX มีความเร็วตั้งแต 20, 25 และ 33 MHz ( 32 บิต)

model of CPU model of CPU

Intel 80486SX/80486DX Intel Pentium

เปนรุนที่สถาปตยกรรมเปนแบบ 32 บิตและมีแคชภายใน (Internal Cache) มีความเร็วตั้งแต 20, 25 และ 33 MHz เปนซีพียูรุนที่ 5 ของบริษัท เปนรุนที่มีความเร็วเพียง 60 - 166 MHz ƒ Intel 80486DX มีความเร็วตั้งแต 50, 66 และ 100 MHz มี มีความสามารถสูงทั้งดานความเร็วและเทคโนโลยีมีแคชภายในมากขึ้น ความสามารถสูงขึ้นดานความเร็วในการคํานวณและเทคโนโลยี โดย การรวมเอา Math Coprocessor และแคชเขามารวมอยูในชิปเดียวกันกับ CPU model of CPU model of CPU

Intel Pentium II Celeron

Covinton Mendocino เปนซีพียูรุนที่ประกอบไปดวยเทคโนโลยีของ + MMX ที่ใช เปนซีพียูรุนที่อินเทลผลิตขึ้นมาเพื่อรองรับตลาดลาง โดยการตัด สถาปตยกรรมแบบใหมที่เรียกวา “Single Instruction Multiple Data (SIMD)” หนวยความจําแคช Level 2 ออก ในรุนที่ชื่อวา “โควินตัน (Covinton)” และ ไดเพิ่มชุดคําสั่งเขาไปอีกกวา 70 คําสั่ง ตอมาไดเพิ่มแคช Level 2 ขนาด 128 KB เขาไปเพื่อเพิ่มประสิทธิภาพในการ ประมวลผลในรุนที่ชื่อวา “เมนโดชิโน (Mendocino)”

model of CPU model of CPU

Celeron PPGA Socket 370 Intel Pentium III

เปนซีพียูรุนที่เปลี่ยนจาก SEC (Single Edge Connector) ที่ใชกับ เปนซีพียูรุนที่ไดเพิ่มชุดคําสั่ง Streaming SIMD Extension (SSE) เขาไป เมนบอรดแบบ Slot 1 มาเปนแบบ PPGA (Plastic Pin Grid Array) ใช 70 คําสั่ง พรอมกับการเปลี่ยนหนวยความจําแคชระดับ 2 ใหเร็วขึ้นจาก 5.5 ns สถาปตยกรรมแบบเดียวกับ Mendocino โดยมีแคช Level 2 ขนาด 128 KB และ มาเปน 4ns โดยในรุนแรกใชชื่อวา “Katmai” และตอมาใชชื่อวา “Coppermine” ใชเทคโนโลยีการผลิตขนาด 0.25 ไมครอน มีความเร็ว 300-533 MHz model of CPU model of CPU

Intel Pentium III Katmai Intel Pentium III Coppermine

เปนซีพียูรุนที่มีความเร็วเริ่มตนที่ 450 – 600 MHz ใชเทคโนโลยีการผลิต เปนซีพียูรุนที่มีความเร็วเริ่มตนที่ 450 – 600 MHz ใชเทคโนโลยีการผลิต การผลิตขนาด 0.25 ไมครอน มีทรานซิสเตอรจํานวน 28 ลานตัว ใช การผลิตขนาด 0.25 ไมครอน มีทรานซิสเตอรจํานวน 28 ลานตัว ใช สถาปตยกรรมแบบ SECC2 (Single Edge Contract Cartridge 2) มีหนวยความ สถาปตยกรรมแบบ SECC2 (Single Edge Contract Cartridge 2) มีหนวยความ จําแคช L2 ขนาด 512 KB จําแคช L2 ขนาด 512 KB

model of CPU model of CPU

Intel Pentium 4 Intel Pentium 4 Technology

ƒ Intel NetBurst micro-architecture เปนสถาปตยกรรมที่ชวยใหสามารถเรง ความเร็วของสัญญาณนาฬิกาใหทํางานไดที่ความถี่สูงๆ ƒ Hyper Pipeline Technology เปนคําสั่งที่ชวยใหทํางานตามคําสั่งซอฟแวร ไดสูงสุดถึง 20 ขั้นตอน เปนซีพียูรุนที่ใชสถาปตยกรรม Intel®NetburstTM micro-architecture และ ƒ Rapid Execution Engine ทาใหํ มีความเร็วของบัสสูงขึ้นถึง 400 MHz ทํา ไดเพิ่มชุดคําสั่ง SEE2 เขาไปอีก 144 ชุดคําสั่ง ชวยประมวลขอมูลในปริมาณ ใหซีพียูโอนถายขอมูลไดอยางเต็มที่ถึง 3.2 กกะไบติ ตอวินาที มากๆ หรือประมวลผลภาพกราฟก 3 มิติ ภาพวิดีโอ ระบบเสียง และการเลนเกม ที่มีลักษณะ Interactive ไดอยางมีประสิทธิภาพ model of CPU model of CPU

Intel Pentium 4 Technology Intel Pentium 4 Technology

ƒ Streaming SIMD Extension 2 (SEE2) โดยไดขยายขนาดของ SIMD จาก ƒ Advanced Transfer Cache เปนหนวยความจําระดับแคชระดับ 2 ขนาด เดิม 64 บิต ไปเปน 128 บิต และไดเพิ่มสวนการคํานวณตัวเลขทศนิยม 256 KB ที่ติดตั้งอยูบน Die ของแผนซิลิกอน (Silicon Wafer) ที่ทํางาน แบบแมนยําไปเปนขนาด 128 บิต (128-bit SIMD double precision ความเร็วเดียวกับซีพียู floating point) ƒ Advanced Dynamic Execution เรียกอีกอยางวา “ Speculative Execution ” ƒ Execution Trace Cache เปนตัวถอดรหัสเพื่อแปลความหมายของคําสั่งที่ เปนกระบวนการทํางานคําสั่งใดๆ เสร็จเพียงครึ่งทางกอน แลวรอดูวามี ไดจากแรมพรอมทั้งจัดเก็บคําสั่งที่ผานการถอดรหัสเรียบรอยแลว เมื่อใดก็ คําสั่งไหนที่ตองการใชในขั้นตอไป และทําการเรียกมันกอน เปนการ ตามที่ซีพียูเรียกใชคําสั่งบางคําสั่งที่ซ้ํากับคําสั่งที่มีอยูใน Trace Cache ก็จะ ทํางานในแบบคาดเดาคําสั่งลวงหนา โดย Pentium 4 สามารถมองเห็น สามารถเรียกใชงานไดเลย ไมตองเสียเวลามาถอดรหัสใหมอีก คําสั่งได 126 คําสั่งในแตละเที่ยว และโหลดคําสั่งไดทีละ 48 คําสั่ง

model of CPU model of CPU

Intel Pentium 4 Technology Intel Pentium 4 with Hyper-Threading Technology ƒ Enhanced Floating Point/Multimedia ไดขยายสวนของการคํานวณ Floating-Point Register ใหกวางขึ้นถึง 128 บิต เพื่อใหการคํานวณเลข ทศนิยมมีความรวดเร็วขึ้น

เปนซีพียู Pentium 4 ที่ไดพัฒนาเทคโนโลยีในการประมวลผลใหมี ประสิทธิภาพมากขึ้น โดยอาศัยระบบปฏิบัติในการจําลอง CPU ใหเสมือนวามี CPU 2 ตัว ในคอมพิวเตอรเครื่อง 1 เครื่อง model of CPU model of CPU

Intel Centrino Core Microarchitecture Technology

“เซนทริโน” (Centrino) เปนเทคโนโลยีจากบริษัทอินเทล (Intel) ที่ ƒ Intel wide Dynamic Execution เปนการเพ ิ่มประสิทธิภาพในการประมวล สรางสรรคขึ้นมาเพื่อคอมพิวเตอรโนตบุคโดยเฉพาะ จะประกอบไปดวย ผลของชุดคําสั่งตอหนึ่งรอบสัญญาณนาฬิกา คุณสมบัติหลัก 3 ขอดังนี้ ƒ Intel Intelligent Power Capability เปนคุณสมบัติที่ชวยให CPU ทํางาน นอยลง โดยจะทําการปดการทํางานของวงจรในสวนที่ไมมีการใชงานลง ƒ Processor : Pentium-M ƒ Intel Smart Memory Access เปนเทคโนโลย ีในการนําขอมูลเขา-ออกจาก ƒ Chipset : Intel 855GM, Intel 855PM หนวยความจําโดยไมจําเปนตองเรียงลําดับ ทําใหลดเวลาในการนําขอมูล ƒ Network module : IntelPro/Wireless 2100 เขา-ออกจากหนวยความจําไดมาก

model of CPU model of CPU

Hyper Threading VS Dual Core

ƒ Intel Advanced Smart Cache เปนการเพิ่มประสิทธิภาพในการประมวลผล โดยแตละ core จะใชแคชระดับรวมกัน ทําใหใชพื้นที่ของแคชระดับสอง ไดอยางเต็มที่ จะพบไดในรุนของ 2 Duo Processor ƒ Intel Advance Digital Media Boots เปนการเพิ่มประสิทธิภาพในการ ประมวลผลดานมัลติมีเดีย โดยจะเพิ่มบิตของการประมวลผลจากเดิม 64 บิต ไปเปน 128 บิต

http://www.overclockzone.com/spin9/review/cpu/intel/pd_820/dualcore.swf model of CPU model of CPU

Intel ตารางแสดงคุณสมบุ ตัั ิติ างๆ ของ Pentium D

Intel Pentium D Processor Clock HT Processor FSB L2 Cache Speed Technology Pentium X 840 3.2 GHz 800 MHz 1 MB YES เปนซีพียู dual-core ที่มีแกนการประมวลผลหลัก สองแกน (คอร) ในซีพียู Pentium D 840 3.2 GHz 800 MHz 1 MB NO แพ็คเกจเดียว มีแคชระดับสองขนาด 1MB ตอหนึ่งคอร (รวมเปน 2MB) โดยมี Pentium D 830 3.0 GHz 800 MHz 1 MB NO ความเร็วที่แตกตางกันไปในแตละโมเดล และในรุน Pentium Extreme Edition Pentium D 820 2.8 GHz 800 MHz 1 MB NO นั้น แตละคอรของมัน ยังมีเทคโนโลยี Hyper-Threading technology ดวย ทําให ระบบปฏิบัติการ มองเห็นจํานวน processor มากถึง 4 ตัวเลย (2 คอร และแตละ คอร มี HT)

model of CPU model of CPU

Intel Core 2 Duo ตารางแสดงคุณสมบุ ตัั ิติ างๆ ของ Pentium Core 2 Duo

Intel Core 2 Duo Processor Clock HT Processor FSB L2 Cache Speed Technology Pentium X6800 2.66 GHz 1066 MHz 4 MB YES Pentium E6700 2.66 GHz 1066 MHz 4 MB NO เปนซีพียู dual-core ที่มีพัฒนาประสิทธิภาพในการประมวลผลมากยิ่งขึ้น Pentium E6600 2.40 GHz 1066 MHz 2 MB NO Pentium E6400 2.13 GHz 1066 MHz 2 MB NO โดยแตละ core จะใชแคชระดับสองรวมกัน ทําใหแกนของ CPU แตละแกนเขา Pentium E6300 1.86 GHz 1066 MHz 2 MB NO ใชงานพื้นที่แคชระดับสองไดอยางเต็มที่ โดยแคชระดับสองมีขนาด 2MB ใน รุนปกติ และ 4MB ในรุน Top, Extreme Edition model of CPU model of CPU

Intel Core 2 Quad

เปนซีพียูที่มีพัฒนาประสิทธิภาพในการประมวลผลมากยิ่งขึ้น โดยเพิ่ม core มากขึ้น 2 เทา เปนทั้งหมด 4core อีกทั้งยังเพิ่มขนาดของแคชระดับสอง เปน 8MB และเพิ่มคา FSB เปน 1333 MHz ปจจุบันมีสองรุนคือ Q6600 และ QX6700 สําหรับรุน Extreme Edition ภาพแสดงโครงสรางของ Intel Core 2 Quad

model of CPU

ตารางสรุปประเภทของุ AMD Processor

Processor คุณสมบัติ AMD Opteron Server Workstation - AMD Quad core Opteron ใชสําหรับ และ AMD Turion - Turion 64 Mobile Technology ใชสําหรับ Notebook - Turion X2 Dual core AMD Athlon - Athlon FX, Quad core FX ใชสําหรับ Desktop PC - Athlon 64, 64 x2 Dual core AMD Sempton - Sempton Desktop ใชสําหรับ Desktop PC และ Notebook - Sempton Mobile