SECTION SECTION SECTION 22 BEHIND THE IoT CURTAIN 34 GRADUATE 38 RESEARCH 48 ALUMNI 2015 A YEAR Everyone’s ready for the GET OFF PREPARE FOR THE MORE THINGS IN REVIEW MY GRID TAKEOFF CHANGE... Internet of Things—but Smarter, cheaper, The math behind Class Notes from

what exactly is it? greener: Nanogrids self-flying drones around the world

10 KING’S COLLEGE ROAD, TORONTO ON M5S 3G4 / PUBLICATION MAIL AGREEMENT NUMBER: 42887022 NUMBER: AGREEMENT MAIL PUBLICATION / 3G4 M5S ON TORONTO ROAD, COLLEGE KING’S 10

ENGINEERING COMPUTER & ELECTRICAL OF DEPARTMENT SR. ROGERS S. EDWARD THE

THE EDWARD S. ROGERS SR.

DEPARTMENT OF ELECTRICAL & COMPUTER ENGINEERING

DIRECTORY ECE RESEARCH RESEARCH ECE 3

ENGINEERS LEARN BY DOING.

Our new Energy Systems Lab puts the latest technology in the hands of our students, so they can do what’s never been done before.

To design systems robust enough to handle unpredictable energy demands, engineers need to get as close to the real thing as possible. In The Edward S. Rogers Sr. Department of Electrical & Computer Engineering, we’ve completely overhauled our Energy Systems Lab to give both our undergraduate and graduate students hands-on experience with power, communications and smart-grid systems operating at specs PHOTO BY RAINA+WILSON they’ll nd in the real world. It’s 2015, and we still don’t have levitating hoverboards. We do, however, We launched this three-stage renovation in summer 2014. In the rst phase, we Everyone dreams have the nascent Internet of Things—IoT for short. Self-driving cars, replaced our power supplies and switchgear with state-of-the-art equipment. In intelligent refrigerators, smart hockey helmets: IoT is supposed to make summer 2015 we installed 29 custom-designed workstations that are recon gurable of their future—we’re it all possible. But what does an IoT world really look like? for a range of student lab experiments, and unique among Canadian universities. The term has been thrown around for the past several years, building busy building ours up to become the trendiest of trends. But here in The Edward S. Rogers In summer 2016 we will undertake our nal phase, adding modular hardware and Sr. Department of Electrical & Computer Engineering (ECE), we’re busy software for communications and control, offering students front-line experience in creating the real technologies—in electronics, communications and designing and programming next-generation smart-grid infrastructure. We are giving photonics—that will constitute the IoT of the future and figuring out what our students the power to design the future. we would do with this large network of connected objects. The cover story of this issue, Behind the IoT Curtain on page 22, explores the To learn how you can help us realize the nal stage of our Energy Systems Lab research that will soon allow us to realize many of the life-altering appli- renovation, contact Joanna Gray at [email protected], 416-946-0372 or cations that are now just dreams and hype. donate.utoronto.ca/engineering Hype is something we try to avoid—we value evidence, results. But no one can say that the reputation growing around the ’s Supermileage Team, led by ECE’s own Mengqi Wang, is just hype. Always a contender but never previously on top, this year the team brought home a gold medal for racing the most fuel-efficient vehicle at the 2015 Shell Eco-Marathon Americas competition in Detroit. Flip to page 18 for the story of their white-knuckle ride. Our department maintains its position as the top-ranked ECE program in Canada, a direct result of the excellence of our students, faculty, staff and alumni. Name the problem, be it social, technical or economic, and there is likely an ECE graduate working in a boardroom or operating room to solve it. We strive to keep up with what our alumni have been doing since leaving these halls, and to help former classmates stay in touch with each other. In that spirit, we are launching a new alumni Class Notes section with this issue—turn to page 48 to catch up on news from ECE graduates of all ages, all over the world. This magazine offers a constellation of stories that reflect how we spent the year. As we turn to face the year ahead, I welcome your feedback on our progress and our future direction. I hope you will take this chance to reconnect with the department—you may reach me directly at [email protected].

FARID N. NAJM, PROFESSOR & CHAIR 5

FEATURESFEATURES SECTIONS 20142015 8 37 RESEARCH If You Build it, They Will Compute Designing for the 8IBM’s supercomputing consortium Data Deluge EDITOR EDITOR lets ECE researchers tackle Wei Yu wins E.W.R. Steacie Marit Mitchell Marit Mitchell Powerchallenges fromPlayers cloud computing Memorial Fellowship to cancer care ARTART DIRECTOR DIRECTOR As demand on the power KatinaKatina Constantinou, Constantinou, grid grows, Hydro One turns Sugar Design Sugar Design to three ECE professors for smart solutions PHOTOGRAPHYPHOTOGRAPHY & & ILLUSTRATIONILLUSTRATION 12 Raina+WilsonRaina+Wilson MarkBorja Smith Bonaque The One-Step COPYCOPY EDITORS EDITORS 29 KellyKelly Hayward Hayward Journey RJ Taylor 12 Avivah Wargon Four electrical engineering Avivah Wargon undergrads teamed up to EDITORIAL INQUIRIES: UNDERGRADUATE EDITORIAL INQUIRIES: Bakingbuild a powered an leg brace for ECE Communications Office a fellow UofT student Tel:ECE 416 Communications 978 7997 Office Improved Blue Sky Down Under Fax:Tel: 416416 978978 79971145 18 A team of undergraduates led by ECE’s Zhe Gong represented Canada in a gruelling [email protected]: 416 978 1145 Breadboard [email protected] Three fresh ECE graduates Great Expectations race across the Australian Outback, powered only by the sun Visit us online: are bringing sexy back How one electrical engineer became an unexpected www.ece.utoronto.caVisit us online: www.ece.utoronto.ca to analog electronics labs champion18 for reading in the 21st century 34 41 JoinJoin the the conversation: conversation: Green Gearhead inin Mengqi Wang and the UofT Supermileage Team GRADUATE INDUSTRY built the most fuel-efficient prototype vehicle to race in 2015. They have plans to do better Smarter Solar on a Where Are They ANNUMANNUM is is published published annually annually by by thethe communications communications office office of of TheThe Edward Edward S. S. Rogers Rogers Sr. Sr. Department Department Smaller Scale Now? ofof Electrical Electrical & & Computer Computer Engineering. Engineering. Researchers want to help you save some solar What three electrical engineers AllAll material material is is copyright copyright © © 2014, 2015, andand may may not not be be reprinted reprinted without without power for a rainy day, without breaking the bank have learned from their thethe express express written written permission permission of of The goal was clear, crash course in the real world thethe author. author. of entrepreneurship AllAll correspondence correspondence and and if ambitious: to undeliverableundeliverable copies: copies: 16 ANNUMANNUM Magazine Magazine design, build and TheThe Edward Edward S. S. Rogers Rogers Sr. Sr. Department Department ofof Electrical Electrical & & Computer Computer Engineering Engineering Optical Inclusion race the world’s SandfordSandford Fleming Fleming Building, Building, 1010 King’s King’s College College Road, Road, Room Room SFB540 SFB540 PhD student Arash Joushaghani’s most efficient Toronto,Toronto, ON ON M5S M5S 3G4 3G4 work on a largely forgotten

UpdateUpdate or or correct correct your your material16 brings optics one 22 gasoline-powered 45 contactcontact information: information: switch closer to revolutionizing 416 978 1999 or email 416 978 1999 or email our electronic status quo [email protected]@utoronto.ca Climb Every Behind the vehicle at the ALUMNI

PublicationPublication Agreement Agreement No: No: Mountain IoT Curtain annual Shell 4288702242887022 SkuleTalks, a New ISSNISSN 2368-7029 2368-7037 Afshin Rezayee is a hands-on Everyone’s ready for the hardware guru and serial Internet of Things—but Eco-Marathon PrintedPrinted in in Canada Canada by by ECE Video Series entrepreneur. Why he’s always what exactly is it? FlashFlash Reproductions. Reproduction. Americas. p. 18 An accessible way to catch up happy, but never satisfied on the ideas that matter to today’s leading engineering thinkers

ANUM_Editorial_FINAL.indd 4 2014-12-02 8:58 PM 6 ANNUM 2015 7

OUR STORY 25 $59,243 Founded in 1909, The Edward S. engineering, finance, medicine, Chair titles held by Average salary Rogers Sr. Department of Elec- law and more—their options are ECE faculty in earned by ECE trical & Computer Engineering truly unlimited. (ECE) maintains a proud his- 2014–2015, includ- students on PEY Among our faculty are 25 Fellows tory of world-leading research of the IEEE, nine Fellows of and innovative education. Home ing Canada Research placements the Royal Society of Canada, 11 to 99 professors, 639 graduate Fellows of the Canadian Acad- Chairs, Endowed in 2015-2016 students and 1,512 undergrad- emy of Engineering, and four uates, our classrooms, halls and Research Chairs, E.W.R. Steacie Fellows, a prize laboratories hum with energy awarded to the brightest and and creativity. 1,512 Industrial Research most promising scientists and ECE students and professors engineers across the country. Undergraduate Chairs and U of T work together to solve some ECE is a hotbed of research Distinguished of the most pressing issues of commercialization, with more students our time—we are recognized than 160 inventions disclosed Professor Chairs leaders in the fields of smart-grid and 40 patents filed over the technology, mobile application past five years—by far the most 639 development, next-generation productive department for new Graduate networks, and emerging areas inventions at the University such as biomedical engineering of Toronto. Read on to find out students and robotics. Our graduates what makes us the top-ranked go on to prominent careers in ECE department in Canada. 99 Professors, 161 40 80 11 INVENTIONS OUT OF PATENT APPLICATIONS PERCENTAGE OF ECE STARTUPS OUT OF ECE SINCE 2010 OUT OF ECE SINCE 2010 INVENTION DISCLOSURES ECE SINCE 2010 QS World University including THAT INCLUDE A Rankings 2015 Emeritus STUDENT INVENTOR

#1 #13 #27 63 Post-docs TIMES HIGHER EDUCATION ACADEMIC RANKING OF in in North in the 51 WORLD UNIVERSITY RANKINGS 2015–2016 WORLD UNIVERSITIES 2015 Canada America World Engineering & Technology Engineering/Technology & Universities Computer Sciences Electrical & Electrical & Electrical & Admin & Electronic Electronic Electronic Engineering Engineering Engineering tech staff #1 #1 14 IN CANADA #15 IN CANADA #17 IN NORTH IN NORTH #1 #7 #16 Research AMERICA AMERICA associates in in North in the #25 #23 IN THE IN THE Canada America World 12 WORLD WORLD Computer Computer Computer Science & Science & Science & Visiting Information Information Information Systems Systems Systems professors 8 ANNUM 2015 9

BY MARIT MITCHELL

ECE RESEARCHERS HAVE LONG FORGED INDIVIDUAL COLLABORATIONS WITH IBM—BUT THE CREATION OF A SUPERCOMPUTING CONSORTIUM HAS ACCEL- ERATED THE PACE OF PROGRESS AND HELPED BRIDGE THE ACADEMIC- INDUSTRY DIVIDE 10 ANNUM 2015 11

IT WAS ONE OF THOSE BACK-OF-A-NAPKIN MEETINGS THAT, AT “So far there have been fun things going on in Thanks to EACH RECOUNTING, SOUNDS A BIT MORE LIKE A MOVIE SCENE: the cloud, but if you really want to run a business significant new leveraging the cloud, and I think there’s a push investments by IN WINTER 2010 TOP BRASS FROM THE UNIVERSITY OF TORONTO, toward that, then of course there will be a big the Federal WESTERN UNIVERSITY AND IBM SAT DOWN TO DINNER AT A outcry if there’s any data loss that’s very important Economic Devel- RESTAURANT IN DOWNTOWN TORONTO. ONE BIG QUESTION SAT to businesses,” says Amza. “Right now, users are opment Agency IN THE MIDDLE OF THE TABLE: WHAT WAS MISSING FROM THE moving just like those cartoons where there’s for Southern CANADIAN RESEARCH AND INNOVATION LANDSCAPE? a character walking on air—then they notice Ontario and they’re off the plank. So we’re extending IBM, SOSCIP has been able the plank while they’re still walking on air.” to double the number of academic members in The group was interested in accelerating the “IBM has been working with UofT, and with Big shifts in technology often evoke the feeling the consortium and research already underway at universities across ECE, since the earth cooled,” says Stephen of walking on air—will this approach prove solid, expand its comput- the country: advancing health care; inventing Perelgut, who was IBM Canada university rela- or will its adopters plummet? Right now global ing platforms. new technologies for clean energy; improving tions manager both before and after SOSCIP’s companies, including IBM, Microsoft and Intel, water quality and monitoring; building livable, launch. “SOSCIP was born out of trying to figure are investing in the idea of boosting their datacen- “What we wanted to do was deliver enterprise- stable, sustainable cities; making big data more out how to do what we do more effectively.” tres by introducing reconfigurable hardware in the level, really scalable solutions that the largest, meaningful. Across the disparate set of goals, form of field-programmable gate arrays (FPGAs). most advanced and complex customers of the Professor Cristiana Amza was one of those early a common theme emerged: the single thing all world were using,” says Lalonde. “Why shouldn’t players, having partnered with IBM for more ECE at UofT is home to world leaders in the these ambitious projects really needed was universities and researchers and students have than a decade. Her research has tracked the FPGA field, and IBM is working with Professor computing power, and lots of it. access to that?” evolution from housing data in industrial and Vaughn Betz and PhD candidate Jeff Cassidy “We had hundreds, if not thousands, of bilateral privately hosted datacentres, to abstracting to test out a new SOSCIP platform that links The experts who will carry this joint industry- research collaboration agreements—a professor everything into the cloud. its POWER8 CPUs with an Altera Stratix V academic work forward are the new fleet of and an IBM researcher working together,” FPGA via their Coherent Accelerator Processor IBM post-doctoral fellows. Now in its fourth “Everyone has a cloud infrastructure in operation, says Allen Lalonde, senior executive of IBM Interface (CAPI). (Fun fact: before joining ECE year, this unique program sees IBM hire PDFs but some offerings are pretty basic,” says Amza. Canada’s Research & Development Centre and as a professor in 2011, Betz was Altera’s senior and place them with partner professors. The “We are working toward adding some of the a member of the Industry Advisory Board to director of software engineering and one of the researchers spend their days at one of the guarantees back in that existed previously, with The University of The Edward S. Rogers Sr. Department of architects of the Stratix family of products and SOSCIP member academic institutions, but large amounts of storage in industrial offerings Toronto is now Electrical & Computer Engineering. “But that home to SOSCIP’s CAD tools—so it’s a safe bet that Cassidy has as IBM employees, they have access to IBM and in the private cloud…in order to do that, wasn’t necessarily getting leveraged, it wasn’t IBM Blue Gene/Q been thoroughly schooled on the ins and outs of mentors, professional development opportu- there has to be some re-architecting of the always strategic, and it certainly wasn’t very supercomputer, both the hardware and software). nities, as well as access to all the company’s whole service.” Canada’s fastest intellectual property. The program has been so efficient or practical.” Cassidy is applying the POWER8-CAPI system Her group leverages hundreds of terabytes of supercomputer. successful, IBM is replicating the model in In April 2012, the proverbial switch was flipped to an area that is just starting to fully realize data that IBM collects daily from its datacentres other provinces. on the Southern Ontario Smart Computing the benefits of high-performance computing: and combs through them, looking to improve Innovation Platform—SOSCIP for short, pro- cancer treatment. He’s developing models to “I think it’s absolutely been a slam-dunk suc- the architecture of today’s cloud systems, and nounced ‘so-sip’. The research and innovation predict how photons of light will behave inside cess,” says Lalonde. “The walls came crashing for early warning signs of trouble. They are consortium connects professors with the human tissues, to improve the prospects of using down and people started going back and forth also creating an ‘alarm’ program to digest all latest IBM high-performance computing tools, photodynamic therapy as a treatment for head across them—we’re really working on the same this low-level information and alert operators analytics software and research expertise. The and neck cancers. Access to the brand-new stuff, together.” of imminent failures, where exactly to look University of Toronto is now home to SOSCIP’s CAPI hardware is provided through SOSCIP, for problems, and how best to respond when a IBM Blue Gene/Q supercomputer, Canada’s and he also works closely with IBM researchers component goes down. fastest supercomputer. in Austin, Texas and Rochester, Minnesota. 12 ANNUM 2015 13

BY MARIT MITCHELL PHOTOGRAPHY BY RAINA+WILSON

THE ONE-STEP JOURNEY

WHEN FOUR ELECTRICAL ENGINEERING UNDERGRADS TEAMED UP TO BUILD A POWERED LEG BRACE FOR A FELLOW U OF T STUDENT, THEY WEREN’T SURE HOW FAR THEY COULD GET IN JUST EIGHT MONTHS. THEY SURPRISED BOTH THEMSELVES AND THEIR CLIENT— AND SET FUTURE PROJECTS IN MOTION

eople’s minds jump right to Iron Man when member in the Institute of Biomaterials and “ they see this,” says Thomas Garside, “but Biomedical Engineering (IBBME). I was always more inspired by Robocop.” P The challenge: build a powered leg brace for a Every robo-clad hero needs an origin story, and fellow student, Garside, who has cerebral palsy. this is Garside’s. Because his hamstrings are tight, it’s difficult for Garside to fully extend his lower legs and It begins with a young man from Sault Ste. Marie stride forward. The powered brace would assist who left his hometown to undertake a master’s Garside in extending his lower leg into his next degree at the University of Toronto, and the step. The team needed to build the brace to spec, group of people who rallied around his idea to test and deliver the product to client—all in invent something completely new. under eight months, the duration of the fourth- “We knew we were looking for a biomedical year capstone course ECE496. project,” recalls Elizabeth Sumitro, who joined Garside had long been ruminating on his idea for electrical engineering undergrads Lakmini a powered brace, but had met many dead ends in Perera, Kayatri Rangarajan and Sanjana Seerala trying to see it built. “I’d approach professors in to form the core of Garside’s team. The four dis- my Faculty and others, and say, ‘Look, you don’t covered a capstone project description posted know me, and you don’t have time to get to know by Professor Paul Yoo, who is both a member me, but I have this very personal issue with my of the biomedical engineering group in The mobility and can you help me solve my problem, Edward S. Rogers Sr. Department of Electrical even if it doesn’t necessarily help you?’” says & Computer Engineering and a core faculty Garside. “And that’s a very intense thing to do.” 14 ANNUM 2015 15

Another design team aims to build a second brace, in the mirror-image of the first.

consulted with Professors wouldn’t be operational, even best combines creativity with Tyson Beach and Luc Tremblay, if we had every other compo- good design and execution, and both of the University of To- nent. We had to order it from the the John W. Senders Award for ronto’s Faculty of Kinesiology U.S., and it was Friday night.” the imaginative and successful & Physical Education, to learn application of the principles “I think we called every single more about implementing the of human factors to the design shop,” says Rangarajan. They EMG sensors for controlling of a medical device. came clean to Yoo who helped locomotion. them hatch a backup plan that Although Perera, Rangarajan, “Even with EMG there are a relied on video they’d captured Seerala, Sumitro and Garside The team of four lot of different possibilities in during testing, but in a miracle have now graduated, this is just electrical engineers terms of muscles in the leg we of modern delivery, the angle a first step—another design designed a powered could have used. We did some sensor arrived in less than a day. team is picking up where this exoskeletal leg brace preliminary testing with my one left off, aiming to build a to help fellow U of “We’ve popped capacitors, FROM L TO R: KAYATRI RANGARAJAN, SANJANA SEERALA, LAKMINI PERERA, THOMAS GARSIDE AND ELIZABETH SUMITRO RANGARAJAN, SANJANA SEERALA, LAKMINI PERERA, THOMAS GARSIDE AND ELIZABETH SUMITRO FROM L TO R: KAYATRI T student, Thomas signals and discovered that my second brace in the mirror-im- burnt batteries,” says Ranga- Garside, fully extend pattern is not at all like Tom’s age of the first, benefiting from his lower leg while rajan. “We had to make sure it pattern,” says Sumitro. “So motion-capture data the team After arriving at UofT, Garside joined the “I think we had four alternatives, and we com- walking. They discov- worked 100 per cent, so maybe Engineering Toastmasters public speaking pared objectives of our project against all the ered that the human we ended up doing the testing didn’t have time to incorpo- gait is surprisingly we ran it too many times…” group. In chatting with one of the group members alternatives and arrived at this decision that the hard to mimic. with him and found out that rate, and smoothing out the about his idea for a powered brace, the student EMG and foot-pressure sensors were the best the quadriceps gave the stron- In the end, it ran when it needed motor control to better follow suggested he pitch it as a capstone project, combination for us,” says Perera. gest readable pattern to let us to—to acclaim from course Garside’s gait. and that he start with Professor Yoo. Yoo is also determine when he was taking evaluators, Yoo, and most im- Here’s how the powered leg brace works: EMG Here, as in the faculty supervisor for the University of a step forward.” portantly, Garside. (short for electromyography) sensors read the any good Toronto branch of the Tetra Society, a group unique signatures emitted by Garside’s muscles It wasn’t always smooth strid- “One thing I did know about origin story, that aims to help UofT students overcome when he’s about to take a step. Pressure sensors ing, especially as pressure engineering design is you can’t creativity physical barriers, both on campus and off. on the heels and toes of both feet signal a micro- built ahead of the final ECE say ‘OK, this is exactly what I and tenacity “When Tom came to me with his idea for a controller in the brace to drive the motor to Design Fair. want,’ because we’re developing pay off. something that’s so new and so project, no one had been brave or crazy enough fully extend the right leg. An angle sensor located With just three days until cutting edge. We were facing to try it,” says Yoo. “The idea was more than most on the brace tracks the leg’s angle, extending Design Fair, the group was problems that no one had faced student volunteers were able to take on. But and retracting in response to the EMG and pulling another 12-hour shift before and we didn’t know what we threw it out there, and this group bid on it.” pressure signals. in the Design Lab to incorpo- the exact outcome of what this rate their new angle sensor, Brave and crazy though they may be, Perera, Sumitro took the lead on the EMG, Perera on the was going to be,” says Garside. freshly delivered from the Rangarajan, Seerala and Sumitro are also pressure sensors, Seerala wrote the algorithms “It was really great to feel it all U.S. “We only ordered one energetic, eloquent, organized and diligent. that assimilate signals from the sensors in the come together and to have a component—we should have Even before school resumed in September, microcontroller, and Rangarajan worked on both technology that’s really tangible.” they were meeting with Yoo, Garside and Ken EMG and the microcontroller. By October, they had a backup, but we didn’t Strauss of Tetra, who acted as their mechanical were using the biomedical teaching lab housed in realize,” remembers Perera. The team earned the Gordon expert and consultant, hashing out the scope IBBME to get EMG readings from Garside’s legs “And while we were testing, it R. Slemon Design Award in of the work and their respective responsibilities. and work them into their design. They also burned. Without it our brace recognition of a project that 16 ANNUM 2015 17

these features integrated on the same die. We put master’s degree in communications, taught univer- all these in because we estimated in the future that sity courses, then shifted gears again and decided these needs would come up—and they did.” to pursue a PhD at the University of Toronto under the supervision of Professor Ken Martin. They even built a slick handheld card reader to house the chip, and their design and execution “When I came, I told Ken, ‘I’m going to do a lot of were so promising that in March 2015 Kili struck a hard work, I want to finish it fast. Is there any issue deal with Square, the company started by Twitter with finishing in say, two years, three years?’” co-founder Jack Dorsey. remembers Rezayee. “He said no. If you manage to do your publications and your chips and they work, Square intends to revolutionize how we pay for you can finish. That’s what he said, and he was right.” things. Its hardware—a tiny white dongle—plugs Rezayee completed his first defence in three years, into any smartphone or tablet to turn it into a se- and produced and tested six chips in that time. cure payment terminal. This summer the company announced plans to launch its first contactless card Armed with a PhD, he joined Martin and Professor reader, designed not only for credit and debit tap David Johns’ startup company, Snowbush technology, but also to accept Apple Pay directly Microelectronics, and saw firsthand its growth and from mobile devices—Apple unveiled the reader at subsequent purchase by Gennum, now Semtech. its 2015 World Wide Developer’s Conference in He then joined the authentication provider Secure- San Francisco. Key Technologies Inc., launched SecureKey’s chip team, and then spun it off into Kili with co-founder The deal was the result of Rezayee’s hard work at Greg Wolfond. Kili and persistent desire to collaborate. Having BY MARIT MITCHELL developed the chip, designed and built a payment So he’s worked on a lot of different things. The PHOTOGRAPHY BY RAINA+WILSON terminal, and developed a sales funnel across common thread through all of them is his enthusi- Canada, the U.S. and China—all while growing asm for his work, and the people he works with. Kili from two to 30 people—Rezayee and Square “When you have a great idea, and if you find the discussed cooperation. CLIMBING EVERY money to build it, then you can put together a great They opened discussions with Square’s business team. And great people, they always need development team, which turned to the possibility to work on great things. So if you MOUNTAIN of doing a deal. “There’s a rule in sales: always say give great people a product to yes,” he says now. Conversations got serious, and work on, let’s say five years, SERIAL ENTREPRENEUR AND HANDS-ON in March 2015 Rezayee gave his final yes. 10 years after that they get bored, so they Today he’s the Canada Hardware Lead for Square, HARDWARE GURU AFSHIN REZAYEE ON WHY want to work on and Kili’s office became Square’s second Canadian something new,” he HE’S ALWAYS HAPPY, BUT NEVER SATISFIED location (its first is in Waterloo). Life is different in says. “If you have great some ways—Rezayee spends less time on sales and ideas, you should find the right marketing, and more time on product development. people and you should build it.” hen his plane began its descent into That’s what Rezayee does: he builds things. In Life is much the same in other ways—he’s still mo- Toronto in 1999, Afshin Rezayee 2013 he co-founded Kili Technology Corpo- tivated to see his silicon in the hands of merchants Building from the ground up is something W peered out the window expecting to ration—short for Kilimanjaro, for his love of across the globe, and he’s still working. he learned from his father, as a boy in his home- town of Isfahan, in the mountains. see mountains. His hometown in Iran is sur- mountains. A fabless semiconductor company, With two young sons at home, one almost four rounded by them, and he thought this new city Kili designed chips for payment and secure mo- years old and the other just nine months, Rezayee “He said something that I really like—he said that would be the same. bile authentication, and Rezayee was targeting is busy—but he’s always been busy, and probably al- when you want to do something, your eyes will tell the burgeoning mobile payment market. “I admit it, I’m not that great with geography,” ways will be. He can be classified as that rare breed, you that you can’t do it. So you get scared by what he says. “I knew there were a lot of mountains “We had contactless reading capabilities on the serial entrepreneur, having launched his first you see,” says Rezayee. “But you don’t do it with in Canada but I didn’t know that there are not a our silicon, we had contact, we had a dual-core company fresh out of his undergraduate degree in your eyes, you do it with your hands. So just go lot in Toronto.” Never one for discouragement, processor, we had embedded flash memory,” 1995. He and his friends designed and built PCBs to and do it! Don’t let your eyes scare you, do it with Rezayee turned Toronto into his home any- says Rezayee. “At the time, our silicon was control elevators, then PCs before PCs were ubiq- your hands.” way—and built his own mountain. probably the only one in the world that had all uitous, then early MP3 sound systems. He earned a 18 ANNUM 2015 19

BY MARIT MITCHELL PHOTOGRAPHY BY RAINA+WILSON

GREEN GEARHEAD

THE UNIVERSITY OF TORONTO SUPERMILEAGE TEAM, CO-FOUNDED BY ECE’S MENGQI WANG, TOOK TOP SPOT AT THE 2015 SHELL ECO-MARATHON AMERICAS RACE—BUT SHE’S NOT GOING TO LET THAT SLOW THEM On a Sunday in April, clouds cruised calmly THEM DOWN past the skyline of Motor City.

On the ground, the scene was anything but calm.

“Drag the car back here!” yelled Mengqi Wang, running full-tilt toward the white fuelling tent next to the start line of the 2015 Shell Eco- Marathon Americas. “There are only two cars in line—if we get there right now, we can still make another run!”

University of Toronto’s Supermileage Team surged into action, hauling their fully custom 35-kilogram vehicle from the tent, where technicians had been meticulously measuring the millilitres of gasoline they’d burned on their last run.

Barely trailing the reigning champions from Université Laval, Wang didn’t dare to hope that her team could pull out a win—she just wanted one more chance on the track. With the course scheduled to close in mere minutes, driver Kristine Confalone slid into the tiny bullet- shaped car and hit the gas. 20 ANNUM 2015 21

THE GOAL WAS CLEAR, IF AMBITIOUS: TO DESIGN, BUILD AND RACE THE WORLD’S MOST EFFICIENT GASOLINE- POWERED VEHICLE AT THE ANNUAL The little black bullet pulled cross the finish line, then you have to fully understand literally every SHELL ECO-MARATHON AMERICAS. marking the close of the Gasoline-Prototype nut and bolt in the car, you have to know why you category at the Shell Eco-Marathon Americas in picked that one. And maybe the reason isn’t a very Detroit. The team from the University of Toronto good one—like it just happened to be the cheapest poured into the white tent to have technicians —but at least you know that that’s the reason and measure their car’s fuel consumption and tem- not because that nut or bolt somehow increases ack in 2013 the entire Supermileage At the 2013 Shell Eco-Marathon in Houston, perature, but the sampling and recording systems the vehicle’s efficiency by twenty per cent.” Team consisted of Wang, then a third- Texas, the team finished eight of 10 laps around had already been switched over in preparation year undergraduate in The Edward S. the track, just shy of a complete run. for the next category, Urban Concept. Rogers Sr. Department of Electrical & B “We got through technical, safety, all of that—we It would take some time to get the final results, Computer Engineering, and Jonathan Hamway, On the streets of downtown Detroit, Wang and were qualified to race, we just didn’t finish a run,” a mechanical engineering student. Former de- and besides, Wang had heard that Université Prashanth Murali Krishna, the team’s new says Wang. “Our projected mileage was 626 miles Laval, the heavy favourites, had improved in their sign-project partners, the two shared an uncom- mechanical lead, gave a handful of interviews per gallon back then, which was a lot better than last run to more than 3,300 miles per gallon. It promising love of building things from scratch, about how it felt to come in second. “We’re just we expected, but by no means a winning num- didn’t look good. moving fast, and never letting inexperience hold happy to improve on last year’s performance,” ber.” Hamway, an engine buff, was particularly Wang told a news crew. They joined the rest of the them back. Both had considered joining one of bothered by the engine’s unreliable performance crew in the UofT paddock, where half of the team the well-established design teams based in UofT and determined to fix it. By Spring 2014 both Wang and Hamway had was squealing and the other half begging for calm. Engineering—the Blue Sky Solar Racing Team graduated, and Wang launched into a master’s or Formula SAE Racing Team—but couldn’t That summer the team returned to Toronto and “I’m reallllllly nervous,” said Murali Krishna. under the supervision of ECE Professor Wai shake the itch to invent something totally new regrouped. Hamway decided to junk their Tung Ng. She was now the only member of the and run their own show. Hamway discovered off-the-shelf engine—a 35cc leaf-blower with Then a technician in a white lab coat walked over original eight guiding the team, and they had with the news: 3,421 miles per gallon—the equiv- that several versions of a supermileage group had a ripcord that the driver had to pull across her work to do, bringing down the car’s weight and alent of 68.7 millilitres per 100 kilometres. That’s existed in years past but had spluttered out. He chest to get started—in favour of designing and building something completely custom. Ever improving the aerobody. They also had a new less than five tablespoons of gas to drive from suggested they resurrect it. the foot of Yonge Street in Toronto to Barrie, ambitious, the team also decided to replace their goal in sight: take first place at the next Shell “And I thought, yeah, that’s a fantastic idea,” says Ontario, and it was enough to lock up first place. clunky aluminum chassis with a monocoque Eco-Marathon Americas. Wang. “It was just the two of us in the beginning, The University of Toronto Supermileage Team aerobody—supporting the structure by distribut- But Wang wasn’t happy with just the one goal, we obviously had no idea how teams worked or paddock exploded. ing loads across its shell—which, of course, they which she viewed as attainable—she wanted what was necessary.” knew nothing about. to look two years ahead, to think bigger. She The goal was clear, if ambitious: to design, build “We realized that getting the right surface finish wanted to see the team, now 25 members strong, Wang simultaneously finished her master’s and race the world’s most efficient gasoline-pow- is a much trickier process than we had anticipated, break into the Battery Electric category, the and started a PhD with Ng in Fall 2015, and the ered vehicle at the annual Shell Eco-Marathon which we didn’t know until we finished that first second-largest field of competition behind Supermileage Team keeps moving the finish line Americas. How to get there was a little hazi- car and looked at the body, and we thought to our- Gasoline Prototype. a little farther ahead. er—previous iterations of the club hadn’t even selves, ‘This looks like crap!’” Wang remembers. “I want to make sure that before I completely managed to ship out to competition. “I know for a fact that our car could, and I would It may not have been the shiniest car on the track, hand off the team to the new generation, they even say easily, beat the North American record Wang, Hamway, and their fledgling team, now but it ran. Back in Houston in 2014 the team have the experience of designing a car from if we had gotten all the systems working sooner,” eight engineers strong, ran straight at that brick finished second, behind Université Laval, with scratch, facing the same challenges we faced, says Wang. “Just in terms of potential, the car wall. “We did a lot of preliminary research and official mileage of 2,712 miles per gallon (86.7 really understanding what it means to design even exactly as it is now, if we get all the systems then tried to implement all of it in our first year’s millilitres per 100 km). They also took home the a system from nothing.” working, I know, I know because we’re so close, vehicle—as many new systems as possible,” says Technical Innovation award for their custom “It took us two years to learn, and we weren’t we can definitely beat the North American record.” Wang. “And I would say multiple systems failed, engine and the Penzoil Tribology award for its impeded by pre-existing knowledge. Which does, realistically, the engine being the most crucial Mengqi Wang never lets a little success slow efficiency, each worth $2,000. People were start- ironically, seem to be a problem,” says Wang. of all of them.” her down. ing to notice the University of Toronto team. “Whereas if you’re really doing it from scratch BY MARIT MITCHELL ILLUSTRATION BY BORJA BONAQUE

BEHIND THE IoT CURTAIN

Everyone’s ready for the Internet of Things—but what exactly is it? Researchers in The Edward S. Rogers Sr. Department of Electrical & Computer Engineering are building the backbone that may determine its form 24 ANNUM 2014 25

ell an auditorium of 300 tech-savvy What we know for sure: we will need to handle it could be controlling traffic, to this unknown upper bound. listeners that the Internet of Things is more data, faster, and with low power. it could be controlling infra- Part of the problem is inherent upon us, and you’ll see 300 heads nod in structures, factories, use of to signalling with light: when T Four years ago, Leon-Garcia launched a national agreement. Ask those 300 to define the Internet electricity, use of water. And you send intense pulses of light NSERC Strategic Network called SAVI—Smart of Things, and you’ll get 300 different answers. now I’m talking about smart down a fibre, the optical power Applications on Virtual Infrastructure. The cities, what I call smart infra- changes the fibre’s index of The term ‘Internet of Things’—IoT for short— idea was to design and build a next-generation structures,” says Leon-Garcia. refraction—in other words, the has reached peak buzziness, permeating predic- Internet, including a fully-functional testbed “To me a key benefit of IoT is signal itself alters the channel tions about future tech in fields from health care and all the applications to run on it. in enabling global knowledge through which it moves. A to transportation. The information-technology “Part of the challenge is how to create standards of these very large infrastruc- higher index of refraction research firm Gartner placed it at the tippy-top that inter-operate, or create platforms that tures like cities—that com- means the light slows, and the of its most recent Hype Cycle, at the ‘Peak of abstract or virtualize the different types of bined knowledge allows you signal becomes distorted. This Inflated Expectations.’ sensors so they can inter-operate on a common to make intelligent decisions problem can only be described But what exactly is it? The promises are grand platform,” says Leon-Garcia. “In SAVI we look toward universally desirable using non-linear math, and it’s and far-reaching: self-driving cars that make at everything top-down—all the infrastructures goals: things like reducing not straightforward. high volumes of data over smarter decisions and ease traffic congestion, are there to support applications.” carbon emissions, or reducing “The way that fibre optic com- thousands of kilometres, and more efficient distribution and monitoring of travel times.” The genius of SAVI is in its design—it was built munications evolved was to electricity across the grid, wearables that stream at extremely high speeds. from Day 1 to be a software-defined infrastruc- Reducing travel times for cars import ideas that had already blood glucose levels directly to the doctor’s office. His work has implications for ture, coordinating cloud computing, FPGAs, is one thing, but we’ll also need been pioneered and debugged Over the next five to 10 years, myriad technical long-distance links between storage, networking, resource allocation and to reduce travel times for data from wireless communica- problems need solutions if IoT is to crawl out of Toronto and Tokyo, on a local analytics under a single management system. —and lots of it. tions, throw them into fibre Gartner’s ‘Trough of Disillusionment’ and up scale such as within a metro- and see how it worked,” says the ‘Slope of Enlightenment’ to realization. Built on top of the SAVI testbed is the Connect- Finding new ways to send more politan area, and for the hyper- Kschischang. “But now we Which problems are solved first, and how, may ed Vehicles and Smart Transportation (CVST) bits down existing pipes—along local, such as connecting are bumping into this non- determine the shape of the thing we will come project. CVST aggregates data from highway our current fibre-optic infra- computers inside of a single linearity and it’s causing an to know as IoT. cameras, road incident alerts, road structure—is easier said than datacentre. opportunity for a rethink.” closures, transit systems, bike-sharing done. For starters, we don’t “Internet of Things is not one “I think there are very few networks, border crossings and even even know the fundamental So far, handling non-linearity thing—it’s a family of technol- people who know the informa- an experimental drone stationed carrying capacity of fibre- has been treated as a tough ogies,” says Professor Alberto tion theory and the non-linear at Downsview in north Toronto, optics (known as the Shannon signal-processing problem— Leon-Garcia. “There’s the sens- physics. So we’re kind of start- to give a comprehensive view of limit after American mathe- restoring badly distorted data, ing devices, the computing, the ing a new breed of people who where people are moving in the matician and engineer Claude rather than finding ways to communications, and until recently try to know both, and that’s Greater Toronto and Hamilton Shannon, father of the field of prevent its distortion in the all these have evolved independently. very interesting,” he says. Area—the vibrant mass of information theory). first place. Kschischang is The whole trick to seeing economies To translate that physics and humanity dubbed the GTHA. collaborating with researchers of scale is to have fairly uniform tech- Professor Frank Kschischang is theory into reality, we need in academia and industry on nology across mass markets. When that “The question is how to integrate the informa- tackling the complex non-linear new hardware and devices— both theoretical and exper- happens, costs drop dramatically, capabilities tion that sensors can provide into applications mathematics of fibre-optic and Professor Joyce Poon is imental work to overcome improve steadily, and that’s when you get out that do useful things. It could be controlling communications systems, try- designing them. non-linearities when sending of the trough.” emissions to deal with greenhouse gas emissions, ing to find out how close we are 26 ANNUM 2015 27

“ These are very path,” says Voinigescu. “The computer power with lower implications for other indus- power consumption—otherwise interesting times tries are huge—search, artifi- the earth will melt.” cial intelligence, robotics—it’s because nobody all going to saturate.” Building the backbone of the knows what the After his PhD Voinigescu Internet—the core that will worked in industry for several future of semicon- allow for sensing, streaming years, and when he joined the Today, an email sent from Tokyo to Toronto moving data faster while saving enormous and storage—is an engineering ductor technology ECE faculty in 2002, focused crosses the ocean as light. But in order to route amounts of energy. problem. But IoT, in its final his research mainly on com- it to the correct recipient, it needs to be convert- is going to look form, will be defined by the “The idea is that as we move to higher and higher munications integrated ed from light to electronic signals—then to the intelligence built around that data rates coming into each port, it’s going to like,” says Professor circuits. “But I’ve gone back to ones and zeros that all digital devices interpret. backbone. be very difficult to do this switching electronically,” devices in the last three years, That conversion, from optics to electronics, is Sorin Voinigescu. she says. “What we found is that we couldn’t precisely because I saw that “IoT is really two sides of a incredibly energy-expensive. As data streams use existing generic technology to do it, so we “The last 50 years something had to be done.” coin,” says Leon-Garcia. “One constantly from a future network of temperature developed a brand new platform. If everything side is the gathering of the in- sensors, light sensors, motion sensors, chemical have been unique This year, in collaboration works out, these chips will replace the guts formation, with unprecedent- sensors, pressure sensors, and sensors not yet with networking and commu- that are inside switching equipment right now.” ed volume and granularity— in the history nications companies such as invented, it’s an untenable extra step that Poon but the other is about how do Improving the physical layer in terms of speed is Ciena in Ottawa and Finisar aims to make obsolete. of mankind—no you process that information, one thing, but Professor Ashish Khisti is worried in California, his group be- “I’m and how do you make intelli- about security. “When I think of the Internet of other industry has came the first to design and trying to gent decisions based on it?” Things, I think of RFIDs—tiny tags on everything, demonstrate the world’s fastest answer ever had 40 per pinging simple signals to readers,” he says. “But trans-impedance amplifier in In 2025, the auditorium of the ques- if there are millions or billions of devices com- cent growth year silicon, transmitting at 120 300 techies may have a ready tion of municating constantly, the levels of protection gigabytes per second. For definition for IoT. What that what you after year. We’re at currently being offered are really scary.” comparison, that’s four times definition is depends on the do when a fork in the road.” faster than the current stan- intelligence of our decisions there’s Khisti is designing new hardware-based tech- dard, laying groundwork for today. so much nologies for better security and privacy, such as In one direction: finding new future generations of fibre- data, and multi-antenna arrays that concentrate signals materials that allow for contin- optic networks. They’re now you still to favour the user of interest, and protocols that ued transistor shrinkage, albeit working to add more super- have to make eavesdropping much harder. possibly at a slower pace. In an- fast components to build a process it, We’ve arrived on the brink of the Internet of other: tailoring existing CMOS complete 120Gbaud communi- and route Things thanks in large part to the semiconductor transistor technology, which cations system. it,” she says. Her group industry—our lives are now powered by fast, the entire electronics industry is working to design “All the technologies needed cheap and tiny digital devices in a cornucopia of is set up to produce, to IoT and an integrated for IoT are already in place,” forms. But 50 years after Gordon Moore predicted healthcare applications. photonic circuit that the number of transistors we could pack onto says Voinigescu. “But now to route in- an integrated circuit would double every 1.5 to “Everything depends on this you need that higher data rate formation two years, the big chip-makers are bravely facing CMOS technology continuing for the Internet backbone to optically, a world in which that may no longer be true. along this ‘forever shrinking’ support it, and you need higher 28 ANNUM 2015 ECE.UTORONTO.CA UNDERGRADUATE 29

Undergraduate degrees awarded, 2014-2015 ElecE 128 CompE 129 Undergraduate Total 257 TRAINING THE NEXT PROBLEM Study SOLVERS BLUE SKY SOLAR REPRESENTS CANADA AT 2015 WORLD SOLAR CHALLENGE In Fall 2015 a team of UofT Engineering undergraduates travelled more than 15,000 kilometres, just for the chance to race another 3,022 kilometres. The Blue Sky Solar Racing Team, led by Managing Director Zhe Gong (ElecE 1T4+PEY), lectrical and computer communication systems. In had spent the last two years designing, building and testing their entirely custom solar- engineering power the third and fourth year, students powered vehicle, Horizon. Blue Sky was the only Canadian team to enter the 2015 World technological advances choose areas of specialization Solar Challenge, a gruelling race across the Australian continent, from Darwin in the north E to Adelaide in the south, powered only by the sun. that define modern life. An depending on their individual undergraduate degree from The strengths and interests. Options “We’re incredibly happy, the challenges we faced in the last two years made it seem Edward S. Rogers Sr. Depart- include biomedical engineer- improbable that we would be able to accomplish what we did,” said Gong. “It also meant ment of Electrical & Computer ing, mechatronics and systems a lot to represent Canada on the international stage. This year’s competition was the Engineering opens doors to any control, software and hardware toughest we’ve ever seen and we’ll definitely be working hard to climb the ranks in two career imaginable, from app engineering, energy systems, years. Look out for us!” The team met its goal of development to artificial intelli- digital and analog electronics, completing the full course gence, mechatronics to medicine, electromagnetics, photonics, without any breakdowns, and every field in between. and communications. help or ‘trailering’, in a time of 47 hours, 39 minutes The first two years of the ECE Our flexible curriculum is the and 39 seconds. program are common to both preferred choice for students electrical and computer engi- seeking the opportunity to create neering students, and provide their own unique paths of study, essential background in basic and to work alongside professors 16:1 science and mathematics, as well who are world renowned experts Undergraduate as introducing important con- in their fields. Learn more about cepts in electrical and computer the flexible curriculum at student-to-faculty engineering such as circuits, uoft.me/ececurriculum. digital systems, electronics and ratio in ECE—one of the lowest in U of T Engineering 30 ANNUM 2015 ECE.UTORONTO.CA UNDERGRADUATE 31

PEY: MORE THAN A CO-OP The Professional Experience Year (PEY) internship program at the University of Toronto allows students to apply their engineering education in a 12- to 16-month project-based professional internship. The duration of the work placement lets students immerse themselves in large-scale UNDERGRADUATE ENROLMENT, projects, build relationships with 2011–2012 TO 2015–2016 employers and accomplish significant milestones in the workplace. Running PART TIME FULL TIME TOTAL for more than 20 years, the PEY program has earned a sterling repu- 2011–2012 256 1096 1352 tation in both industry and academic PEY Profile circles. In recent years, demand has 2012–2013 224 1142 1366 exceeded supply: more employers have Chandini Chandrabalan (ElecE 1T7+PEY) sought to hire PEY students than there 2013–2014 259 1133 1392 Technical Services Intern, Protection were students in search of positions. In 2015–2016, 252 ECE students are 2014–2015 272 1208 1480 and Control at Hydro One in Toronto BAKER PHOTO BY ROBERTA working in PEY placements at 84 companies located across Canada and 2015–2016 313 1199 1512 around the world, earning an average Q: WHY DID YOU CHOOSE PEY? Q: WHAT HAVE YOU LEARNED salary of $59,243. To learn more, ABOUT INDUSTRY OR WORKING A: I decided to pursue an internship through the PEY program because visit uoft.me/ece-pey. LIFE THAT YOU’LL BRING BACK ECE PEY PLACEMENTS, beyond the perspective I was getting at school, I thought it would be TO SCHOOL? an ideal platform for me to add to my experience. By extending what 2011–2012 TO 2015–2016 I’ve learned in the classroom to applications in the real world, I hoped A: The most important aspect to explore various fields within ECE in a new light. I was also eager to of industry life that I’ve realized ElecE CompE TOTAL experience working in a corporate environment for an extended period is the ability to be accountable of time, and wanted to work on meaningful projects, build a work ethic for my work. I’ve found that in 2011–2012 134 71 205 and really get to know my fellow co-workers. school, students mostly operate $104,116 2012–2013 102 79 181 as individuals, and we alone face Q: WHAT ARE SOME OF YOUR KEY RESPONSIBILITIES ON THE JOB? the consequences of our action Top salary earned by an 2013–2014 133 77 210 or inaction. In industry, I’m seeing A: In the Protection and Control department at Hydro One, I work with for the first time that my work is ECE student on PEY 2014–2015 156 70 226 both the protection relays that support the main transmission operation a piece of a bigger picture, and of Hydro One as well as the control equipment which allows for remote why it’s important that I present placement in 2015-2016 2015–2016 160 92 252 control of the many transformer stations across Ontario. Initially, I as- accurate work in a timely manner. sisted in a cyber-security project which was mandatory for Hydro One Taking initiative and responsibility to be compliant with industry standards. Recently, I led a team of three for the tasks I do allows me to fellow co-op students, and with the supervision of senior engineers, our actively collaborate with people primary task is to review upgrades to transformer stations. Upgrades with different areas of expertise. include changing from electromechanical relays to microprocessor When returning to school in the relays, or designing protections and control devices for a new station, fall, I hope to adapt the way I PERCENTAGE 17% 17% 17% 19% 19% and updating a central database in keeping with company standards. learn at school to how I now learn new material at work. With a new OF FEMALE Q: ARE YOU WORKING TOWARD A MAJOR PROJECT OR MILESTONE? sense of the type of work that is UNDERGRADS performed in different industries A: In addition to the regular work of the department I mentioned, I’m I can paint a bigger picture, hoping to get involved in projects that focus on special protections for making the learning process at high-priority stations, as well as projects that aim to ease the process university a much more active 2011–2012 2012–2013 2013–2014 2014–2015 2015–2016 of transferring information from the design engineers at headquarters and involved experience. I’m also to the controllers at the main control centre. Outside of the technical eager to return to school and work internal to my department, I’m also a part of Hydro One’s Co-Op work with students from different Committee, which acts as a liaison between the full-time staff and the fields of engineering, as well as PERCENTAGE OF students to enhance the co-op experience at the company. Through arts and science, where I can the committee, I’m helping to coordinate programs such as a mentor- INTERNATIONAL contribute my knowledge and ship program between full-time employees and students, a series skills toward a common goal such STUDENTS 22% 26% 27% 30% 32% of notable speakers, career panels and conferences, and various other as a capstone project. professional-development and social events. 32 ANNUM 2015 ECE.UTORONTO.CA GRADUATE 33

OUR GRADUATE STUDENTS BY FIELD OF STUDY

MASC PHD TOTAL BIOMEDICAL ENGINEERING 4 7 11 AN INTERNATIONAL COMMUNICATIONS 32 58 90 DESTINATION Graduate COMPUTER ENGINEERING 39 62 101 ELECTROMAGNETICS 12 15 27 ELECTRONICS 15 34 49 Study ENERGY SYSTEMS 20 22 42 PHOTONICS 16 37 53 SYSTEMS CONTROL 7 10 17

hroughout its 106-year cations, computer engineering, history, The Edward S. electromagnetics, electronics, TRogers Sr. Department of energy systems, photonics, Electrical & Computer Engi- and systems control. They are neering has borne witness to supervised by our faculty of groundbreaking discoveries and 78 professors, many of whom developments in almost every are internationally recognized area of electrical and computer leaders in their fields. engineering—at the core of these We offer three degrees: Master advances is the outstanding Mario Badr, a PhD candidate in The Edward contributions of teaching assistants at of Engineering (MEng), Master research conducted by graduate Mario Badr S. Rogers Sr. Department of Electrical & the University of Toronto, and seeks to of Applied Science (MASc) Computer Engineering, took home a 2015 value the work of TAs who regularly inspire students and faculty members. and Doctor of Philosophy (PhD). TA Teaching Excellence Award from the and challenge undergraduate students. Graduate students in ECE For program and admissions named one University of Toronto’s Teaching Assistant The awards committee considers the choose from a wide variety of information, visit Training Program (TATP). One of just four TA’s knowledge of his or her subject area, research areas including bio- uoft.me/ece-gradadmission. of Uof T’s recipients out of more than 6,000 teaching communication skills, organizational skills, medical engineering, communi- assistants across the entire University, Badr demonstrated enthusiasm, and ability to has taught Engineering Strategies and Prac- provide students with effective feedback, top teaching tice I & II, Computer Fundamentals, Computer as well as testimonials from both students $2.4M assistants Organization and Computer Architecture. and faculty supervisors. in graduate student “I love TAing, I love teaching,” says Badr. Badr counts his supervisor, Professor “This semester I tried to incorporate more Natalie Enright Jerger, among his teaching scholarships games into my tutorial for Engineering mentors, and draws inspiration from the Strategies and Practice—I asked my teaching styles of UofT Engineering awarded by ECE students to take marshmallows, string and Professors Jonathan Rose, Peter Weiss spaghetti and work together to build the and Jason Bazylak. tallest freestanding structure. I think by for 2015-2016 “I guess motivation for teaching is some- doing this type of work early in the course, thing I’ve always had,” says Badr. “I’ve it sets them up well for the teamwork that been taking the PPIT program, Prospective comes later.” Professors in Training. I want to keep that The TATP Teaching Excellence Award was door open, and if I could become a professor created in 2003 to recognize the outstanding then that’s something I would like to do.” 34 ANNUM 2015 ECE.UTORONTO.CA GRADUATE 35

Enrolment in Research-stream Programs

The inverter Poshtkouhi designed 2015–2016 in collaboration with Canadian semiconductor company Solantro. MASc PhD 145 245

TOTAL 390

TOTAL GRADUATE ENROLMENT, ONGOING PARTNERSHIP 2011–2012 FALL 2011 FALL 2012 FALL 2013 FALL 2014 FALL 2015 TO 2015–2016 WITH SOLANTRO AIMS (HEADCOUNT) MASC 153 174 167 173 145

TO BRING A LITTLE PHD SUNSHINE INDOORS 212 236 249 253 245 MENG 114 155 140 169 249 Shahab Poshtkouhi wants to help you save some solar power for a rainy day. For his PhD, carried out under the supervision of Professor Olivier Trescases, he worked TOTAL closely with Ottawa-based Solantro, a company that designs custom semiconductors 479 565 556 595 639 specifically for solar applications. With Solantro, Poshtkouhi designed and built a nanogrid—an independent system that captures solar energy, stores and distributes it, all on a tiny scale just right for your home. For the eco-conscious consumer, purchasing a custom solar array and storage sys- tem, or an electric vehicle, can cost many thousands of dollars. “For the average home GRADUATE owner, or a small business owner like a farmer, that upfront cost is prohibitive,” says DEGREES AWARDED, Poshtkouhi. “Our main goal is to bring that cost down, and to build a system that’s 2010–2011 2011–2012 2012–2013 2013–2014 2014–2015 modular and expandable.” 2010–2011 TO 2014–2015 Solar panels on the farmer’s roof, or out in a field, generate energy in the form of direct MASC current, or DC power. But when she plugs in her toaster, that farmer is pulling ener- 61 57 56 70 71 gy in the form of alternating current, or AC. To convert DC to AC you need a special circuit called an inverter—not something most of us have lying around. Poshtkouhi PHD spent summer 2015 working at Solantro to design an inverter to interface DC solar 39 34 37 27 35 panels and batteries with the AC nanogrid. He and Solantro plan to demonstrate these modular nanogrids in early 2016. MENG 43 25 56 85 76 “I hope that someday you’ll be able to walk into a hardware store and buy this entire system—solar panels, inverters, batteries, and smart distribution all in one—and install TOTAL it in your home, easily and cheaply,” says Poshtkouhi. 143 116 149 182 182 36 ANNUM 2015 ECE.UTORONTO.CA RESEARCH 37

SELECTED AWARDS WON BY ECE FACULTY, 2014-2015 A CONCENTRATION OF POWERFUL INSTITUTE OF ELECTRICAL & ELECTRONICS ENGINEERS, CONTROL SYSTEMS AWARD // PROFESSOR BRUCE FRANCIS Research MINDS INSTITUTE OF ELECTRICAL & ELECTRONICS ENGINEERS, FELLOW // PROFESSORS DEEPA KUNDUR, BAOCHUN LI ROYAL SOCIETY OF CANADA, FELLOW // PROFESSOR BRENDAN FREY CANADIAN ACADEMY OF ENGINEERING, FELLOW // PROFESSOR TED SARGENT ENGINEERING INSTITUTE OF CANADA, JULIAN C. SMITH MEDAL // PROFESSOR ALBERTO LEON-GARCIA ENGINEERS CANADA AWARDS, MEDAL FOR DISTINCTION IN ENGINEERING EDUCATION // PROFESSOR JONATHAN ROSE AMERICAN SOCIETY FOR ENGINEERING EDUCATION, TOP 20 UNDER 40 // PROFESSOR MICAH STICKEL ALFRED P. SLOAN FOUNDATION, SLOAN RESEARCH FELLOWSHIP // PROFESSOR NATALIE ENRIGHT JERGER UNIVERSITY OF TORONTO, UNIVERSITY PROFESSOR // PROFESSOR TED SARGENT UNIVERSITY OF TORONTO, DISTINGUISHED PROFESSOR IN DIGITAL COMMUNICATIONS // PROFESSOR FRANK KSCHISCHANG UNIVERSITY OF TORONTO, INVENTOR OF THE YEAR AWARDS // PROFESSORS PARHAM AARABI, RICHARD COBBOLD

esearchers in The Centre, home to several state-of- WEI YU WINS E.W.R. STEACIE Edward S. Rogers Sr. the-art nanofabrication facilities RDepartment of Electrical that are available to both academic MEMORIAL FELLOWSHIP & Computer Engineering tackle and industry clients for open- challenging fundamental and access prototype development $311K – AVERAGE Your smartphone may keep Demand for fast, cheap and receive information from each applied problems of importance and testing. ANNUAL RESEARCH plentiful data continues to other, in order to enhance signal to global industry and society. getting smarter, but its network As one of the largest ECE surge, but wireless communica- quality and to reduce interfer- We work across and beyond dis- OPERATING departments in Canada, we are is struggling to keep up. tions infrastructure is reaching ence for wireless data access. ciplinary boundaries to advance a research powerhouse. Our FUNDING PER ECE the limits of what it can provide His discoveries have impact current understanding in key professors partner with countless FACULTY MEMBER to users—unless we can find on the network architecture, emerging areas such as smart industry leaders worldwide to more efficient ways to engineer transceiver design, and network -grid technology, mobile and stimulate, enhance and translate our networks. deployment for future genera- cloud computing, and biomedi- Our Faculty by tion wireless cellular services. our research into application. We Professor Wei Yu is doing cal engineering. continue to seek opportunities Research Group exactly that—his influential The award allows researchers Our department alone occupies to collaborate with industry, BIOMEDICAL ENGINEERING 7 work tackles the design and who show extraordinary prom- more than 4,400 square metres government and other academic optimization of wireless ise to focus on their research, of research laboratory space institutions to improve quality COMMUNICATIONS 15 communication systems. In relieved of teaching and admin- across six buildings on Univer- of life in Canada and around the COMPUTER ENGINEERING 21 2015 he was awarded an E.W.R. istrative duties for a two-year sity of Toronto’s downtown St. globe. Learn more how research ELECTROMAGNETICS 5 Steacie Memorial Fellowship term. Each Fellow receives a George Campus. We also house in ECE is shaping the world at from the Natural Sciences and research grant of $250,000. the Toronto Nanofabrication uoft.me/eceresearch. ELECTRONICS 9 Engineering Research Council. “Being able to focus on re- ENERGY SYSTEMS 7 “I’m passionate about infor- search 100 per cent of the time PHOTONICS 9 mation theory, which is the is hugely important,” said Yu. SYSTEMS CONTROL 5 mathematical foundation of “The Steacie Fellowship makes modern digital communica- it possible for me to recruit the EMERITUS 21 tions,” he said. Yu is currently best students and post-docs to investigating novel ways that my research program to help TOTAL 99 base-stations and smartphones develop the next generation of in a radio-access network may wireless technologies.”

PHOTO BY NSERC cooperatively transmit to and 38 ANNUM 2015 ECE.UTORONTO.CA RESEARCH 39

In cases of cross-disciplinary ECE INVENTIONS DISCLOSED inventions, disclosures OVER THE PAST FIVE YEARS are expressed as a fraction ECE is the top 2010-11 2011-12 2012-13 2013-14 2014-15 TOTAL U of T department 40.3 24.5 38.1 16.5 42.3 161.7 over the past five years for new invention disclo- sures, new patent applications filed, PROPORTION OF TOTAL NSERC FUNDING FOR ELECTRICAL AND ELECTRONICS new license and ENGINEERING AT LEADING CANADIAN option agreements UNIVERSITIES, 2010-2011 TO 2014-2015 executed, and new startup companies 0 2% 4% 6% 8% 10% 12% UNIVERSITY OF TORONTO 10.13% formed. UNIVERSITY OF WATERLOO 9.38% It’s a bird—it’s a plane— UNIVERSITY OF BRITISH COLUMBIA 7.45% it’s an algorithm! UNIVERSITY OF 7.38% Drones don’t fly themselves—and neither do fighter MCGILL UNIVERSITY 6.10% jets, commercial airliners, or space shuttles. But as MCMASTER UNIVERSITY 6.01% the era of the self-driving car dawns, why not design ÉCOLE POLYTECHNIQUE DE MONTRÉAL 3.77% a self-flying plane? ÉCOLE DE TECHNOLOGIE SUPÉRIEURE 3.38% That’s exactly what control theorists at the Institute for Robotics and Mechatronics (IRM) are working toward. Their research, a collabo- QUEEN’S UNIVERSITY 3.15% ration between Professors Mireille Broucke of ECE, Angela Schoellig of the University of Toronto Institute for Aerospace Studies, and UNIVERSITY OF OTTAWA 3.06% PhD candidate Mario Vukosavljev, aims to design control systems for flying quadrocopters—lightweight drones with four rotors. Control systems are the ‘brains’ of machines, algorithms that allow them to ‘behave’ the way we want them to and often accounting for the unpredictable, such as responding to variations in environment or even mid-air collisions. The team’s current control methodology ECE RESEARCH FEDERAL PROVINCIAL INDUSTRY OTHER TOTAL $ considers safety, speed, and live response of the quadrocopter—next, FUNDING they’ll work on teaching their drone some fancy flying, such as slalom 2009–2010 TO 2009-2010 8,637,522 3,948,866 1,481,958 2,878,488 16,946,834 patterns and flips. 2013–2014 2010-2011 9,239,607 3,201,271 2,238,062 3,187,226 17,866,166 This is just one project underway in the Institute for Robotics and Mechatronics. Formed in 2010, IRM unites thinkers from across 2011-2012 10,403,537 1,569,027 2,960,981 3,192,836 18,126,381 engineering disciplines and industry to develop more intelligent 2012-2013 9,727,505 2,481,833 2,355,784 3,347,918 17,913,040 robots and devices to advance medicine and healthcare, rescue and exploration, military and security, and fields as yet unexplored. 2013-2014 11,498,219 3,662,706 3,145,172 4,110,224 22,416,321

Learn more at irm.utoronto.ca PHOTO BY ADRIAN ESSER, DYNAMIC SYSTEMS LAB, UTIAS 40 ANNUM 2015 ECE.UTORONTO.CA INDUSTRY 41

1.6 0.8 2 1. 9 0. 5 . 5 5 . 1

WHERE THE

1

1

. 4 4 .

2 MONEY GOES:

7

.

2

1

OUR 2015-2016

6

REVENUES PLANNED EXPENSES

.

7

6

.

FINANCIAL PICTURE AT

2

TACKLING

$32.7M $32.7M

4

REAL-WORLD

A GLANCE ($ MILLION) .

2

PROBLEMS

Industry

6

.

4

1

.

1

1

Partnerships STUDENT TUITION ACADEMIC SALARIES ACADEMIC BASE BUDGET ADMINISTRATIVE & TEACHING TECHNICAL SALARIES OVERHEAD GRADUATE STUDENT SCHOLARSHIPS DEAN’S FACULTY FUND TEACHING ASSISTANTS & STIPEND INSTRUCTORS CANADA RESEARCH CHAIRS SPACE ENDOWMENT INCOME OTHER DEPARTMENTAL INCOME

n The Edward S. Rogers Sr. strengthen connections between Department of Electrical ECE and researchers across the Where are they now? I& Computer Engineering, University, in summer 2014 we Illuster Technologies Inc. we pride ourselves on cultivat- launched the first in a series of ing both longstanding and new one-day workshops designed ECE alumni Miad Fard, Mehrad market and provide customer services that the same way coding and computa- partnerships with visionary to unite thinkers from a diverse Mashayekhi and Richard Medal on our own, but that would limit us to a tional literacy have made their way into corporations, foundations and array of fields on a common very local portion of the market as we the mainstream, eventually electronics institutes the world over. These topic. The latest of these, on the took the technology they developed continued to grow enough to handle will as well and we want to be providing ties keep our research relevant, topic of ‘Information Security in for their fourth-year design project sales on a global scale. This would of tools to teach and develop electronics our teaching fresh, and contin- a Connected World’, showcased and founded Illuster Technologies course delay our ambitions of changing to the public once that time comes. ually inspire us to find multidis- our research leadership in the Inc. in 2013. The trio and their the way electronics is taught around the ciplinary solutions to techno- areas of cloud security, security world. By bootstrapping and forming unique hardware and software Q: HOW ARE YOU BALANCING THE logical, economic and social of cyber-physical systems, and partnerships for marketing and service, DEMANDS OF A STARTUP COMPANY problems both here in Canada protecting medical biometrics, platform for teaching analog we not only fulfill our ambitions, but we WITH OTHER PROFESSIONAL and abroad. and attracted participants from electronics were profiled in the can shift our focus to what we excel AND PERSONAL OPPORTUNITIES? the fields of aerospace, business, last issue of ANNUM. at: designing and engineering labs to We enjoy active partnerships teach electronics. A: All three of us are trying to grow both medicine and more. Learn ECE accounts with more than 85 industry ANNUM caught up with co-founder professionally and intellectually as the more about upcoming events company grows. We feel it’s import- funders and collaborators. In an Q: WHAT IS YOUR FOCUS NOW, at uoft.me/eceevents. for half of all Miad Fard to hear what three ant to make sure you have a healthy effort to open our doors to some AND IN THE NEAR-TERM? electrical engineers have learned relationship with your company, not only of these contacts, as well as to U of T Engineering from their crash course in the real A: Illuster is moving toward a more financially but personally as well. You startup companies defined identity. When we first started always hear about passionate entrepre- world of entrepreneurship. the company, we were coming at it as neurs sinking their life savings into their and invention engineers and our scope was a bit out company, but a more overlooked sce- disclosures Q: WHAT’S DIFFERENT FROM of focus. As we gain experience on the nario is when a young company eclipses 12 MONTHS AGO? business side, we start to see what we the professional growth of its founders. actually bring to the table. We are still It is important to be as realistic as you A: In the past year we have re-branded, focused on bringing a modern take on are passionate. You do have to sacrifice re-launched our website, and struck a teaching electronics to the classroom, more time this way, but that has never distribution partnership with one of the but we also want to provide devel- been a problem for us; our mentality world’s leading educational technology opment tools for enthusiasts and the has always been: “Make sacrifices now. providers. Our original plan was to electronics industry in general. We feel Get rewarded later.” 42 ANNUM 2015 ECE.UTORONTO.CA INDUSTRY 43

ECE CORPORATE PARTNERS, 2011-2015 Contact us to explore A.U.G. Signals Ltd. Mattson Technology the vast potential of ABB AB Canada partnering on research and Advanced Micro Maxim Integrated Devices Inc. Products Inc. technology development. AEG Power Solutions Inc. Meta North Inc. Alcatel Canada Inc. Mircom Technologies 175 Employers Hiring ECE Students Altera Corporation Mitsubishi Electric JASON CHANG, MBA Asahi Glass Co., Ltd. Research Lab. DIRECTOR OF FOUNDATION & on PEY Over the Past Five Years: Bell Canada Morgan Solar BLiNQ Networks Inc. National Semiconductor HOW TO MULTIPLY CORPORATE PARTNERSHIPS Brammo Inc. Neurochip Corp. [email protected] 2MPOWER ENVISION MOBILE ONTARIO FINANCING AUTHORITY Broadcom Corporation NXP Semiconductors YOUR MONEY 416 978 7890 360INCENTIVES.COM (FORMERLY VIZIO MOBILE INC.) ONTARIO MINISTRY OF EDUCATION Carinthian Tech Netherlands B.V. 407 ETR CONCESSION EPSON ONTARIO MINISTRY OF HEALTH & Research Institute OneChip Photonics Inc. In ECE, we leverage industry financial support with federal, provincial ILLAN KRAMER, PHD COMPANY LTD. ERICSSON CANADA INC. LONG-TERM CARE Christie Digital Systems Ontario Power Authority A THINKING APE ESNA TECHNOLOGIES ONTARIO POWER AUTHORITY Canada Inc. Opus One Solutions and institutional matching to enable millions in industry-partnered DIRECTOR OF CORPORATE, ONTARIO TEACHERS ABB SWITZERLAND LIMITED EVENTMOBI Ciena Canada Inc. Energy Corp. ACUITY FINANCEIT CANADA INC. PENSION PLAN research per year. A $52,500 industry contribution to a research project GOVERNMENT & Digital Predictive Polaris Industries ADEXA INC. FIO CORPORATION ONTARIO POWER GENERATION INTERNATIONAL PARTNERSHIPS Systems Inc. QD Solar Inc. grows to $202,500—a 4x leverage on the initial investment. AERCOUSTICS FIXMO PEARSON EDUCATION Diros Technology Inc. Qualcomm Canada Inc. [email protected] AESO (ALBERTA ELECTRIC FU TIN BUSINESS CO. LTD. PERSPECSYS SYSTEM OPERATOR) GAZOOMOBILE PHILIPS (CHINA) INVESTMENT E. I. du Pont Canada Qualcomm 416 978 6990 AFFINITY SYSTEMS LIMITED GE CANADA COMPANY Company Technologies Inc. eCamion Inc. Quanser Inc. AGFA GENERAL ELECTRIC POINTCLICKCARE INDUSTRY FUNDING $52,500 AGFA GRAPHICS NV-BELGIUM GENERAL MOTORS OF PSION INC. Ericsson Canada Inc. Rambus Inc. ALTERA CANADA LTD. QNX SOFTWARE SYSTEMS Exar Corp. Raytheon Canada Limited AMAZON GENESYS VG INC. QUALCOMM CANADA Finisar Corporation Redline Communications AMD GLOBALIVE COMMUNICATIONS QUANTA TECHNOLOGY Fuji Electric Co., Ltd. Inc. FEDERAL FUNDING $75,000 (NSERC PROGRAMS) AMERICAN EXPRESS CANADA INC. GOOGLE QUICKPLAY MEDIA Fuji Electric Systems Research in Motion Ltd. ANALOG DEVICES INC. GUOMAO GROUP CO. LTD. RBC CAPITAL MARKETS Co., Ltd. Robert Bosch Corporation APPLE HH ANGUS RED HAT CANADA LTD. Fujitsu Laboratories Ltd. Semiconductor Research PROVINCIAL FUNDING $75,000 ARISTA NETWORKS HITUPP INC. RESEARCH IN MOTION Fujitsu Labs of Corporation (ONTARIO CENTRES OF EXCELLENCE PROGRAMS) ARUP HONDA RL SOLUTIONS America Inc. Sendyne Corp. ASSETMINE INC. HONEYWELL ROGERS COMMUNICATIONS Gener8 Inc. Silicon Mitus Inc. ATS AUTOMATION TOOLING HP CANADA ROYAL BANK OF CANADA Genia Photonics Inc. SINTEF Energi AS SYSTEMS INC. HUSKY ENERGY RUGGEDCOM INC. Gennum Corporation Solana Networks AUTOLIV ELECTRONICS HUSKY INJECTION MOLDING SANDVINE GO Lighting Solantro Semiconductor SAP CANADA INC. CANADA INC. SYSTEMS LTD. Technologies Inc. Corp. AVIYATECHNOLOGIES INC. HYDRO ONE SCICAN Hatch Ltd. Solar Ship Inc. The outcome: a joint BANK OF MONTREAL IBM CANADA LTD. SCOTIABANK Hewlett-Packard Taiwan Semiconductor BELL CANADA IESO SEMTECH project with the support Company Manufacturing BELL MOBILITY IMPERIAL OIL SIEMENS $52,500 BELL TV / VISIONMAX INTEGRATED DEVICE SMITH AND ANDERSEN Huawei Technologies TELUS of world-renowned industry BIG VIKING GAMES TECHNOLOGY (IDT) CONSULTING ENGINEERING Co., Ltd. Telus Mobility $202,500 BLACKBERRY LIMITED INTEL CANADA SOTI Hydro One Networks Inc. Texas Instruments investment researchers, expert (FORMERLY RIM) INTELLIWARE DEVELOPMENT INC. SOUTHPAW TECHNOLOGY INC. IBM Canada Ltd. Thales Canada Inc. BLUECAT NETWORKS INTUIT CANADA SUNNYBROOK HEALTH Intel Corporation Toronto Electric Ltd. leveraged 4x administration, project BMW GROUP CANADA ITG SCIENCE CENTRE International Business Toshiba Corporation BOMBARDIER AEROSPACE KAPSCH TRAFFICCOM SUNWELL TECHNOLOGIES INC. Machines Total American management and moti- BROADCOM CANADA INC. SURFEASY IPPLEX Holdings Services Inc. BRUCE POWER KIJIJI SYMANTEC CANADA LTD. Corporation Ultra Electronics vated graduate students. BURO HAPPOLD KNOWROAMING TECK RESOURCES LTD. ITS Electronics Inc. Unisearch Associates CANADA HEALTH INFOWAY INC. LABATT BREWERIES OF CANADA TEKNION FURNITURE SYSTEMS IVG Fiber Ltd. Varilume Lighting Inc. CANADA POST CORPORATION LOBLAW COMPANIES LTD. TELUS Kapik Inc. ViXS Systems Inc. CANADIAN NATURAL M&E ENGINEERING TEMENOS Kinectrics Inc. Wurth Elektronik eiSos CANCER CARE ONTARIO MAGNA CLOSURES THALES RAIL SIGNALLING Lattice Semiconductor GmbH&Co. KG CASEWARE INTERNATIONAL INC. MARIN SOFTWARE SOLUTIONS Ltd. Xilinx Inc. CAST SOFTWARE INC. MARVELL TECHNOLOGY GROUP THE HOSPITAL FOR SICK Lockheed Martin Canada Xogen Technologies Inc. CHILDREN CELESTICA INC. MAXXIAN Mark IV Industries Corp. Zentrum Mikroelektronik ECE INDUSTRY ADVISORY BOARD CGI GROUP INC. MCW CONSULTANTS LTD. TIMEPLAY Dresden AG CIENA MEDIAADX TORONTO HYDRO The Edward S. Rogers Sr. Department of Electrical & Computer Engineering CIRBA INC. METROLAND DIGITAL TORONTO TRANSIT COMMISSION CISCO SYSTEMS INC. MICROSEMI CORPORATION TRANSCANADA formed its Industry Advisory Board in 2014. The board provides ECE with CITY OF BRAMPTON MINISTRY OF CHILDREN TRAPEZE GROUP objective advice about the department’s role in contributing to technological COMMUNICATIONS AND POWER AND YOUTH SERVICES TXIO INDUSTRIES CANADA INC. MINISTRY OF TRANSPORTATION TYCO ELECTRONICS CANADA LTD. and economic development, and engineering practice. Its members are: DELOITTE & TOUCHE LLP MODIFACE UBER TECHNOLOGIES INC. DEMONWARE MOLD-MASTERS LTD. UNIVERSITY HEALTH NETWORK DEPARTMENT OF NATIONAL MOTOROLA SOLUTIONS/PSION UPSTREAM WORKS SOFTWARE PAUL BROWN, DIRECTOR OF DISTRIBUTION ASSET MANAGEMENT // HYDRO ONE DEFENCE NATIONAL INSTRUMENTS V SEMICONDUCTOR INC. $13.1 million DESIRE2LEARN ELECTRONICS WORKBENCH VENNSA TECHNOLOGIES INC. SAI-KIT ENG, DIRECTOR OF PROGRAM MANAGEMENT // QUALCOMM CANADA DOUBLETHINK INC. GROUP WATTPAD Corporate funding (MYBLUEPRINT) NATIONAL RESEARCH WEALTHFRONT ALLEN LALONDE, SENIOR EXECUTIVE // IBM CANADA RESEARCH & DEVELOPMENT CENTRE DRDC TORONTO COUNCIL CANADA WINMAGIC INC. EASTERN POWER LTD. NEXJ SYSTEMS INC. XAGENIC INC. to ECE over the past SAID MOKBEL, VICE-PRESIDENT, WIRELESS AND TELEPHONE/MESSAGING SERVICES // TELUS ECAMION NTHGEN SOFTWARE XTREME LABS INC. DESHANAND SINGH, FORMERLY DIRECTOR OF SOFTWARE ENGINEERING // ALTERA ENERSOURCE NVIDIA five years ENVIRONMENT CANADA OMNIVEX CORPORATION SONG ZHANG, DIRECTOR, TECHNOLOGY PLANNING & PARTNERSHIP // HUAWEI CANADA 44 ANNUM 2015 ECE.UTORONTO.CA ALUMNI 45

ECE ALUMNI BOARD OF ADVISORS:

ALAN BOYCE ElecE 7T8 Senior management consultant, entrepreneur JOHN EAST STRENGTH OF ElecE, MBA, UC Berkeley THE ECE Former CEO of Actel Corporation ALUMNI NETWORK Alumni ALEX GRBIC CompE 9T4, MASc 9T6, PhD 0T Director, Product Marketing at Altera CATHERINE LACAVERA CompE 9T7, JD/MBA UofT Director, Litigation at Google NATASHA LALA CompE 9T8 Chief of Staff at OANDA Corporation ALEX SHUBAT ElecE 8T3, MASc 8T5, PhD Santa Clara, MBA Stanford Former CEO of Goji Food Solutions Inc., executive advisor, entrepreneur

he ECE alumni commu- We value input from our alumni

nity is a powerful global on our evolving priorities and BAKER ROBERTA BY PHOTO T network—our graduates direction, and we like to know are leaders in fields ranging where our graduates are now! from medicine to mining, entre- If you have a piece of news you’d KING EMBODIES SPIRIT preneurship to entertainment. like to share, thoughts on our OF SPRING REUNION ECE alumni are extremely performance, or would like generous with their time, and to hear how to get involved with Alex King (ElecE 3T5) was the only University of Toronto alumnus many volunteer to help current your fellow alumni or current to receive a medal celebrating 80 years since his convocation at students—through participa- students, we’d love to hear this year’s Spring Reunion Chancellor’s Circle Medal Ceremony. tion in the Engineering Alumni from you. Mentorship Program, by meeting Catch the premiere “It makes me very proud,” King said. “Receiving an 80-year medal Contact senior communications fourth-year students on the of SkuleTalks, a new is pretty special.” officer Marit Mitchell at brink of graduation at our spring [email protected] or ECE video series The 102-year-old King attended Spring Reunion with his wife, Fourth-Year & Alumni Reception, 416 978 7997. Stay up-to-date on the latest research Claire, son, Bill, and daughter, Helen. He lives in Collingwood, or by sharing stories of startup underway in ECE with SkuleTalks— Ont. and had not visited the St. George campus in many years—he successes and failures as part of short videos that keep ECE alumni said that King’s College Circle looks similar, but there are many our Engineering Entrepreneur- current on the big questions in fields new buildings he has never seen before. When he was enrolled in ship Speaker Series. Total number from wearable tech to smart-grid electrical engineering, all of his classes took place in The Little Red security and more. Whether you’ve Skulehouse—the original home to U of T Engineering, which was of active alumni just graduated or haven’t designed demolished in 1966. worldwide: a circuit in 30 years, SkuleTalks His affiliation with the renowned Class of 3T5 is one he cherishes. are an accessible way to catch up He said that alumni from his class met regularly for many years, on the ideas that matter to today’s and were still having reunions when he was well into his 80s. “I had 11,381 leading engineering thinkers. a lot of buddies in those days,” he said. “It seemed like we got closer View fresh SkuleTalks: as we got older.” uoft.me/skuletalks King said that throughout his life he was a “victim of circum- stance”—always embracing new opportunities. He feels that it’s important that students and young alumni alike embrace the same mentality. “Never hesitate and don’t miss a chance,” he said. 46 ANNUM 2015 ECE.UTORONTO.CA ALUMNI 47

FALL ALUMNI LECTURE & NETWORKING RECEPTION FOURTH-YEAR & ALUMNI CELEBRATION SPRING REUNION CONVOCATION

ECE alumni from all graduating classes returned to Skule Each spring, on the day all fourth-year students finish This year’s Spring Reunion drew graduates from the class of Following our big spring Convocation ceremony in June, again last Fall to catch up with classmates and former presenting their final capstone design projects, ECE hosts 5T0 all the way up to 1T5 back to ECE’s departmental lunch ECE hosted a lunch to congratulate the classes of 1T5 and professors. In November 2014, attendees heard Professor a reception to recognize this important milestone and and lab tours on Saturday, May 30, 2015. Attendees compared 1T4+PEY. Despite heavy skies, spirits were light as newly Jonathan Rose share stories of his newest interdisciplinary toast its imminent graduates. This year, alumna Kia Puhm notes on how the department has changed since they were minted alumni and their families joined faculty and staff at research on mobile applications. (CompE 9T4+PEY) shared her memories of ECE and urged students, enjoyed a meal together, and visited the state-of- the celebratory event on campus. the graduating class to nurture the valuable relationships the-art Toronto Nanofabrication Centre and UofT Engineering’s they formed with their classmates here. Blue Sky Solar Team workshop. Mark your calendars for the next Spring Reunion, planned for May 28, 2016!

PHOTOS BY JIMMY LU PHOTOS BY VINCENT TSE PHOTOS BY JIMMY LU 48 ANNUM 2015 ECE.UTORONTO.CA CLASS NOTES 49

CLASS NOTES

LEN KLOCHEK in zSeries security controls (pka 6T4 ELECE 6T9, MASC 7T2 7T8 mainframes). Anticipating traveling 9T1 0T3 JOAN HIRDLE (NÉE ALEXANDER) Retired in 2011, after 25 years of MICHAEL MIRSKY in retirement (hopefully soon). TIN-SUN (TIM) LEE MATHEW SZETO ELECE 6T4 ELECE 7T8, MENG 8T5 ELECE 9T1+PEY COMPE 0T3+1 teaching at Seneca College, and Over 50 years since graduation and 15 years spent in industry. Memories of weekends at EUT 8T5 Proud dad of two boys with loving Currently working in London, UK my memories of ECE are pretty pounding away at the keypunch wife. Lived in the city & downtown at RBC Capital Markets on the dim. We had to do a small pro- Keep in regular contact with AKIKO OGAWA machines to get that FORTRAN ELECE 8T5, MASC 9T0 before moving to Markham. Institutional Equities Trading desk. gram on one of the first university classmates Brian, Hillar, Marty, program working right! Misery was Worked at Nortel, Celestica and computers. It had paper tape input. Neil, and Van. dropping your deck of punchcards! Been living and working in Japan JUNQIAN ZHANG currently at Creation Technologies. Every time you corrected a mistake Remember the old original Sandford since my MASc graduation. Not ELECE 0T3+PEY the paper tape dupe machine JAMES (JIM) WELCH Fleming 126 before it burned down? much new to report there, except Built a career as an in-circuit test I really miss the numerous days ELECE 6T9, MASC 7T4, JD 1982 would dupe a correct input wrong. It was a huge lecture hall decorated for the recent relocation to an of- (ICT) engineer for EMS companies and nights we spent in BA com- Of course I went into computers. Briefly—work at Nebraska Med. by punch card airplanes stuck in fice building along the Tokyo coast. and I also support modern applica- mon room, working on projects, I married an Englishman and we Center 2+ years, work at local the ceiling that had been launched My fondest memories of ECE tions of communications and instru- assignments and exams. moved to Australia. We had two Power Co. 2+ years, obtain Juris by rubber bands! After graduating, include being the backstage crew mentation functional/system testing sons. While they were young I did Doctorate, test into Nebraska I walked across the street along for Skule Nite, volunteering at in a manufacturing environment. contract work and then started back Legal Bar, Professional Engineers, with a good number of my class- 0T6 engineering pub nights, summer I have fond memories of my years into full time work at 50. I retired at Notary and into US Patent Bar. mates to join Ontario Hydro. After BRIAN CHAU softball games during grad school, at UofT and of the fantastic PEY 66. I am now so busy I don’t know Obtain US DOE Grant, successfully a wonderful 35 year career with ELECE 0T6+PEY playing cards in the Engineering (16 month) experience at IBM. I how I found time to work. I play a fabricate Single Device CMOS at Ontario Hydro/Ontario Power Gen- IP Lawyer at Norton Rose Fulbright. cafeteria and studying for exams wish all the best to our alma mater, lot of competition bridge and enjoy UNL with help from U of T, obtain eration, am now retired living in at the with my to all who have attended and to doing things on my iPad. many patents on results. Obtain Israel. Regards to all my classmates! classmates. BUSHRA JAVAID KHAN 300+ patents for clients, (eg. J.A. future graduates. ELECE 0T6, MENG 1T2 Woollam Co., Nebr. Univ. Profs. One marriage, a master’s degree, and Independents, as well as for 7T9 8T6 9T5 one toddler, two pregnancies, own inventions). Have one of the DAVID WAECHTER NICOLAS DANIELS and nine years of working in the TONY ORSI best Scientology collections in the ELECE 7T9, MASC 8T2, PHD 1987 ELECE 8T6, MASC 8T9 chip manufacturing industry as a world, and continue to study it. AT CARLETON UNIVERSITY ELECE 9T5, MASC 9T8 Hi everyone from Elec Eng 8T6 and design verification engineer has One hobby is working on old cars. Great memories of my time at David Waechter (7T9) is releasing all the former Dukes of Devonshire, been incredible to say the least. Practice as Prof. Engineer some, Skule in the ECE and IBBME a book this year about his late particularly “East House Beast When I graduated from ECE with a but mostly as Patent Attorney for programs where I learned lots of father’s career. His father, Ralph House”. I’m retired now with cash bachelor’s I was hopeful, proud and 30+ years. Still single (as one useful information that I am now William Waechter, was a University for life, had a great career in the full of energy. I didn’t know much secretary gal said—who would put using in my practice of Intellectual of Toronto graduate in aeronautical public service with CSEC... about what exactly I wanted to do up with you—Indeed!). Am easing Property Law. Would love to engineering (4T8). The first chapter Regards: Nick (Jack, Scratch) Daniels but my suggestion to all the new toward retirement, but clients won’t of the book discusses Ralph Waech- hear from others. Reach me at grads out there is do something let me! (See USPTO, LinkedIn and ter’s graduating class and the early [email protected] you love. You will never regret it. I 6T9 Avvo Websites for more.) work on aeronautics at the univer- 8T9 love my work and it makes it easier JAY BEATTIE sity. A later chapter discusses how to balance work and family. Follow MASC 6T9, PHD 7T5 JOHN LAZAROU Ralph once brought his son David ELECE 8T9 9T7 your heart. ECE thanks for giving For now, I will share with you this 7T6 along to the University of Toronto on KEVIN GIOR me so many opportunities! Just moved back to Toronto last MASC 9T7 photograph taken circa 1968. It is of PETER ARATO a Saturday afternoon to watch as year after 16+ years of working in eight graduate students in Electrical ELECE 7T6, MASC 7T9 he ran his deck of computer punch This year, I established an interna- Finance in New York. Engineering at U of T. I am one Undergrad UofT EE in the early 70’s cards through the card reader (at tional solution trading company, of them and the rest were visiting was a wonderful balance of learning the engineering annex building) Aewon Solutions Corporation, in my cabin on Gloucester Pool in and socializing. I was fortunate to for a special project. Little did he 9T0 Tokyo, Japan. Please feel free to the Severn River in Ontario. I don’t experience every aspect to the full- know that some years later, the contact me if you have any innova- SAM AHUJA tive solutions to offer in Japanese remember all their names so see est, including being a first year class same son would be running his ELECE 9T0, MASC 9T3 if alumni readers can identify them. rep, secretary of the engineering own punch cards through the same and Korean market. Looking for- We were mostly in the Power society, a sometime Lady Godiva system as an EE student. The book I shifted into software engineering... ward to hearing from you soon. who would have thought the Systems area working with Stuart member, and still somehow learn is titled “Flight Test: The Avro [email protected] principles of engineering and Robertson and Wasyl Janischewskyj. my profession well enough to enter Arrow and a Career in Aeronautical problem solving I learned in power the MASc program. My time at the Engineering” (available through Institute of Biomedical Engineering www.innerscale.com). systems could be applied to 0T2 software engineering and running was far more focused on academics KENAN HUSKOVIC and research with only a few neces- a business in general. I have fond ELECE 0T2 sary diversions such as the annual 8T0 memories making friends and sur- Enjoying life and work at MMM viving through engineering together! Slave Auction fundraiser. It was the SIMON DODGE Group Limited—a Canadian most enjoyable yet stressful time in ELECE 8T0 multi-disciplinary Consulting Engi- LAWRENCE LAI my life, and I hope eventually when Principal Engineer, zSeries Security ELECE 9T0 neering firm (mmmgrouplimited. I retire, I look forward to returning com). Reach out to me if you are Engineering/Strategy, WellsFargo Now in Hong Kong. to University and continue my Bank. Moved to Atlanta, Georgia, interested and want to discuss Jot a class note! Email your education. USA in 1985, married 1991. Specialist opportunities: [email protected] news to [email protected] Distinguished Lectures Series 2015 - 2016

DIRECTORY

Vincent Poor Kristin Pettersen Steven Low The Directory section contains a listing of the Princeton University Norwegian University of California Institute Sept. 17, 2015 Science and Technology of Technology research projects currently underway in ECE. Oct. 22, 2015 Nov. 12, 2015 Fundamental Limits on Infor- Snake Robots: A Robotic Solution for

mation Security and Privacy Fire ghting, Search and Rescue, and Control and Optimization of

Subsea IMR Operations Smart Grid

ECE. in underway currently projects research

the of listing a contains section Directory The DIRECTORY

Michal Lipson Susan Hagness Boris Murmann University of Columbia University Stanford University Wisconsin - Madison Nov. 19, 2015 Feb. 25, 2016 Jan. 28, 2016 Silicon Photonics: Mixed-Signal Circuits for The Optical Spice Rack Imaging Tissue and Treating Cancer with Microwaves the Data-Driven World

All lectures take place at 3:00 p.m. in room 1105 of the Sandford Fleming Building.

Ranu Jung Kathryn McKinley Florida International Microsoft Research University April 8, 2016 uoft.me/ece-dls March 17, 2016 Closing the Loop: Nerves, Programming the World of Machines and Interfaces Uncertain Things ECE RESEARCH DIRECTORY

THE EDWARD S. ROGERS SR. DEPARTMENT OF ELECTRICAL & COMPUTER ENGINEERING 10 KING’S COLLEGE ROAD, TORONTO ON M5S 3G4 / PUBLICATION MAIL AGREEMENT NUMBER: 42887022 DIRECTORY 3

This Directory contains a listing of all research projects AARABI, PARHAM • currently underway in ECE. Projects are listed ABDELRAHMAN, TAREK • alphabetically by principal investigator, and categorized ADVE, RAVIRAJ • by topic or field using six distinctive icons. AITCHISON, STEWART • AMZA, CRISTIANA • • ANDERSON, JASON • • BARDAKJIAN, BERJ • • BETZ, VAUGHN • • • BROUCKE, MIREILLE • BROWN, STEPHEN • • CHAN CARUSONE, TONY • • • COMMUNICATIONS CHENG, HAI-LING MARGARET • • Communications Equipment CHOW, PAUL • • Communication Systems and Services: Planning, Organization, Services DAVISON, EDWARD • • • Communications Technologies: Satellites, Radar DAWSON, FRANCIS • DRAPER, STARK • • • COMPUTERS ELEFTHERIADES, GEORGE • Computer Communications ENRIGHT JERGER, NATALIE • Computer Software/Hardware FRANCIS, BRUCE • ENGINEERING/SCIENCES FREY, BRENDAN • • GENOV, ROMAN • • Aerospace Electrical and Electronic Machinery, including Computer Hardware GOEL, ASHVIN • • Ground: Road and Rail GULAK, GLENN • • Instrumentation Technology and Equipment, Computer Hardware HATZINAKOS, DIMITRIOS • • • • Materials Sciences Mathematical Sciences HELMY, AMR S. • • • • • • Physical Sciences HERMAN, PETER • HUM, SEAN • • INFORMATION TECHNOLOGY IIZUKA, KEIGO • • Information and Communications Services IRAVANI, REZA • Information, Computer and Communication Technologies Information Systems and Technology JACOBSEN, HANS-ARNO • JOHNS, DAVID • • ENERGY JOY, MIKE • Alternative Energy Resources KHERANI, NAZIR • • Electrical Energy KHISTI, ASHISH • • • Energy Efficiency KSCHISCHANG, FRANK • Energy Resources: Production, Exploration, Processing, Distribution and Use Energy Storage and Conversion KUNDUR, DEEPA • • • • KWONG, RAYMOND • • • LIFE SCIENCES LEHN, PETER • Advancement of Knowledge LEON-GARCIA, ALBERTO • • • • Biomedical Engineering LEVI, OFER • • Human Health Life Sciences, including Biotechnology LI, BAOCHUN • • Medical Equipment and Apparatus LIANG, BEN • • • 4 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 5

of untagged images and videos. In turn, the computational Aarabi, Parham load can be addressed through FPGA-based hardware WWW.APL.UTORONTO.CA acceleration, which would enable the classification of an image or video to be performed in real time. Internet Video, Audio and Image Processing In the past few years, we have seen an exponential increase Abdelrahman, Tarek LIE, DAVID • in the number of videos and images that have been recorded and placed on the internet. Smart mobile phones (Blackberry, WWW.EECG.UTORONTO.CA/~TSA LIEBEHERR, JORG • iPhone, etc.) now enable seamless recording, transmission LISCIDINI, ANTONIO • • • and sharing of videos in near–real time. Whereas just two Automatic Performance Tuning for GPUs decades ago there were a few video broadcasters and LO, HOI-KWONG • publishers, today there are millions, if not billions. With all this Graphics Processing Units (GPUs) have been effectively used MAGGIORE, MANFREDI • • visual content, how do we find what we want? How do we to accelerate many applications. These many-core processors categorize the content? How do we develop search engines deliver a performance an order of magnitude higher than MANN, STEVE • • • • that bring order to visual content just as text-based search multicore cores at a fraction of the power. However, GPUs MOJAHEDI, MO • engines (Google, Bing, etc.) brought order to the textual require application developers to restructure, or optimize, their application codes to exploit the underling GPU MOSHOVOS, ANDREAS • • • web? With videos and images, there are of course certain tags manually entered by users that define and categorize architecture. These optimizations are tedious to apply, NACHMAN, ADRIAN • • them. However, the 10–15 words that usually accompany a may or may not benefit performance and interact with one NAJM, FARID • video or image can hardly describe the entire content and at another in non-intuitive ways. The goal of this project is to best help to generally categorize the video or image or add a ease this burden on programmers by developing compiler- NG, WAI TUNG • • • specific note regarding it. In fact, the vast amount of visual based automatic performance tuning of GPU applications. PAVEL, LACRA • • information online is untagged and inadequately described We explore two approaches. The first attempts to correlate and as a result is difficult if not impossible to find. Finding application code features with the effectiveness of the PHANG, KHOMAN • all images and videos is but one problem. The appropriate optimizations for a large set of training applications in order PLATANIOTIS, KONSTANTINOS N. (KOSTAS) • • • • categorization of visual content can result in more appropri- to build a machine-learning model. The compiler uses this ate contextual advertisements (leading to better monetization model to decide what optimizations to apply. In a second POON, JOYCE • • • • of visual web/mobile sites). It can help in finding duplicate approach, the space of possible optimizations is incrementally PRODIC, ALEKSANDAR • • versions of the same video or image (which is useful for explored using heuristics to determine a good set of optimizations to apply. The project also builds the necessary QIAN, LI • • • detecting copyright violations, among other applications). It can also help identify the important parts of a video segment compiler and run-time support for auto-tuning. ROSE, JONATHAN • or the most content-filled section of an image (which is useful SARGENT, EDWARD • • • for compressing videos and intelligently resizing images for mobile devices). The more information we can extract auto- Directive-Based Programming SARRIS, COSTAS • matically from images and videos, the more we can address and Optimizations for GPUs SCARDOVI, LUCA • • a range of practical problems including better search, better (and more contextual) monetization, of copyright violations, This project develops high-level programming models SHEIKHOLESLAMI, ALI • • • video compression, and intelligent image resizing, as well as for GPUs. More specifically, it involves the design and SMITH, PETER W. E. • • a broad range of other important applications. Although implementation of hiCUDA, a directive-based language for GPUs. The language facilitates programming GPUs SOUSA, ELVINO • different approaches to understanding visual information have been explored in the past, one of the most promising is through simple directives added to the sequential code while STUMM, MICHAEL • that of utilizing Extremely Large Datasets (ELDs). ELDs allow maintaining the well-adopted CUDA/OpenCL programming models, and does so with no penalty to performance. We TATE, JOSEPH (ZEB) • for greater accuracy in extracting information from images and videos, but in return require a substantially greater number developed a prototype hiCUDA compiler, which we have TAYLOR, JOSHUA • of computations for each image processed. Examples released to the public domain at www.hicuda.org. We are TRESCASES, OLIVIER • • • of successful ELD systems include the Tiny Images image currentlyextending this infrastructure to support directive categorization system, which used a database of 80 million -based optimizations for OpenCL and CUDA kernels. TRIVERIO, PIERO • • • • • tiny images for image classification, or the Tiny Videos TRUONG, KEVIN • • framework, which utilized a large library of videos for video classification. In both cases, the images and videos were High-Performance FPGA Overlays VALAEE, SHAHROKH • • resized to a “tiny” representation in order to minimize the Field Programmable Gate Arrays (FPGAs) offer massively VENERIS, ANDREAS • rather large computational load. We aim to extend these parallel resources that, if exploited by application developers, research directions by exploring new hardware and software VOINIGESCU, SORIN • • can deliver high levels of performance. However, the wide- solutions that enable real-time image and video searching spread use of FPGAs to accelerate applications is hindered WONG, WILLY • using large databases. Our goal can be described in the by (1) their low-level programming abstraction that requires following two phases: (1) algorithm research and develop- WONHAM, MURRAY • expertise in hardware design—expertise that application ment (including finding ways to utilize ELDs for better image developers often lack; and (2) the long development cy- YOO, PAUL • • and video understanding and improved visual classification cles associated with FPGA design tools, to which software YU, WEI • • accuracy); and (2) hardware acceleration of the developed developers are not accustomed. In this project, we design, algorithms in order to enable accurate real-time searching YUAN, DING • implement and evaluate overlays (FPGA circuits that are in of images and videos using ELDs. To summarize, using themselves programmable) that can make the use of FPGAs ZHU, JIANWEN • currently available images and videos that are either tagged by software developers easier. We designed and prototyped or partially tagged, it is possible to develop highly accurate an overlay architecture that projects the software model of (but computationally demanding) systems that use this pipelined dataflow graphs (DFGs). Instances of this overlay information for understanding and classifying vast amounts 6 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 7

architecture can deliver performance in the gigaflops range to the substance to be measured, for example, platinum for the programming of these applications, but can also improve and pathological brain electrical rhythmic activities and that scales with FPGA resources and are fast and easy to hydrogen detection. Using this approach it is possible to performance and scaling relative to that obtained by using (2) anticipate, then abolish, pathological electrical rhythmic program. We are current exploring compiler-based solutions develop a single chip with multiple sensors that could detect traditional locking techniques for code parallelization for the activities in the brain, such as epileptic seizures. The approach for automatic extraction of DFGs of applications; determining multiple gases, temperature, humidity and pressure. same application. is to characterize the spatiotemporal relations of the electrical the best overlay instance for a given application; extending rhythmic activities in neuronal populations and use cognitive the design of the overlay to multiple FPGA devices; and devices to classify the dynamical features of the biological exploring a just-in-time compilation framework for dynamically Amza, Cristiana Anderson, Jason neural networks in the brain. The cognitive devices developed and transparently translating binary code into overlay circuits. will be implemented as low-power hardware to be incorporated WWW.EECG.TORONTO.EDU/~AMZA JANDERS.EECG.TORONTO.EDU into the biological neural networks in a closed feedback loop. These will provide implantable devices to be used as Automated Self-Management Circuit and Architecture Techniques therapeutic tools for brain disorders. Adve, Raviraj in Cloud Environments to Improve FPGA Speed, Power, Area WWW.COMM.UTORONTO.CA/~RSADVE and Ease of Use The economy has been transformed by the delivery of web Adaptive Signal Processing for Wireless services over the Internet in the past three decades. Behind Field-programmable gate arrays (FPGAs) are computer chips Betz, Vaughn Communications and Radar Systems the scenes of web-based service delivery technologies are that can be programmed by the end user to implement any WWW.EECG.UTORONTO.CA/~VAUGHN large-scale, complex information systems. These information digital circuit. FPGAs can be thought of as “configurable” Our research takes two directions: (1) adaptive processing in systems consist of thousands of servers, which store client computer hardware, making them an ideal platform to realize Improved FPGA Architecture and CAD the physical layer in communication systems: exploiting the data in a consistent and persistent manner and are multi- application-specific hardware accelerators that are used in spatial and temporal dimensions to improve the quality, plexed among several applications. Many aspects of content tandem with standard processors to improve computational My team seeks to find both better architectures and better capacity and reliability of wireless communication systems; and delivery still currently depend on manual fine-tuning and throughput and energy efficiency. However, as programmable Computer-Aided Design (CAD) tools for a type of integrated enabling co-operation for energy savings in wireless sensor troubleshooting by humans. As a result, the service provider chips, FPGAs naturally consume more power, are slower, circuit — Field-Programmable Gate Arrays (FPGAs). FPGAs and data reliability and/or access-point networks. (2) signal is strapped, with huge human administrator costs for and use more area than fixed-function chips. In this research are a type of computer chip that can be reprogrammed to processing for radar systems: the detection, identification performance debugging, resource allocation to applications thrust, we are undertaking several circuits/architecture-related perform any function. As the cost of creating chips with and tracking of tactical targets in stressful interference and infrastructure configuration adjustments. This is a major projects to improve FPGA speed, area, power and ease of use. billions of transistors has risen to $100 million, most applica- environments using advanced signal processing techniques. impediment to the efficiency of this industry, limiting reinvest- tions cannot justify a custom-fabricated chip and instead are ment, research and development. To address this problem, we best served by a reprogrammable chip. Our research seeks investigate innovative self-diagnosis and adaptive reconfigura- LEGUP.EECG.TORONTO.EDU to find the best “architectures” for FPGAs — what function Aitchison, Stewart tion techniques for scalable and available information systems. blocks should they include and, perhaps even more importantly, We have designed and developed novel online performance High-Level Synthesis of Hardware Circuits how can we best programmably interconnect the huge PHOTONICS.LIGHT.UTORONTO.CA/AITCHISON number of function blocks in modern FPGAs? We are investi- modelling and anomaly detection algorithms and tools that from Software Programs form the basis for self-configuring, self-tuning and self-healing gating how the circuitry of FPGA programmable interconnect Nanophotonics for Optical Signal should be modified to take best advantage of the latest (22 nm servers. We use these techniques in our data centre laboratory High-level synthesis (HLS) raises the abstraction level for and below) process technologies. We are simultaneously Processing and Sensing towards automatically providing quality of service for a range hardware design by allowing a software program to be investigating a radical change to FPGA on-chip communica- of dynamic content services such as e-commerce, online automatically synthesized into a hardware circuit. HLS Our research falls within three areas: (1) electron beam tion in which we augment conventional FPGA programmable bidding and massively multi-player games. aims to offer the flexibility and ease of use associated with lithography and process development; (2) photonic wires for interconnects with packet-switched networks on chip. This software, along with the speed and energy advantages of wavelength conversion applications; and (3) photonic wires fundamentally raises the level of abstraction of communication customized hardware. Both of the major FPGA vendors have for optical sensing applications. In 2009, we officially opened System Support for Parallel and Distributed on the chip, but requires new CAD tools, which we are also been investing heavily in HLS in recent years, and there our new electron beam lithography system, which allows developing, to automate this new and different design flow. Software Transactional Memory has been much research on the topic in academia as well. features down to 10 nm to define across large areas. The We also seek to find new algorithms and computer-aided State-of-the-art HLS is nearing the point where software high beam current and low stitching errors possible with Because of the increase in complexity and ubiquity of large- design tools to allow FPGA designs to be completed more engineers are able to design hardware, with the quality of the this tool allow a wide range of structures to be patterned, scale parallel and distributed hardware environments, simpler quickly and to run at higher speeds and make more efficient HLS hardware produced being comparable to human-crafted including nanostructured surfaces for biology, sensing and parallel programming paradigms become key. Transactional use of the chip. In particular, we are looking at how to make implementations. LegUp is a high-level synthesis tool under photonics. Optical frequency conversion, based on second- or Memory is an emerging parallel programming paradigm for highly scalable placement and routing algorithms that can active development at the University of Toronto. LegUp third-order nonlinearities, provides a mechanism for generating generic applications that promises to facilitate more efficient, handle the latest chips, which contain billions of transistors, accepts a C program as input and automatically compiles new wavelengths and has applications in telecommunications programmer-friendly use of the plentiful parallelism available in a reasonable run time. Finally, we are also investigating the program to a hybrid architecture comprising a processor for agile channel allocation in a wavelength division multi- in chip multiprocessors and on cluster farms. We developed new areas in which FPGAs can accelerate computation. (a soft-core MIPS or a hardened ARM) and custom hardware plexed system and for the generation of mid-IR wavelengths and optimized libTM, a Transactional Memory library that A key application in this area that we are currently researching accelerators. Results show that LegUp produces hardware for optical sensing. The use of high-refractive-index-contrast can be used in connection with C or C++ programs. libTM is the modelling of photodynamic cancer therapy. By simulating solutions of quality comparable to that of commercial waveguides to implement wavelength conversion has many implements Transactional Memory (TM) for generic applica- the paths of millions of photons in complex human tissue, we high-level synthesis tools. LegUp is open source and freely advantages. The small core size increases the local intensity, tions, and it allows transactions on different processors (or seek to determine the best arrangement of fibre-optic probes downloadable (legup.eecg.toronto.edu), providing a powerful the waveguide structure can be used to dispersion engineer machines) to manipulate shared in-memory data structures to destroy a tumour (and minimize the exposure of healthy platform that can be leveraged for new research on a wide the waveguide to enable phase matching and resonators can concurrently in an atomic and serializable (i.e., correct) tissue) by activating a photosensitive catalyst only in a local range of HLS and hardware/software co-design topics. be used to further enhance the conversion efficiency. Under manner. There is no need for the application to do explicit area of the patient’s body. this theme we will use the almost ideal nonlinear properties of fine-grained locking by acquiring and releasing specific the III-V semiconductor AlGaAs to develop efficient wavelength locks on data items. Instead, a cluster-based run-time system conversion devices based on second-order nonlinearities automatically detects data races and ensures correct parallel Bardakjian, Berj Broucke, Mireille (difference frequency generation) and third-order effects execution for generic parallel programs. Any detected WWW.IBBME.UTORONTO.CA/FACULTY/CORE-FACULTY/ WWW.CONTROL.UTORONTO.CA/~BROUCKE (four-wave mixing). The ability to engineer the dispersion and incorrect execution resulting from a data race is rolled back BERJ-L-BARDAKJIAN/ field profile in a nanowire waveguide has applications in optical and restarted. In this project we have focused on reducing Control for Complex Specifications sensing. By narrowing the waveguide and incorporating a the software overhead of run-time memory access tracking Bioengineering of the Brain photonic crystal or defect state, it is possible to control the and consistency maintenance for Transactional Memory The field of Systems Control has traditionally been focused overlap of the optical field with the sensing material. Typically support. We currently support applications with highly dynamic The main themes of the research fall within the general field on steady-state control specifications in the form of stabilization sensing can be done through a change in refractive index, access patterns, such as massively multiplayer games. of neural engineering and, in particular, bioengineering and tracking. The goal of the project is to develop a theory or absorption of an intermediate material which is sensitive We have shown that Transactional Memory not only simplifies of the brain. The purpose is to (1) characterize both normal 8 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 9

of control for complex specifications, in particular enabling mechanical sources of interference. Digital signal processing Even in consumer applications demanding multi-Gb/s Quantitative Magnetic Resonance systematic methods of design and control of the transient may be used to ultimately extract our target signal, but this throughput, optical cables are attracting increasing interest Imaging of Microvascular Physiology phase of a dynamic system. These complex specifications requires digitization of both the desired information-bearing because of their light weight, flexibility and thin diameter. may include safety and liveness specifications, logic-based signal and the dominant sources of interference, thus To exploit the fundamental advantages of optical communi- The microvasculature, or the nutritive blood vessels in our specifications and temporal specifications. Problems placing considerable burden on the analog front end and cation in these areas, we develop highly integrated, dense body, is essential to maintaining tissue health. Loss of proper of control with complex specifications arise in all of the analog-to-digital converter (ADC). We seek to perform mixed and low-power optical transceiver circuits. We prototype microvascular function underlies a wide range of conditions, disciplines that apply Systems Control, ranging from analog/digital cancellation of the interference, thus relaxing our developments in the most advanced integrated circuit including cancer, stroke, and cardiovascular disease. robotics to process control. the requirements on analog amplifiers, filters, and ADCs. technologies available. This is why being able to evaluate the health of these small We apply our work to problems in wireless communication blood vessels is important for diagnosis and assessment of and sensor interfaces. treatment effect. Yet, despite the ability of current advanced Patterned Linear Systems Ultra-Short-Reach technologies to probe microvascular function—such as Chip-to-Chip Communication blood flow—in a non-invasive manner, it remains very difficult Complex dynamic systems that are made of a large number Energy-Efficient I/O for Supercomputing to detect the early signs of microvessel dysfunction. In this of simple subsystems with simple patterns of interaction Our capacity for digital communication continues to increase research program, we are developing new non-invasive arise frequently in natural and engineering systems. There is Supercomputing infrastructure has reached an industrial as we integrate more and more functionality into fewer electronic imaging methods based on magnetic resonance imaging generally no overarching theory that explains the phenomena scale. The video, search and cloud computing services components. Integration enables lower-cost, smaller-size to find those early changes sooner than we can today, in the exhibited by such systems. We have introduced a class on which our modern economy relies are delivered from systems with lower power consumption. Unfortunately, our hope that early detection will enable early intervention for of linear control systems called patterned systems, which warehouse-sized facilities housing thousands of individual ability to integrate complete communication systems onto improved outcome. mathematically capture the structure of a collection of identical servers. The energy consumption of these facilities is a single chip today remains limited by small but finite defect subsystems with a fixed pattern of interaction between enormous, with significant economic and environmental rates during chip fabrication, which limit the maximum number subsystems. The project involves developing a control theory consequences. It is therefore very frustrating that these of transistors that can be reliably integrated. In addition, the for patterned systems and our approach is based on the distributed supercomputers are designed to be most energy need to combine different fabrication technologies to realize, Chow, Paul geometric theory of linear control systems. The aim of our efficient when operating under peak load: most of the time for example, a high-density memory alongside high-speed WWW.EECG.TORONTO.EDU/~PC/ study is to determine if patterned systems may provide a these facilities operate at 10–50% of their peak utilization transmitter and receiver circuits, makes integration challenging. template for the development of a more unified framework in order to ensure robust service provisioning. Under these Emerging dense interconnect technologies may offer a path FPGAs for Cloud Computing Platforms— for dealing with systems, typically distributed, which consist normal-use conditions, they operate at 15–65% of their peak forward. These technologies place multiple chips in close Virtualization and Applications of subsystems interacting via a fixed pattern. energy efficiency. Our research strives to improve the energy proximity and connect them with densely packed wires that efficiency of warehouse-scale computers under all normal- may be less than 1 cm long. Our long-term vision is to use Field-Programmable Gate Arrays (FPGAs) are a programmable use conditions. Specifically, the interconnections within these these ultra-short-reach (USR) links to interconnect multiple hardware resource that can be used to build application- Brown, Stephen distributed computing environments are targeted. Without chips so seamlessly that system performance can scale with- specific hardware accelerators for many types of computation progress on the digital input/output (I/O) circuits at either end WWW.EECG.TORONTO.EDU/~BROWN out bottlenecks. We are developing demonstration platforms and network processing. In a cloud-based platform, the of these interconnects, their share of overall server power for USR links, including transceiver circuits that are extremely hardware of the computing resources is abstracted from will increase to over 50% in the next decade. We develop I/O small and consume very little power. the user in the form of a virtual machine that looks uniform CAD and Architecture for FPGAs integrated circuits and subsystems that are capable of near- regardless of the physical hardware platform. This project zero energy consumption when idle, sub-nanosecond My research is focused on many different aspects of field- explores (1) how to place FPGAs into this virtualized wakeup times, and the ability to intelligently and autonomously programmable gate array technology, including the design environment when using Open Stack; and (2) how to scale scale power consumption over a decade or more of bandwidth, Cheng, Hai-Ling Margaret of the chip architectures and the algorithms that are used to up heterogeneous programming environments to work in from a few Gb/s per lane up to 50–100 Gb/s per lane. IBBME.UTORONTO.CA/FACULTY/CORE-FACULTY/H-L-M-CHENG implement circuits in these devices, as well as applications a large-scale heterogeneous environment. The prototyping Leveraging our lab’s past work on burst-mode clock and data of FPGAs. In addition to my faculty position at the University platform is the SAVI Networks platform (savinetwork.ca) where recovery circuits and low-power injection-locked oscillators, Cellular Magnetic Resonance Imaging for of Toronto, I maintain an active involvement in the Altera real applications are being built to drive the development of we utilize nanoscale CMOS technologies to create integrated Non-invasive Cell Tracking and Monitoring Toronto Technology Centre, where I provide direction for the the programming environment as well as to characterize the circuit prototypes of these subsystems. Considering a 220 University Program that is offered by Altera. By combining behaviour and performance of large-scale systems. TWh annual energy budget for supercomputers worldwide, The ability to see cells inside a living body can transform my involvement in both the University of Toronto and Altera, and the importance of Canada’s ICT sector, we are very how we detect and diagnose disease and monitor treatment. it has been possible to develop research results that are both excited about the impact our work will have. From differentiating healthy from unhealthy cells, to tracking Internet-Scale Memory Systems interesting from the academic point of view and of practical therapeutic cells that are injected into the body, cellular use when implemented in an industrial-quality CAD tool. My imaging is an active biomedical research area. Yet, when With the vast amount of data accessed and stored using current research effort is in the area of CAD flows for FPGA Highly Integrated Optical Transceivers we think of cellular imaging, we usually think of looking at the Internet, new memory architectures are required to host devices and specifically in the development of new methods samples under a microscope. This research program strives the data that can provide low latency access, low power of efficiently compiling high-level language code (such as C Optical fibre is already the dominant communication medium to develop a similar capability to look at cells using magnetic dissipation and a compact form factor. Current systems use code) into circuits that can be implemented in FPGA devices. for high data rates over long distances. However, there is resonance imaging (MRI), for non-invasive, deeptissue the collected main memory of a cluster of high-end servers increasing interest in the use of optical fibre for communication penetration in a living subject. Our goal is to improve for an application that does not need the computation power over shorter distances. For example, in rack-mounted detection sensitivity and specificity so as to make MRI the of such systems. This project explores the intrinsic require- Chan Carusone, Tony computing and storage environments, where the cost of technology of choice for non-invasive human cellular imaging. ments of such systems without the constraint of using ISL.UTORONTO.CA operating the equipment over its lifetime now exceeds its common compute server platforms. Field-Programmable initial purchase cost, optical communication becomes Gate Arrays will be used to develop more flexible, increasingly attractive at data rates of 25+ Gb/s. At these Magnetic Resonance Imaging Digitally Assisted Analog Front Ends application-specific and novel architectures for building data rates, the losses inherent in communication over for Tissue Engineering Internet-scale “big data” memory systems. copper cables cause it to consume more power than optical When sensing signals in the physical world, undesired communication, impacting energy costs. Optical fibre’s thin This broad research program aims to advance the capabilities interfering signals with an amplitude much larger than the diameter permits better airflow (hence, reduced cooling of non-invasive magnetic resonance imaging (MRI) for enabling targeted signal of interest often arise. For example, the costs) and easier maintenance than copper cables. Fibre’s critical advances in tissue engineering and regenerative signals received by an antenna include many channels with immunity to electromagnetic interference is attractive for medicine. Work focuses specifically on MRI on a physiological, widely varying signal strength. In sensor interfaces, the automotive and other harsh environments. Moreover, optical cellular, and molecular level to tackle difficult tissue-engineering sources of interference are manifold, including power supply fibres can be routed in tight bundles with much less problems such as angiogenesis and cell-based therapy. noise, electrical noise from neighbouring circuits, and even crosstalk than copper wires, making it a scalable medium. 10 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 11

Programming Models and Architectures Draper, Stark large-scale memory structures such as the last-level cache microwave and optical microscopy, detection and sensing, (LLC) cannot be guaranteed to operate reliably. In this project advanced hardware for wireless communications, wireless for Reconfigurable and Heterogeneous WWW.ECE.UTORONTO.CA/PEOPLE/DRAPER-S Computing Systems we combine techniques from error-correction coding with power transfer, reduction of interference, space technology, architectural insights to redesign LLCs to improve low-voltage satellite communications, radar, defence, solar-cell Exploiting Feedback to Architect Streaming performance. Our initial results show that joint optimization concentrators, , infrared focal-plane This research investigates approaches to computing using Digital Communication Systems for Short systems of multiple, heterogeneous computing devices. of device size, redundancy, and amount of error-correction arrays and many more. Examples of devices include small The heterogeneity addresses the need for special-purpose Delays and High Reliability can yield significant savings in chip area (up to 27% reduction antennas, multi-functional RF/microwave components accelerators that provide performance or other efficiencies, in LLC area for a minimum operating voltage of 600 mV in (including active devices), sub-diffraction imaging lenses In this project we reexamined the architectural thinking that such as more efficient energy usage. A key focus is the use of 32-nm technology). We use these insights to design a novel and probes (even operating in the far field), ultrathin lenses, underlies digital communication systems. This architecture Field-Programmable Gate Arrays (FPGAs), a form of configur- heterogeneous cache architecture that dynamically adjusts invisibility cloaks and related “transformation optics” lenses, was not designed with increasingly important real-time able hardware. Such systems can be found in an embedded the available cache size to match real-time computational plasmonic optical circuits, plasmonic waveguides and nano delay-sensitive streaming and collaborative applications in device or in high-performance computing systems. Important demands. By combining larger cells for lower-voltage antennas. Research includes both experimental work and mind. Applications such as high-end video conferencing, issues being addressed are better methods for programming, operation with smaller cells for higher-voltage high- fundamental theory. Our research is supported by several vehicular networks, machine-to-machine communications, testing and debugging and system architectures. Much of the performance operation, the heterogeneous design provides industrial partners, government agencies and laboratories. and the coordination and fast reconfiguration of distributed research is driven by applications. One aspect is to work an additional 15%–20% reduction in LLC area at negligible Graduates from our group have been quite successful in systems such as factory robots, demand high-reliability with users of high-performance computing facilities and help average run-time increase. securing faculty positions in academia (e.g., UMich, real-time data delivery under strict deadlines. In preliminary them to improve performance through better algorithms and UAlberta, McGill, U of T and UBC) and industry (e.g., Apple, work we have shown how to realize astonishing improve- the use of accelerators implemented with FPGAs and/or GPUs. AMD, Google, Blackberry, Freescale and Motorola). ments in the reliability of communications at short delays The Privacy/Security Trade-Off across Jointly by smartly incorporating receiver-to-sender “feedback” Designed Biometric Authentication Systems into streaming data systems. In this project we will continue Davison, Edward to develop the fundamental theory and will also develop the In the area of secure biometrics, work has been done to build Enright Jerger, Natalie WWW.CONTROL.UTORONTO.CA/PEOPLE/PROFS/TED error-correcting codes and decoding algorithms required an information-theoretic framework characterizing privacy WWW.EECG.TORONTO.EDU/~ENRIGHT/ to implement these ideas in practice. Finally, we will and security of single biometric systems. People have worked Control of Large-Scale develop a wireless testbed that will consist of a number extensively on designing such systems, some crypto-graphic Approximation Computing for Communication Decentralized Systems of wireless devices in which we can prototype our new in nature, and others tied to error-correcting codes. However, architecture and algorithms. there is still little known about security and privacy across Approximate computing explores opportunities that emerge when applications can tolerate error or inexactness. These Our research is focused on the control of large-scale systems, multiple jointly designed systems. This work will focus on the applications, which range from multimedia processing to where only limited information about the overall system is privacy/security trade-off across multiple “secure sketch” machine learning, operate on inherently noisy and imprecise available to the control agents of the system. Such systems Large-Scale Linear Programming Decoding via biometric systems. Secure sketch is a type of biometric data. As a result, we can trade off some loss in output value occur often in modern industrial society, for example, in the Alternative Direction Method of Multipliers system architecture related to error-correcting codes where a integrity for improved processor performance and energy chemical engineering, electrical power systems, aerospace system is characterized by a parity-check matrix over a finite efficiency. Memory accesses are costly both in terms of systems, transportation systems, building temperature control When binary linear error-correcting codes are used over field, or equivalently by a subspace of a vector space over latency and energy. We are exploring microarchitectural systems, large flexible space structures and pulp and symmetric channels, a relaxed version of the maximum that same field. Given a set of systems (a design), we technques that leverage approximation to reduce the cost paper control systems, as well as in other areas such as likelihood decoding problem can be stated as a linear introduce worst-case measures of privacy leakage and security of data storage and data communication. For example, we management science and biological systems. Problems program (LP). This LP decoder can be used to decode at in case a subset of the systems becomes compromised. explore load value approximation, a novel microarchitectural that immediately arise from large-scale systems are current bit-error-rates comparable to state-of-the-art belief propaga- It turns out that more secure designs are necessarily less technique, to learn value patterns and generate approximations areas of research: decentralized control, intelligent control, tion (BP) decoders, but with significantly stronger theoretical private and vice versa. We study the trade-off between privacy of the data. The processor can use these approximate data fault-tolerant control and the control of unknown systems. guarantees. However, LP decoding when implemented and security by relaxing a restricted version of the problem, values to continue executing without incurring the high cost A direct application of this research is presently being with standard LP solvers does not easily scale to the block by studying the algebraic structure of the problem, and by of accessing memory, removing load instructions from the used in the control of large flexible space structures, lengths of modern error-correcting codes. In this project we formulating graph theoretic questions. These approaches critical path. Load value approximation can also be used to earthquake-resistive building structures, and electric power draw on decomposition methods from optimization theory, generate bounds on achievable privacy/security pairs. inhibit approximated loads from accessing memory, resulting systems with particular focus on microgrid systems and specifically the Alternating Direction Method of Multipliers in energy savings. spinal cord injury patients. (ADMM), to develop efficient distributed algorithms for LP decoding. The key enabling technical result is a nearly linear- time algorithm for two-norm projection onto the Eleftheriades, George parity polytope. This allows us to use LP decoding, with all WWW.WAVES.UTORONTO.CA/PROF/GELEFTH/MAIN.HTML Interconnect Solutions for Dawson, Francis its theoretical guarantees, to decode large-scale error-cor- Interposer-Based Systems WWW.ELE.UTORONTO.CA/~DAWSON recting codes efficiently. Our approach has the potential to Engineered Materials (Metamaterials) from solve longstanding issues of great industrial importance such Microwave to Optical Frequencies Silicon interposer technology (“2.5D” stacking) enables the Improving Energy Efficiency of Energy as the “error-floor” problem of low low-density parity-check integration of multiple memory stacks with a processor chip, thereby greatly increasing in-package memory capacity Conversion Processes (LDPC) codes, the existence of which has slowed the We are developing paradigm-shift metamaterial devices and adoption of these state-of-the art codes for applications subsystems, and related technologies from RF/microwaves while largely avoiding the thermal challenges of 3D stacking General research interests are in the area of modelling requiring ultra-low error rates, such as magnetic storage. to optical frequencies. Metamaterials are engineered materials DRAM on the processor. Systems employing interposers for systems powered by electrical energy. At the component with unusual electromagnetic properties. Such properties memory integration use the interposer to provide point-to- level, the current focus is on developing improved models include negative refraction, enhanced evanescent waves point interconnects between chips. However, these that can describe the electric and thermal fields in electro- Re-architecting Last-Level Caches through resonant amplification and sometimes a negative interconnects only utilize a fraction of the interposer’s overall chemical storage devices. The objective is to use reduced for Low-Voltage Operation group velocity. Our vision is to develop metamaterials that routing capacity, and in this work we explore how to take order multiphysics models to develop energy management can manipulate and control electromagnetic waves, much advantage of this otherwise unused resource. We are controllers that can extend the life of an energy storage Power management is a first-order priority in the design of as conducting wires manipulate the flow of electrons. Both exploring general approaches for extending the architecture device. At the system level, the objective is to determine modern processors. Dynamic voltage/frequency scaling three-dimensional volumetric and surfaces (metasurfaces) of a network-on-chip (NoC) to better exploit the additional the system architecture and control philosophy that lead to (DVFS), wherein operating voltage is lowered in step with metamaterials are being developed. A recent effort concerns routing resources of the silicon interposer and to take an optimal integration of energy storage devices and power reduced computational demand, is one of the most successful the development of ultrathin metasurfaces for wavefront advantage of new opportunities afforded by the interposer. converters, subject to a specific generating and electrical and widely adopted power reduction techniques. However, manipulation, such as refraction (bending of incident plane We propose an asymmetric organization that distributes the load profile. Other areas of interest include the modelling increased process variability with technology scaling imposes waves or Gaussian beams), lensing and controlled beam NoC across both a multicore chip and the interposer, where of thermoelectric and piezoelectric devices. limits on the minimum operating voltage. Below this minimum formation. Application areas include super-resolution each sub-network is different from the other in terms of the 12 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 13

traffic types, topologies, the use or non-use of concentration, fabrics and uncore, interconnect and system power Data Analysis and the Affinity Genov, Roman direct vs. indirect network organizations, and other network management. Within these topics, we are specifically exploring Propagation Algorithm attributes. Through experimental evaluation, we show that solutions to integrate cache coherence protocol traffic WWW.EECG.UTORONTO.CA/~ROMAN exploiting the otherwise unutilized routing resources of the analysis into the early-stage on-chip network design Summarizing data by identifying a subset of representative Portable, Wearable and Implantable interposer can lead to significantly better performance. space exploration and protocol-level information into the examples is important for scientific data analysis and in quality of service and DVFS mechanisms of the on-chip engineered systems. Such exemplars can be found by Sensory Biomedical Electronics network. These two thrusts will span issues of correctness, randomly choosing an initial subset of data points and then We are heading the Intelligent Sensory Microsystems Semantically Rich Networks energy/performance efficiency and scalability. Current iteratively refining it, but this only works well if that initial Laboratory at the University of Toronto. Members of our for Many-Core Architectures techniques to simulate on-chip networks are either time- choice is close to a good solution. Dr. Frey’s group developed laboratory conduct research on analog and digital VLSI consuming or lack accuracy in the resulting performance a new method called affinity propagation, which takes as circuits, systems and algorithms for energy-efficient signal Parallel architectures are rapidly becoming ubiquitous. To and power estimates. Our new traffic models will accelerate input measures of similarity between pairs of data points. processing with applications to electrical, chemical and leverage the computational power of these multiple cores, on-chip network simulation and allow researchers to reach Real-valued messages are exchanged between data points photonic sensory information acquisition, biosensor arrays, communication between cores or devices is essential. This stronger conclusions about system performance at an early until a high-quality set of exemplars and corresponding brain-chip neural interfaces, CMOS imagers, parallel signal project looks at streamlining the communication between design stage. These models accurately capture sharing clusters gradually emerges (Frey and Dueck, Science 2007). processing, adaptive computing and implantable and cores via on-chip network innovations to increase its behaviour and the interaction of dependent messages in the Because of its simplicity, general applicability and perfor- wearable biomedical electronics. efficiency. The most efficient network design — the one coherence protocol. These models are parameterized mance, the affinity propagation algorithm is widely used in that provides the greatest performance at the lowest cost to allow a wide diversity of systems to be simulated with science and engineering. In the past year, an online web tool (area and power) — will be one that most closely matches rapid turnaround times. developed by Dr. Frey’s group was accessed over 100,000 the functionality required by an application. However, times by over 3,000 users (unique IP addresses), 600 of Goel, Ashvin customized, application-specific networks are not appropriate which were from Canada. Google returns over 10,000 hits WWW.EECG.TORONTO.EDU/~ASHVIN for general-purpose many-core architectures since they Francis, Bruce for the search term ‘affinity propagation’. Dr. Frey’s method run many diverse applications. Therefore, we focus on has been applied to solve problems in biology, genetics, communication behaviours that are evident across a range WWW.SITES.GOOGLE.COM/SITE/BRUCEFRANCISCONTACT Binary Instrumentation genomics, medicine, physics, chemistry, telecommunications, of Operating Systems of workloads, specifically behaviour exhibited by cache electronics, archeology, economics and social networks. coherence protocols. Cache coherence protocols introduce Retired from teaching and research, communication overhead and can substantially impact now writing textbooks A binary instrumentation system enables the monitoring and manipulating of every instruction in an executing binary. Binary performance, as many of these operations lie on the critical WWW.GENES.TORONTO.EDU path. Examining several coherence protocols, we note the I have retired from teaching and research and am now instrumentation systems have been used for developing writing textbooks: bug-finding and security tools. For example, Memcheck uses presence of coherence primitives that use multicast and Deciphering the Human Genetic Code reduction operations. Our reduction routing combines (1) Flocking and Rendezvous in Distributed Robotics binary instrumentation to detect various types of memory errors (co-authors are Bruce Francis and Manfredi Maggiore). dynamically, such as accessing memory after it has been redundant messages (such as acknowledgements) during Despite widespread claims that the human genome has (2) A Brief Course in Digital Signal Processing freed. We have developed a binary instrumentation system their network traversal to reduce network load. This insight provided a “book of life,” it turns out that it is very difficult (co-authors are Bruce Francis and Deepa Kundur). for the Linux operating system. We aim to use this system to and router architecture can be more broadly applied to any to understand how genes stored in the genome encode many-to-one communication pattern. In addition to many- develop tools to find memory bugs in the Linux kernel and to the actual genetic messages that control the life, death and harden the kernel against buggy device drivers. This is joint to-one and one-to-many messages that are common to ongoing activities of the cells comprising all human tissues. many coherence protocols, coherence protocols exhibit work with Professor Angela Demke Brown of the Department Frey, Brendan In the words of the famous genomics researcher Eric Lander, of Computer Science. additional exploitable behaviour. For example, these WWW.PSI.TORONTO.EDU “Genome: Bought the book, hard to read.” Recently, Professor protocols are characterized by having a mix of long and Brendan Frey and his research team discovered a fundamen- short messages; cache lines (data messages) represent tally new view of how living cells “read the genome” and use End-to-End Data Reliability long messages while coherence requests (control messages) Algorithms for Inference and Machine Learning a limited number of genes to generate enormously complex are typically only a few bytes long. Existing theories for tissues such as the brain. In a paper that was published in the The goal of this project is to ensure data integrity in the face deadlock freedom in fully adaptive routing require a May 6, 2010 issue of Nature and featured on its cover, Dr. Frey of software bugs. Currently, the project is focused on improving conservative virtual channel allocation scheme. We show Dr. Frey’s group develops new inference theories that can be used for probabilistic and statistical inference in large-scale describes research conducted by his team. They developed the reliability of file-system software. When file systems that this restriction severely limits performance for short a computational technique based on probability, statistics are buggy, they can cause data corruption and persistent coherence control messages. We proposed a novel flow systems, such as those that arise in telecommunications, robotics, genetics, genomics, vision and signal processing. and machine learning and used it to reveal a second level of application crashes. We are developing a system that ensures control technique, whole packet forwarding that accelerates information hidden in the genome that can account for the that a file-system disk image will remain consistent in the the handling of short coherence messages in the network Dr. Frey is co-author of an article that introduced the factor graph and associated sum-product and max-product exponentially greater complexity required to create a human face of arbitrary file-system bugs. The key idea is to verify all to improve performance and efficiency. An important feature being. The work of Dr. Frey’s team was reported in the Globe file-system operations that update the disk at run time using of these solutions is the low hardware overhead they incur. algorithms (IEEE Trans Info Theory 2001). A factor graph is a method for decomposing high-order probability models and Mail, the Toronto Star, on CBC Radio, BBC Radio and a well-defined set of consistency properties. This is joint Small hardware modifications and modest additional logic in a variety of other national and international news. Dr. Frey work with Professor Angela Demke Brown of the Department are required to support each of the above-mentioned into simpler terms, so that the sum-product or max-product algorithm can be used to efficiently perform inference. A leads an ongoing, multi-year project whose goal is to infer the of Computer Science. designs. These optimizations yield significant throughput coding mechanisms underlying the regulation of genes. The and latency improvements for a variety of workloads. search for “factor graph” on Google returns over 40,000 hits. Other methods developed by Dr. Frey and his colleagues project involves experimental collaborators from the Centre include variational methods for inference in large-scale for Cellular and Biomolecular Research at the University of Gulak, Glenn Simulation Methodologies nonlinear Gaussian models (Neural Comp 1999), the Toronto, along with international collaborators. Several of the students and postdoctoral fellows who have graduated from WWW.EECG.TORONTO.EDU/~GULAK for On-Chip Networks “wake-sleep” algorithm for unsupervised learning (Science 1995), cumulative distribution networks (NIPS, UAI 2008) Dr. Frey’s lab have subsequently taken faculty positions at leading universities, including UPenn, UNC and Harvard. VLSI for Digital Communications On-die communication fabrics represent a critically important and loopy belief propagation algorithms for low-level vision aspect in the design of future many-core computer systems. (CVPR 2000), phase-unwrapping of medical and satellite In the area of digital communications, we have continued to As systems scale to increasingly large numbers of on-die images (NIPS 2001), exemplar-based clustering (Science develop several practical ways to improve the performance agents, the on-die communication fabric will factor dramatically 2007) and facility location (AISTATS 2010). and implementation of wireless systems that use multiple into both the performance and the power consumption of antennas (MIMO) for improved diversity and capacity. One of future architectures. This research focuses on two challenges the key elements that we have investigated is the subsystem in the design of on-die communication fabrics: physically in the baseband responsible for data detection. A key aware performance and area optimization for communication contribution is the creation of an innovation that we call an 14 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 15

on-demand K-best algorithm (a breadth-first search technique) the phase distortion in such weak signals will introduce an used to support them. The panoply of envisioned applications light propagating parallel to the epitaxial layers. Bragg reflection whose complexity scales linearly with constellation size. intolerable level of uncertainty. Also, it may be difficult to includes effective, responsible and sustainable monitoring and lasers enable the realization of high-power single-mode This innovation is key to supporting higher-order modulation control the timing with the precision required. The most likely governance in structural health, disaster relief, and transportation lasers and amplifiers with larger mode volumes, higher gain schemes such as 64-QAM and 256-QAM systems that will method will be a signal-strength–related one utilizing several and law enforcement, as well as public safety and security. coefficients and stronger mode discrimination in comparison appear in next-generation communication standards, neces- Yagi antennas pointed in different directions. Instantaneous During our collaborative effort, we will undertake three main to their counterparts. Moreover, this class of novel lasers sary for Gbps performance. We have implemented and tested simultaneous detection is possible on several receivers, tasks: (1) Creation of sensor hardware that employs redundant empowers applications related to nonlinear frequency con- our algorithm in 0.13 um CMOS and have generated the which could be compared and interpolated to estimate a architectures, fault-tolerant methods and nano-enabled version in monolithically integrated optoelectronic integrated best-known results published in the literature to date, with direction. Several samples could be used in sequence to materials to ensure system integrity, minimize sensed circuits. This research focuses on using this class of lasers to respect to data rate, power efficiency and area. Our results refine the direction. Other systems have been built in the past false-positives, increase sensor sensitivity and ease interaction develop high-performance single-mode lasers for realization have been extended to soft detection and tested with CMOS utilizing similar techniques; however, they did not have the with short-range wireless radios. The proposed research will of electrically injected monolithic optical parametric prototypes for use with iterative FEC decoding schemes. We processing power or hardware necessary to provide integrate these aspects in a flexible and low-cost hardware oscillators. These chip-based sources can provide continuous have also made recent contributions to an important channel simultaneous detection in all directions and comparison framework. Several types of optical, electrochemical and coverage of spectral regions, which are not accessible by preprocessing block found in all MIMO systems, namely that of the signal from the same pulse. Comparing successive biological sensing techniques will be investigated, including a other technologies such as quantum cascade lasers. of QR decomposition, a function needed for decomposing pulses on different antennas would add increased uncertainty quantum dots composite-based authentication-at-a-distance Examples of niche applications served by this unique the channel matrix. Our key contribution in this area is the due to likely variations in signal strength from pulse to pulse. architecture with unambiguous authentication and visual platform include sources for environmental and biomedical development of both algorithms and a 0.13 um CMOS This project requires knowledge in the areas of RF antennas association under all weather conditions, such as fog, rain sensing elements in the 1–9 µm window and chip-based THz implementation that demonstrates the world’s lowest (best) and propagation, digital signal processing, digital hardware, and snow. (2) Creation of system software and middleware for spectroscopy sources. These sources play a pivotal role in processing latency. Another area of recent accomplishment real-time systems and C programming. Most development the extraction, processing and characterization of real-time enabling high-resolution, high-sensitivity chemical sensing is in a channel preprocessing element known as Lattice tools are low or no cost, requiring a typical PC for development. sensed data. One of the unique contributions of this task and environmental monitoring applications due to their Reduction, which can be used to mitigate scattering and A spectrum analyzer, oscilloscope, and test range will also involves the advancement of innovative mobile social network- superior tunability and spectral brightness. For example, antenna correlations that exist in practical MIMO systems. be required for various aspects of the project. ing technology, which has the secondary benefit of enhancing certain molecules containing carbon-hydrogen bonds have Lattice Reduction is a baseband signal processing algorithm next-generation voice, video and data transfer in addition to infrared footprints within the 2–3 µm spectral window. to re-orthogonalize the signal space with the objective of security/privacy methodologies. The University of Toronto will In addition, H2O exhibits significant absorption features improving BER performance. We have developed several WWW.COMM.UTORONTO.CA/~BIOMETRICS/MEDICAL leverage AD Telecom’s extensive, state-of-the-art infrastructure around 2.5 µm, which can be used for in-situ combustion algorithmic innovations and the world’s first CMOS prototypes to collect massive amounts of sensor data in order to provide measurements of moisture and temperature. This spectral for Lattice Reduction; the concepts developed will be Medical Biometrics critical functionality for (i) management of inconsistent and window is not covered with quantum cascade lasers and particularly attractive for low-power implementations. uncertain data; (ii) lightweight data integration; (iii) data cleaning can benefit from a broadly tunable coherent source. We were Future work focuses on next-generation wireless OFDM The cardiovascular system offers a variety of physiological and social network analysis; and (iv) various enhanced security able to recently demonstrate such a source using the devices baseband signal processing algorithms and their high- signals that can be used as biometrics. While modality such functions for device authentication and data protection under described above. In addition we are also able to develop performance, low-power CMOS realization. as the electrocardiogram (ECG) is still relatively novel, it is a wide range of attack scenarios. (3) Creation of innovative sources with no moving parts to cover extended regions of increasingly garnering acceptance as a useful biometric tool, energy-conserving, capture and storage technologies that the spectrum using a single device. As an example, efforts because of some unique characteristics. Existing solutions use novel nanoscale materials, energy harvesting methods are underway in the group to cover the 7–11 µm window of Hatzinakos, Dimitrios for biometric recognition from electrocardiogram (ECG) and renewable energy resources to supply consistent power radiation to be able to test for most known explosives. signals are based on temporal and amplitude distances to sustain autonomous sensor networks. The research on between detected fiducial points. Such methods rely heavily self-powered sensor energy systems will focus on five major Automatic Detection System on the accuracy of fiducial detection, which is still an open areas: (i) power conditioning and conservation; (ii) electro- Monolithic Microwave Photonics for Tracking of Bees problem due to the difficulty of exact localization of wave magnetic energy harvesting; (iii) solar energy harvesting; and THz Pulse Sources with boundaries. To avoid fiducial points detection, the signal is (iv) vibrational-thermal energy harvesting; and (v) energy Ultralow Phase Noise This is a project in collaboration with Sigma-Eight Incorpor- processed holistically, using second-order statistics. Our storage. The ultimate target is low-cost, miniaturized, readily ation (SEI), in Witby, Ontario. Recently, SEI was approached autocorrelation-based method is a very simple and effective integrable, 24/7 energy generation systems that can sustain Widely tunable, stable photonic-based microwave and pulse by the New Zealand Institute for Plant and Food Research approach that does not require any waveform detection. on the average a few hundred mW pulses, as well as sources are indispensable for numerous fields of applications Limited (PFR), which is a Crown Research Institute located It depends on estimating and classifying the significant support continuous current draw at mA scale. Several, if such as in telecommunication systems, radar systems and in Auckland, NZ. PFR is attempting to find ways to enhance coefficients of the Discrete Cosine Transform (AC/DCT) or the not all, of the energy generation techniques will undoubtedly modern metrology. These sources are often bulky and require yield from bee populations by creating smarter growth-focused Linear Discriminant Analysis (AC/LDA) of the autocorrelation be extended to next-generation, large-scale wireless a stable RF signal source, which increases the system’s cost pollination systems. To do this, they need to have more of heartbeat signals. The AC/LDA algorithm has been incor- technologies to further reduce dependence on fossil fuels and complexity. In addition the attributes of these sources information about bees and their foraging methods, which porated into a prototype system developed at the Biometric and other environment-taxing resources. are usually limited by the characteristics and in particular the can be obtained by more accurate tracking techniques. Security Laboratory (BioSec.Lab), the HeartID. HeartID is a bandwidth of the RF sources utilized. Recently we introduced PFR have found a transmitter small enough to work for their MATLAB-based software with various functionalities, such as a novel, simple method to generate an optical clock with purposes; however, they lack a receiving system capable of user enrolment, database handles, security level adjustment Helmy, Amr S. wavelength tunability. The beating signal generated by tracking the signal from these transmitters in real time. Various and identification/verification modes of operation. two single-mode lasers causes the modulation of the gain tracking systems have been developed over the years using PHOTONICS.LIGHT.UTORONTO.CA/HELMY/RESEARCH saturation of an SOA that is placed inside a ring laser cavity. different techniques such as electronic and mechanical This technique is particularly versatile in comparison to its scanning, time of arrival, electronic rotation, etc. Most of WWW.COMM.TORONTO.EDU/~SPSN Infrared and THz counterparts; the repetition rate is controlled by the frequency these methods presume the signal will be on for a long Semiconductor Lasers difference between the two CW light sources, overcoming period of time and have significant strength. This situation is Self-Powered Sensor Networks the bandwidth limitation of other techniques, which require quite different: the signal will be quite weak and will be on for The coherent radiation afforded by lasers fuels numerous an RF source. In addition, the operating wavelength is tuned a very short period of time (i.e., pulsed for about 2 to 5 ms The University of Toronto, AD Telecom, SIRADEL and OMESH applications ranging from medicine to material processing by sweeping the central wavelength of the bandpass filter. every few seconds). The system also has to be cost effective, Networks are partners in developing compelling materials, and telecommunications. In particular, semiconductor lasers This new technique is also cost effective and provides the since the budget available for multiple stations is somewhat communication architectures, software and other critical offer a form-factor, efficiency and portability that has fueled possibility for hybrid integration as it consists of semi- limited, as is often the case in research these days. To keep technologies necessary to create self-powered, ubiquitous innovations in all industrial sectors. A new class of semi- conductor chips that can be heterogeneously integrated on costs down, SEI is hoping to use DSP-based hardware that and wireless ad hoc sensor networks. Substantial benefits will conductor lasers with even more distinctive features has an Si platform. This research enables optical pulse-trains to it has already developed utilizing dual TI TMS320C5509A be realized by the citizens of Ontario and by Canadian society been developed recently. Semiconductor lasers enabled be generated in an all-optical setting based on gain-induced processors, a separate VHF receiver daughtercard and an in general with the commercialization of a family of products by Bragg reflection waveguides (BRW) are essentially one- four-wave mixing in semiconductor optical amplifiers. A RS232 serial output card. Phase-related methods may be that take advantage of these sensor networks, along with the dimensional photonic bandgap structures that are doped in unique advantage of this versatile approach is the optical investigated to determine direction; however, it is likely that novel energy harvesting and power generation technologies a p-i-n profile, where light is guided by Bragg reflectors with control it affords of the repetition rate, which can be tuned 16 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 17

by controlling the frequency difference between the various PHOTONICS.LIGHT.UTORONTO.CA/HELMY/NANOPHOTONICS parameters of the waveguide. The great potential of interfering laser patterns. Photosensitive optical materials light sources employed in the setup. Using novel designs we hollow-core photonic bandgap optofluidics for optical exposed to these modified laser patterns will see nano-optic are able to drastically improve upon the stability of all optical Nanophotonic Devices and Networks sensing originates from the increased light–matter interaction devices precisely embedded at the critical points of a 3D techniques through injection locking. Robust and low-phase volume and efficient accumulation of the Raman scattering periodic lattice to enable the nanofabrication of compact 3D noise pulse generation in the 100s of GHz has been measured Nanophotonics research in the group focuses on novel along the extended length of the waveguide. The well-confined photonic crystal circuits, 3D optical-domain metamaterials with a line-width ~1 Hz and no need for RF sources, optical hybrid plasmonic-based optical devices for integrated optical excitation interacts directly with the sample molecules and nanofluidic chromatography sensors for cell proteomics. stabilization or optical feedback. Recently, we successfully circuit and signal processing. With enhanced light-matter while propagating along the length of the waveguide and This significant extension of laser holography promises a achieved injection locking using a 10 MHz optical frequency interaction, sub-wavelength footprint, and minimal parasitics, Raman scattering can be efficiently excited along the fibre’s powerful advance in nano-optics and defines a new paradigm comb source, while utilizing an external cavity to eliminate plasmonic devices are promising candidates for next- entire length. In our research we utilize different optofluidic for high-volume manufacturing — contactless 3D nanomold- the residual modes. Injection locking using such a low generation optoelectronic components that can help alleviate techniques for enhancing the retrieved Raman/FTIR signal of ing — of significance to Canada’s optics, biophotonics and (10 MHz) optical frequency comb source enables and the latency and power dissipation bottlenecks in current nanomaterials in liquids, gases and aerosols. Unprecedented nanotechnology industries. provides more flexibility for numerous applications. VLSI technologies. Utilizing plasmonic devices to enable details in analyzing various nanostructures and biological dense, on-chip optical integration, our research also explores molecules utilizing optofluidic fibres such as photonic crystal reconfigurable hybrid plasmonic network structures consisting fibres (PCFs) in Raman spectroscopy has been achieved. Intelligent Beam Control for Ultrashort PHOTONICS.LIGHT.UTORONTO.CA/HELMY of 2D arrays of intersecting waveguides. By manipulating Techniques and applications to combine surface-enhanced Laser Manufacturing of Photonic and the network topology and therefore the interference between Raman spectroscopy (SERS) with optofluidic-assisted Biomedical Microsystems Monolithic Quantum Photonic plasmonic waves propagating among the interconnected Raman spectroscopy to enable nanomolar sensitivity of Devices and Circuits waveguide junctions, the network can be engineered to nanolitre volumes are also being examined. Recently a The symphony of colours and light flashes generated during support different spectral responses at various output detailed, non-destructive characterization of CdTe nano- laser machining attests to the dramatic undulating physics Photons, the particles of light, play a pivotal role in the ports. Moreover, by introducing functional materials such as particles was carried out using Raman spectroscopy for evolving rapidly as material is transformed through various emerging area of quantum information science, such as polymer or 2D alternatives with gate-variable properties, the solutions with QD concentration of 2 mg/mL, which is similar states of matter, heated to sunlike temperatures, shocked to optical quantum computing and quantum cryptography. dispersion characteristics of the structure can be reconfigured to their concentration during the synthesis process. Our explosive pressure and finally ejected at supersonic velocity. However, these futuristic-sounding technologies only exist via biasing. We are currently investigating programmable platform allows clear vibrational modes corresponding to Understanding and controlling such complex phenomena in specialized labs; practical commercial systems are not plasmonic components for optical switching and signal the structure and interactions of the QDs to be observed. is a major sciencetific challenge and, regrettably, too poorly available to date. One of the reasons these technologies processing. To reduce the computational cost associated These vibrational modes include those of the CdTe core, Te understood to benefit today’s industry as it attempts to steer have not moved into practical settings is that they need to be with numerical simulations, our research also involves defects, CdSTe interface, thiol agent and carboxylate-metal wanton laser processes into reliable nanoscale manufacturing implemented using bulky components that are not portable constructing analytical models for these plasmonic network complexes. These modes are correlated with the crystallinity methods for a new generation of smart medical devices, and are sensitive to vibrations. Current technologies required structures. Specifically, we analyze the power distribution of the QD core, interfacial structure formed upon stabilization, biosensors and telecommunication products. The proposed to produce the building blocks of quantum systems do not within intersecting plasmonic waveguides through imped- QD–thiol interaction mechanisms, water solubility of the program aims to improve the fundamental understanding allow a high level of integration of these components. Those ance analysis and a scattering matrix model. This allows the QDs and their potential bioconjugation abilities. of laser interactions at the forefront of “burst” ultrafast laser include devices for the generation, manipulation and detection output response of networks with any arbitrary topology to processing and self-focusing “filamentation” machining— of paired photons that are entangled. These entangled be encapsulated into closed-form expressions that do not effects first discovered by our group. Our aim is to turn photons are an essential building block for quantum require numerically-extracted parameters. Finally, although Herman, Peter this understanding into “intelligent” laser control methods systems as required by quantum mechanics. For example, plasmonic components can provide the field confinement that can manage the highly nonlinear light interactions in PHOTONICS.LIGHT.UTORONTO.CA/LASERPHOTONICS the mainstream technique to produce entangled photon pairs necessary to support dense integration, the significant light transparent materials and possibly open a new direction for is to use a strong laser beam to hit a nonlinear crystal. With a attenuation due to Ohmic damping fundamentally limits the three-dimensional manufacturing. A novel burst generator probability of 10^–10, a photon in the laser can be converted device performance. Our research looks to overcome this 3D Laser Fabrication: Enabling Nano-Optics provides tailored laser profiles to build up heat accumulation into a pair of entangled photons. Such a system is extremely challenge through long-range, coupled plasmonic waveguide for the Nanosciences and annealing effects in order to counter shock and other inefficient and very energy-consuming. Besides, the whole structures. By engineering the field symmetry across a collateral damaging effects. State-of-the-art delivery systems system, including the laser, nonlinear crystal, mirrors and common metal layer within coupled waveguide systems, Nanoscience and nanotechnology define significant trends with self-learning algorithms for spatiotemporal beam shaping lens, etc., takes a big space on an optics table. The optical we have demonstrated that the Ohmic dissipation can be today that seek to exploit the new physical laws encountered are to be developed for dynamic focusing into transient setup requires delicate construction and is sensitive to minimized regardless of waveguide configuration or material as the structures and devices we make become smaller plasma and defect centres. It will then be possible to control external environment. Thanks to recent breakthroughs by our platform. As a result, a radically improved attenuation– and smaller. Most attention is on the quantum effects that the size, position, stress and morphology in various laser group, fully integrated, portable and robust entangled photon confinement trade-off can be achieved, in comparison to dramatically alter the electrical, magnetic, optical, and machining directions. Powerful “5-D” spectroscopic and sources were made possible using mainstream semiconductor common types of plasmonic waveguides proposed to date. mechanical—virtually all—properties of materials in surprising phase-contrast microscopy tools will uniquely harvest the technologies. We successfully demonstrated the generation of This design approach provides a powerful tool for developing but exceedingly useful ways. Optical physics is playing a rich optical signature of the laser physics to offer real-time entangled photons from a semiconductor chip. This electric- a broad range of plasmonic devices such as modulators and significant role in both these trends. Near-field, multi-photon monitoring as optical and microfluidic devices take shape. ally powered, alignment-free chip is specially engineered, photodetectors with small footprint and low insertion loss. and confocal microscopy and laser tweezers are opening the Femtosecond laser filamentation is a new opportunity for which not only increases the photon generation efficiency frontiers of nanoscience by probing and manipulating individual deep penetration machining and stress-induced scribing of compared to the bulk crystal counterparts, but also makes nanostructures, while laser lithography is a nanotechnology transparent media like flat-panel display, silicon wafers and the integration with other optical components possible. PHOTONICS.LIGHT.UTORONTO.CA/HELMY/RESEARCH used in high-volume manufacturing of nanosize transistor lab-on-a-chip devices. The program aims to deliver new laser Meanwhile, our group has been working on engineering the gates in microelectronic chips. As this race to shrink the diagnostic and control systems for 3D manufacturing of generated photon properties on the same platform to enable Nondestructive Analysis of Liquid-, Gas- world goes forward, optical physics is also evolving with its telecom optical circuits, optical fibre assemblies, smart quantum computing, material spectroscopy, and quantum and Aerosol-Phase Nano- and Biomaterials own set of challenges: to understand and to harness the medical catheters, optical sensors and other high-value imaging, among other applications. Ultimately, our techniques in Optofluidics Using Optical Spectroscopy new optical phenomena in nanostructures much smaller than photonic systems for our Canadian partners. will allow the entire photon generation and manipulation the wavelength of light. Nano-optics has emerged as the processes to take place on the same chip, which could be a Conducting Raman spectroscopy in hollow-core optofluidics new discipline that promises new optical materials (photonic big step towards a practical, commercial quantum computer such as photonic crystal fibres (HCPCFs) results in significant bandgap crystals, metamaterials, plasmatronics) to guide light at dimensions below conventional diffraction limits or Hum, Sean and other quantum information processing systems. Raman intensity enhancements compared to direct sampling WWW.WAVES.UTORONTO.CA/PROF/SVHUM in cuvette. This platform can be used as a useful method probe the electron wavefunction of protein molecules with for ultrasensitive detection of vibrational modes of chemical powerfully enhanced optical resolution. To this end, the and biological molecules. The enhancement technique in proposed NSERC program seeks to invent a new means of Advanced Handset Antennas for LTE-A and 5G all liquid-core waveguide platforms is mostly based on their laser optical beam delivery that will facilitate the fabrication The project is exploring the development of advanced use as a waveguide to confine both the liquid and the optical of 3D nano-optical systems. Near-field and phase-shifting handset antenna for next-generation standards such as LTE-A field over a long distance, and the degree of enhancement techniques will be exploited in multi-level diffractive optical and 5G. A novel design technique, based on identifying attained for a specific solution depends on the physical elements to design “intensity defects” within 3D periodic 18 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 19

the characteristic modes of the handset chassis, is used to jointly with the University of Toronto Institute for Aerospace interconnected AC power system that imbeds HVDC links is conducted by the Middleware Systems Research Group design compact antennas providing a multitude of capabilities, Studies Microsatellite Technology Centre (MSTC) to test new and HVDC grids, mainly for large-scale integration of wind (MSRG) at the University of Toronto and is a collaboration including high decorrelation between antenna ports, which microwave technologies on emerging microsatellite systems. and solar power and energy storage. involving IBM Toronto and NSERC. is important for improving the capacity of the handset. This technique uses technologies such as MIMO (multi-input/ multi-output) and carrier aggregation; adaptive capabilities Iizuka, Keigo Real-Time Hardware-in-the-Loop (RT-HIL) WWW.MSRG.UTORONTO.CA/PROJECTS/PADRES to optimize the capacity of the handset in a changing Simulation of Integrated HVDC-AC Power WWW.KEIGO-IIZUKA.COM environment (induced by movement or user handling); Systems and Microgrids The PADRES ESB — Events and Services Bus and high isolation between ports (for alleviating filtering requirements in the RF front end of the handset). The result Omni-Focus Video Camera This work includes research and development of analytical PADRES is an open-source, enterprise-grade event is an advanced antenna design supporting a multitude of and real-time simulation strategies and the corresponding management infrastructure that is designed for large-scale Our major achievements during the recent past were the functionalities in a single aperture. hardware/software tools for the analysis, control, protection event management applications. Ongoing research seeks invention of two novel types of distance-mapping video and operation of (1) large interconnected AC power systems to add to and improve enterprise-grade qualities of the cameras. The first invention, called the Axi-Vision Camera, that embed overlay High-Voltage Direct-Current (HVDC) middleware. The PADRES system is a distributed is a distance-mapping camera that is based on the combined Multibeam Reflectors for Satellite Applications grids, and large-scale wind and solar power plants; and content-based publish/subscribe middleware with features principles of time of flight and modulated light illumination. (2) microgrids with a high depth of penetration of distributed built with enterprise applications in mind. These features Television programs produced by using the Axi-Vision Camera Realizing multibeam apertures on satellite platforms while generation and storage units. include (i) intelligent and scalable rule-based routing protocol have been broadcast from NHK, Japan. In a contest sponsored satisfying volume, mass, and cost requirements is extremely and matching algorithm; (ii) powerful correlation of future and annually by of the Optical Society challenging, resulting in a wide array of competing architec- Optics & Photonics News historic events; (iii) failure detection, recovery and dynamic of America, the paper on the Axi-Vision Camera was selected tures for multibeam systems employing one-feed-per-beam. load balancing, and (iv) system administration and as one of the most significant scientific accomplishments Jacobsen, Hans-Arno Reflectarrays offer numerous advantages in this space, monitoring. As well, the PADRES project studies application described in a refereed journal in 2002. The Axi-Vision Camera including low mass, low profile and, importantly, the ability WWW.MSRG.ORG concerns above the infrastructure layer, such as (i) distributed was commercialized by NHK Enterprises, Japan and the to provide fine control over the scattered signals at different transformation, deployment and execution; (ii) distributed first unit was sold for $400,000. We received the 2003 Fujio frequencies and polarizations. This creates a compelling case ACC — AspeCt-oriented C monitoring and control; (iii) goal-oriented resource discovery Frontier Award in recognition of our leading-edge research and for investigating the use of this architecture in multibeam and scheduling, and (iv) secure, decentralized choreography development of the Axi-Vision Camera. The second invention, AspeCt-oriented C (www.AspeCtC.net) implements an satellites. This project is exploring this question for practical and orchestration. A publish/subscribe middleware provides called the Divcam (short for Divergence Ratio Axi-Vision aspect-oriented extension to C and offers one possible telecommunication systems, with support from ESA. many benefits to enterprise applications. Content-based Camera), is a distance-mapping camera that utilizes the language design for an aspect-oriented C language. interaction simplifies the IT development and maintenance by universal decay rate of the illuminating light with distance. The AspeCtC is open source, released under GPL. AspeCt- decoupling enterprise components. As well, the expressive Reconfigurable Leaky-Wave Antennas Divcam is lightweight, compact, portable and reliable, has a oriented C is a research project conducted by the Middleware PADRES subscription language supports sophisticated fast response and is low cost: a U.S. patent was filed on the Systems Research Group at the University of Toronto. ACC interactions among components and allows fine-grained This project is exploring the creation of very-low-profile Divcam and later extended to an international patent through enables aspect-oriented software development with the C queries and event-management functions. Furthermore, 1D and 2D apertures which can be efficiently fed using an the Patent Corporation Treaty. The omni-focus video camera, programming language. AspeCt-oriented C consists of a scalability is achieved with in-network filtering and processing internal feed. As such, they can potentially offer the gain and which needs the information of distance, was invented as a compiler that translates code written in AspeCt-oriented capabilities. The PADRES research project is conducted by flexibility of reconfigurable reflectors and lenses without the natural extension of the Divcam. Its invention was reported C into ANSI-C code. This code can be compiled by any the Middleware Systems Research Group (MSRG) at the bulk associated with those architectures. Instead, radiation is by various news organizations and magazines, including Fox ANSI-C compliant compiler, for example GCC. AspeCt- University of Toronto and is a collaboration involving various produced through purposefully tailored leakage from surface News Network in the U.S. Some media even stated that the oriented C is a proposed language design and compiler. ACC industry partners and Canadian funding agencies. waves travelling within the aperture. Active devices can be omni-focus video camera would revolutionize the global serves as one viable AspectC language design. AspeCt- embedded in the aperture to provide full phase control of camera industry. Recently, the omni-focus video camera was oriented C ships with a set of Compiler Tools that help to use scatters embedded within the aperture. The result is a flat used to obtain a super deep 3D image. The article “Super ACC as part of larger development projects, either to integrate and potentially low-cost beamforming platform that can be Deep 3D Images from a 3D Omnifocus Video Camera” high- aspects and ACC compiler into larger builds or to organize Johns, David used in a variety of applications, such as RADAR, satcom-on- lighted this achievement: the image appeared on the cover new software development builds with aspects in mind. The WWW.EECG.TORONTO.EDU/~JOHNS the-move, rail signalling, and others. of the February, 2012 issue of the journal Applied Optics. objective of the AspeCt-oriented C project is to build a robust compiler to support aspect-oriented programming with C. Advanced Interface Circuits ACC achieves this by building on proven aspect-oriented for MEMS Technology Reconfigurable Wideband Spatially Fed Arrays Iravani, Reza language designs for other languages, most notably the AspectJ language for aspect-oriented programming with Java. Micro-ElectroMechanical Systems (MEMS) refers to tiny This project is developing wideband spatially fed arrays, such WWW.ELE.UTORONTO.CA/PROF/IRAVANI/IRAVANIMAIN devices that combine micrometre-scale mechanical devices as reflectarrays and array lenses, for aerospace applications. with micro or nanoscale electronic circuits to sense physical The goal is to create very flat and thin antenna apertures that Control, Operation and Energy Management WWW.SITES.GOOGLE.COM/A/GAPP.MSRG.UTORONTO.CA/ quantities. Some recent examples of commercial applications can be electronically scanned and that exhibit much wider of AC and DC Microgrids for MEMS are pressure sensors used as microphones in bandwidths than are possible with conventional implemen- RESEARCH-EQOSYSTEM devices such as cellphones and hearing aids, inertial sensors tations of these architectures. At the same time, spatially This RD&D project addresses the challenges, strategies, eQoSystem: Towards Declarative used in airbag deployment as well as positional control in fed architectures provide a high-performance, cost-effective solutions and technologies for monitoring, protection, control, hand-held games and cellphones, and gyroscopes used for alternative to traditional phased arrays. Applications include and operation of (1) utlity-grade urban, rural, and remote AC Distributed Applications image stabilization for cameras as well as angular velocity point-to-point communication systems, satellite systems, microgrids subject to the high depth of penetration of renewable The eQoSystem project seeks to simplify the development measurement in hand-held games. This research program radar, and remote-sensing systems. and alternative energy resources, and (2) DC microgrids. and management of business processes deployed on a investigates new circuits and architectures that will significantly distributed Service Oriented Architecture (SOA). The target improve MEMS power dissipation as well as improve accuracy performance. With improved accuracy, new applications Transparent Reflectarrays for Satellites Modelling, Analysis, Control, Protection architecture is an enterprise system with distributed services can be developed that are not otherwise possible. For example, and Operation of High-Voltage Direct coordinated by application workflows or business processes. The goal of this project is to develop optically transparent Declarative goals, specified in Service Level Agreements a highly accurate inertial sensor can be used to track position reflectors based on reflectarray technology, which can be Current (HVDC) Systems and Technologies (SLAs), are used to assist in the development of such by integrating acceleration to obtain velocity and then placed over solar panels on a satellite to save space and applications and to automate the monitoring, deployment integrating velocity to determine distance travelled. This research addresses challenges in the development of leverage the area afforded by solar panels for additional use and resource provisioning tasks. The eQoSystem project analytical and time-domain simulation models and control/ as a high-gain antenna aperture. This project is being pursued protection strategies/algorithms for optimal operation of the 20 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 21

Joy, Mike of solar electricity per gram of silicon (i.e., thin silicon); (2) use similar to periodic potentials in semiconductor lattices that even at extremely high data rates, yet there is a significant of low-temperature, high-quality thin-film synthesis techniques affect the behaviour of electron waves. Through the exploration gap between what has so far been practically achieved and IBBME.UTORONTO.CA/FACULTY/EMERITUS-FACULTY/MIKE-JOY with the objective of implementing low-thermal-budget and of a range of nano-integrations, we have recently proposed a what is known to be achievable in theory. In this work we high-production-rate processing; and (3) integrated develop- novel class of transparent conducting porous nanocomposite study information transmission techniques based on the Current Density and Conductivity ment of PV cell concepts, photon harvesting techniques and films amenable to a variety of device applications. One nonlinear Fourier transform. The nonlinear Fourier transform Imaging with MRI production processes compatible with the drive to continually application involves the use of a selectively transparent and (NFT), a powerful tool in soliton theory and exactly solvable reduce the silicon absorber thickness. conducting photonic crystal as an intermediate reflector for models, is a method for solving integrable partial differential In 1989 I initiated a research program whose goal was to efficiency enhancement of tandem thin-film silicon micromorph equations governing wave propagation in certain nonlinear create images of the electrical current density (CD) inside the solar cells. Other application areas include bifacial PV, LEDs media. The NFT decorrelates signal degrees-of-freedom in body based on Magnetic Resonance Imaging (MRI). In the Micro-Power Sources and Sensors and catalytic process applications. such models, in much the same way that the Fourier transform next five years this research was widened to include the does for linear time-invariant systems. In the proposed imaging of tissue electrical conductivity. This work has The objective of this project is the development of micro- communication scheme, which can be viewed as a nonlinear resulted in two novel techniques, Current Density Imaging power sources and micro-sensors for various sensing Khisti, Ashish analogue of orthogonal frequency-division multiplexing (CDI) and Current Density Impedance Imaging (CDII). The applications with the ultimate realization of self-powered commonly used in linear channels, information is encoded imaging of tissue conductivity has been a recurring objective sensors. Research on micro-power sources focuses on WWW.COMM.UTORONTO.CA/~AKHISTI in the nonlinear frequencies and their spectral amplitudes. since the 1930s. Today, the best-known method is Electric the development of continuous vibrational piezoelectric Unlike most other fibre-optic transmission schemes, this tech- Impedance Tomography (EIT). EIT measures currents and energy harvesters using (1) ambient vibrational sources and Low-Delay Communication Systems nique deals with both dispersion and nonlinearity directly and voltageson the skin and relates them to possible conductivity (2) tritium-occluded-in-silicon beta-emitting sources. In for Streaming Media unconditionally without the need for dispersion or nonlinearity distributions in the body. Unfortunately EIT is a very ill-posed the latter case, integration of the beta source enables an compensation methods. Much work remains to be done, problem and consequentially has poor resolution at depth. autonomous vibrational energy generator. These vibrational We investigate theoretical foundations and practical however, in translating this theoretical idea into practice. This severely limits its effectiveness. The novelty of CDI and energy harvesters are based on aluminum nitride architectures of communication and compression techniques CDII is that MRI can be used to measure the magnetic fields piezoelectric material. Research on sensors focuses on optimized for low-latency applications such as conferencing arising from internal electric current density and the con- development of an aluminum nitride–ultrananocrystalline and cloud computing. It turns out that traditional methods Spatially Coupled Algebraically Decodable ductivity can be accurately computed from these fields. This diamond platform to synthesize surface acoustic wave that separate compression and error correction into different Codes for High-Speed Data Transmission has been verified in my lab and internationally. This distin- nano-transducers operating at GHz frequencies. Recent modules are far from optimal when end-to-end latency is guishes CDI and CDII from EIT. The unanswered question is, research has demonstrated resonant frequencies and considered. Furthermore, the instantaneous dynamics of the Optical fibres support very high-speed communication “Can CDI and CDII give rise to a new technique that is more velocities exceeding 10 GHz and 10,000 m/s, respectively. communication channel play a fundamental role in the ulti- channels (hundreds of Gbits/s per wavelength) and designing medically useful?” To be medically useful these measure- mate performance limits of low-latency systems. Therefore error-control coding schemes that can correct channel errors ments must be safe, accurate, of high temporal and spatial both the theoretical approaches and resulting architectures at such high speeds is a daunting task. This research investi- resolution and clinically feasible. Presently there are no NICE Composite Materials for low-latency communication systems are radically different gates one promising family of codes, so-called spatially methods that meet these requirements. We have used CDI from traditional approaches to reliable communication coupled algebraically decodable codes, for such applications. Nano-Integrated Carbon-Enveloped (NICE) composites are in live animals (5-kg pigs) and spatial resolution of 2 cm and systems. The proposed project tackles this challenge in This family includes “staircase codes,” a hardware-friendly being developed (1) as smart coatings for energy conservation temporal (gated) resolution of 10–20 ms. CDII is accurate collaboration with Hewlett Packard Laboratories. class of codes with excellent code performance. Our ongoing in building applications and (2) as novel materials for photonic when conductivity is isotropic. Since tissues are typically research is investigating methods to incorporate soft-decision applications. NICE composites, based on diamond-like carbon anisotropic we are presently testing a technique combining information and to combine coding with higher-order modulation. MRI diffusion tensor imaging (DTI) and CDII (DT-CD-II). These film, which is a silicon-compatible material, have thermal, Kschischang, Frank MRI sequences are distinct from those developed by others mechanical, optical and electrical properties that can be in that CDI is accurate and does not depend on the nature of tailored over extremely wide ranges, yielding a versatile WWW.COMM.UTORONTO.CA/FRANK the tissues in which the current flows. The consequence is material for photonic, optoelectronic and micro-electro- Kundur, Deepa that we must physically rotate the tissues being imaged. In mechanical systems (MEMS) applications. The objective of Energy of Decoding WWW.COMM.UTORONTO.CA/~DKUNDUR/RESEARCH the long term I wish to remove or mitigate this requirement. this project is to demonstrate NICE composites as a viable The capacity of an additive white Gaussian noise channel In summary, my most recent success has been to be the first platform material for the development of smart coatings A Co-simulator Platform for Cyber Security depends on its signal-to-noise ratio (SNR); the greater the to image anisotropic conductivity. for building energy applications and to explore their viability Analysis of Microgrid Systems as passive and active rare-earth base photonic materials. SNR (i.e., transmitter energy), the greater the capacity. It is known that by using error-correcting codes of very long Effective modelling and simulation of complex power system block length, code performance at transmission rates up to disturbances, especially those stemming from intentional Kherani, Nazir Photonic Crystal– the channel capacity can be achieved. However, operation cyber attack, represents an open engineering research and WWW.ECF.UTORONTO.CA/~KHERANI near the “Shannon limit” requires complicated encoding development problem with recent national focus. The North- The aim of this project is to investigate photonic crystal– and decoding algorithms, which can themselves consume east Blackout of 2003 and the December 2013 large-scale photovoltaic integrations with the aim of creating high-efficiency, High-Efficiency Silicon Photovoltaics considerable energy (particularly at the decoder). This can power outage in the Greater Toronto Area clearly demonstrate economic, third-generation solar cells. The novelty of the amount to a large fraction of the total energy used by the the vulnerability of the Canadian grid to incidental and natural research lies in innovative integrations of nanomaterials and The objective of this project is to research, develop and inte- communication system. Using Thompson’s VLSI model, this disruptions; given the increasing dependence of power thin-film semiconductors. As thin-film crystalline or nanocrys- grate a set of thin-film technologies that will lead to prototype project reconsiders the coding problem when encoding systems on communications and computation, intentional talline silicon solar cells are made thinner, light trapping at demonstration of high-efficiency silicon photovoltaic solar and decoding energy is taken into account (in addition to cyber attack would thus have potential for great devastation. wavelengths near the absorption edge becomes increasingly cells. The novelty of the research lies in the development and the traditionally accounted-for transmitter energy). Simulators are a cost-effective and safer alternative to important (e.g., absorption lengths are 10 mm and ~1 mm integration of unique, production-worthy technology elements conducting experiments with prototype or real systems. for wavelengths of 800 nm and 1100 nm, respectively). Upon which will ultimately make it possible to attain the lowest cost Experiments can also be executed faster than in real time applying perfect random scattering on an incident silicon per watt peak (Wp) of silicon-based photovoltaic solar cells. Fibre-Optic Communication Using the for efficient what-if analysis. Thus, tools for modelling and surface with a lossless back reflector, a maximum path length Silicon offers one of the highest photovoltaic energy conversion Nonlinear Fourier Transform simulation of smart-grid systems are of paramount importance enhancement of ~50 is expected, though in reality the actual efficiencies. This property, along with its stability, abundance, to power system stakeholders for judicious planning and value is closer to 10. However, much larger path length Fibre-optic transmission systems are evolving at a rapid pace environmental compatibility and technological maturity, make preparedness for contingencies. Challenges stem from the enhancement factors, on the order of 103 to 104, are required towards achieving greater spectral efficiencies. Coherent de- silicon a prime material for photovoltaics. However, the need to develop intelligent models of cyber-physical to effectively absorb the longer wavelength light. An alternative tection is supplanting noncoherent detection and polarization challenge today is cost. Cost can be reduced by decreasing interdependencies within emerging smart-grid systems, the approach is light localization through the application of multiplexing and advanced modulation schemes are being production and material costs and by increasing energy facility to portray realistic and meaningful cyber attacks, and photonic crystals. Photonic crystals (PC) are periodic dielectric implemented. Today’s high-speed electronics enable very conversion efficiency. The unique technology elements of the ability to balance precision, scale and complexity. This structures that affect the behaviour of electromagnetic waves sophisticated signal processing and coding to be applied, this project are (1) development of high-efficiency three-way collaborative project amongst Professor Deepa concepts with the objective of producing the greatest quantity 22 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 23

Kundur, University of Toronto, L’Institut de recherche benefits by facilitating widespread adoption of smart-grid Kwong, Raymond Leon-Garcia, Alberto d’Hydro-Québec (IREQ) and Opal-RT Technologies, Inc. infrastructure, revolutionizing the electricity marketplace and aims to develop a cyber-physical co-simulator platform for reducing our society’s ecological footprint. WWW.CONTROL.UTORONTO.CA/~KWONG WWW.NAL.UTORONTO.CA/RESEARCH the purpose of studying the impacts of cyber attacks on emerging microgrid systems. The results of the project will 3D Conformal Thermal Therapy of Soft Tissues Connected Vehicles and benefit a major microgrid project within IREQ by providing Online Seizure Detection Using EEG-Based for the Treatment of Localized Cancer Smart Transportation a framework to test communication and control strategies. Features of Neural Rhythms Measured from Using MRI-Controlled Ultrasound Therapy Furthermore, the software integration insights arising from the a Wireless Headset The ORF Research Excellence Project on Connected Vehicles research will be transferred to Opal-RT to equip them with MRI-guided ultrasound therapy is a powerful method of and Smart Transportation is a collaboration between industry, knowledge to better support their existing and future clients. In this project we study signal processing algorithms for the cancer treatment in which ultrasound energy, guided by government and academia to develop an information- early real-time detection of seizures. Our algorithms make magnetic resonance imaging, is used to coagulate a target gathering and sharing platform to enable smart applications use of EEG-based signals measured from a portable headset region of tumour. This kind of treatment has been developed for transportation and transit in the public and private A Cyber Security Impact Analysis developed at Avertus Epilepsy Technologies. The algorithms as a non-invasive alternative to conventional therapies such domains. The CVST system leverages the sensing capabilities Framework for the Electric Smart Grid must address interference of the EEG signals to identify as surgery and radiation, which often lead to long recovery of mobile devices and public-sector sensors to provide real the most critical features for detection. This project is times with high complication rates. Successful application time state information that enables users to make decisions The scale and complexity of the smart grid, along with its in collaboration with Prof. Berj Bardakjian of ECE and IBBME of this technology for treatment of localized cancer depends that reduce travel time, increase productivity and reduce increased connectivity and automation, make the task of at the University of Toronto. critically on the ability to deliver ultrasound energy to a energy consumption and vehicle emissions. A live portal cyber protection particularly challenging. Recently, smart-grid targeted region of the affected organ, while avoiding thermal showing the state of traffic in the Greater Toronto Area researchers and standards bodies have developed techno- damage to surrounding structures. This requires accurate is available at cvst.ca. logical requirements and potential solutions for protecting Smart Grid: Cyber-Physical Operation, control over spatial and temporal deposition of energy cyber infrastructure. However, grid protection remains daunting Security and Quantum Technology to regulate the temperature. The goal of this research is to to asset owners because of resource limitations. Important develop advanced control strategies to enhance the treat- Design of Next-Generation Smart questions arise when identifying priorities for design and Future electricity generation and distribution networks will ment effectiveness of the ultrasound therapy system. Infrastructures and Service Platforms protection: Which cyber components, if compromised, can be enormously sophisticated. They will incorporate the ever- lead to significant power delivery disruption? What grid increasing renewable sources of energy (e.g., solar, wind, The convergence of three technologies—cloud computing, topologies are inherently robust to classes of cyber attack? hydro) in a most cost-effective and energy-efficient way; they Dependability and Security in Control software-defined networking, and machine-to-machine Is the additional information available through advanced cyber will need to be robust and resilient in the event of a natural and Multimedia Systems (M2M) communication—provide an opportunity to create infrastructure worth the increased security risk? The goal of disaster or a malicious attack; and they will enable competing application platforms that offer unprecedented technical this project is to develop a framework to assess the impact firms to share the same physical and cyber infrastructure Control and multimedia systems have become increasingly capabilities, scalability, energy efficiency, security, flexibility, of cyber attacks on the electric smart grid. Our approaches while protecting the private usage information of millions sophisticated and complex. Failures in these systems can and economics. Cloud computing provides on-demand borrow from mathematical principles from control and of individuals. In short, the networks will have to employ lead to large financial losses or even catastrophes. For computing power for applications at unprecedented price communication theory to identify new vulnerabilities stemming advanced sensing, computation, and communications control systems, our research combines advanced tools from points. Software-defined networking allows flexible network from the use of cyber infrastructure and the relative physical mechanisms to enable efficient and flexible generation, control and artificial intelligence to detect failures or discover equipment to be tailored to the needs of applications. M2M impact of cyber attacks. One outcome is a vulnerability transmission, and distribution of power through a grid—a previously unknown faults. We integrate diagnostic information communication allows sensor and control devices to be analysis tool that can be employed by smart-grid stakeholders Smart Grid. We propose to build a research infrastructure to reconfigure control systems so that they are dependable attached to the Internet in support of new applications. In to identify critical cyber infrastructure that must be prioritized platform to study the control and communication of a even when failures occur. We seek to make multimedia combination, these three technologies enable applications for system hardening. large-scale smart grid. A salient feature of the proposed systems more secure by designing new strategies to embed that are smart in the sense of being aware of context as well infrastructure is the incorporation of a physical quantum forensic information that protects copyrights, is resilient as the state of the environment. These applications will be network, reserved for the most critical part of the smart-grid under content manipulation attacks and deters piracy. used to manage resources in smart infrastructures (transpor- Cyber-Physical Protection of the Smart Grid communication, where security, authenticity, and latency of tation, power, water, air quality, buildings, etc). They will also information exchange are critical to system monitoring and provide novel services, such as a personal assistant that is The emerging smart grid represents an engineering system control. The project consists of four carefully crafted compon- Lehn, Peter aware of context, activity schedule, and the goals of an with tightly coupled and coordinated cyber and physical ents: (i) the development of a hardware-in-the-loop real-time individual. We view computing and communications resources WWW.ELE.UTORONTO.CA/~LEHN components. The close interaction of such diverse simulator platform for large-scale smart grids employing as organized in multiple tiers, with remote massive data components may lead to emergent system behaviours and in-house FPGA-enabled Real-Time Digital Simulator (RTDS) centres at the heart of the cloud, a smart edge providing new forms of vulnerabilities. However, opportunities may also systems that provide fast and reliable study of complex Power Electronics to Enable More telecom services, and then a fog consisting of gateways and exist through the coupling to improve system survivability in power systems; (ii) the design of a real-time heterogeneous Sustainable Electrical Energy Networks sensor and actuator devices. Creating and deploying appli- the face of faults and attack. This research program pioneers smart-grid communication network simulator that enables cations across this multitier cloud is challenging because of the development of a modelling and analysis methodology the dynamic study of practical communication constraints Professor Lehn’s research lies in the area of medium- and the variety of diverse technologies and incompatible protocols. for cyber-physical smart-grid systems by harnessing the and a variety of cyber attacks at different network layers high-power applications of power electronics to form more Our research focuses on the design of management systems power of dynamical systems frameworks. Through integration along with a defense-in-depth approach for protection; (iii) the reliable, cost-effective and sustainable electrical energy that span the cloud, smart edge, and fog to coordinate the of mathematical tools from the fields of nonlinear dynamical construction of an information-theoretically secure physical systems. Of specific interest is the development of converter allocation of resources to applications. systems, graph theory and game theory, we aim to address quantum key distribution (QKD) network, consisting of systems and network architectures for low-cost, low-loss timely and important system operation, control and security commercial QKD systems as well as a newly proposed integration of wind, solar and energy storage resources, problems influenced by the needs of electric power utilities. measurement-device-independent QKD system, to be including plug-in hybrid/electric vehicles. Improving robust- Green Telco Cloud The work will provide timely design insights and instruments custom built in-house; and (iv) the overall integration of the ness and power quality of the electrical grid via intelligent In this project we are investigating the migration of the telecom essential for developing more reliable, secure and survivable first three components to produce a dynamic real-time control of power-electronically interfaced sources and loads service provider infrastructure into a green cloud-computing smart grids. Solutions for resilient smart-grid development large-scale bed test, the first of its kind, facilitating unprece- is a major focus. Research into high-power applications infrastructure. We model and experimentally assess the and operation are just emerging and the proposed research dented insights into smart-grid integration and operation. revolves around exploitation of established and emerging provides a necessary framework to better assess, redevelop HVDC and FACTS technologies to improve utilization and performance of existing and future services using cloud and prioritize them. Moreover, this research helps to reinforce stability of power transmission systems. computing. We focus in particular on services that depend the synergy among communication, computation, economic on wireless access networks. and electricity networks, fostering an important interdisciplinary view of the emerging smart-grid. The ability to build resilient smart-grid systems will provide commercial and environmental 24 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 25

NSERC Strategic Network on Smart end-to-end delay constraints. To make the optimization the fairness–efficiency trade-off. The fairness–efficiency trade- Fair Resource Scheduling in Applications on Virtual Infrastructures problem easier to solve, Airlift uses intra-session network off shown in the example above generally exists for multi- Large-Scale Networked Systems coding and the notion of conceptual flows. A real-world im- resource packet scheduling, but it has received little attention. The NSERC Strategic Network on Smart Applications plementation of the Airlift protocol has been developed, which Existing multi-resource queueing algorithms focus solely The principles of network science permeate wide-ranging on Virtual Infrastructures (SAVI) is a partnership between shows that our new protocol design performs substantially on fairness. However, for applications with a loose fairness applications such as communications, cloud computing, Canadian industry, academia, government, education better than state-of-the-art peer-to-peer solutions. requirement, trading off some fairness for higher efficiency and power grid management, transportation, and biology. A research networks and high-performance computing centres. higher throughput is well justified. In general, depending central issue is how to effectively share network resources SAVI is developing a virtualized converged computing and on the underlying applications, a network operator may weigh among competing agents. We are interested in developing communications infrastructure that can support the rapid IQUA.ECE.TORONTO.EDU/SPOTLIGHTS/GESTUREFLOW fairness and efficiency differently. Ideally, a multi-resource new theories and practices for fair resource scheduling in deployment of large-scale distributed applications. A key queueing algorithm should allow network operators to flexibly large-scale networked systems. Examples of our investigation innovation in SAVI is the notion of a smart edge that comple- GestureFlow: Streaming Multi-touch Gestures specify their trade-off preference and implement the specified include cloud computing economics, distributed smart-grid ments remote datacentres to build an extended cloud. SAVI trade-off by determining the “right” packet scheduling order. control, and multi-resource fair scheduling. has designed and deployed a seven-node national testbed To support collaboration among multiple users in real time, to support experimentation in future internet protocols we propose that gestures are streamed in a broadcast fashion and architectures as well as future large-scale applications. from one user to all participating users. Streaming gestures IQUA.ECE.TORONTO.EDU/SPOTLIGHTS/MATCHING Heterogeneous Data Communication The SAVI testbed is federated with the U.S. GENI testbed themselves, rather than application-specific data, makes for Mobile Cloud Computing for network innovation. it possible to optimize the design and implementation of a Optimizing Datacentre Operations gesture broadcast protocol that can be reused by any with Practical Complexity Two revolutionary technologies, cloud-based computing and gesture-intensive application that needs to support multiparty smart mobile devices, have fuelled the emergence of a new collaboration. We believe this is a more elegant and reusable The unprecedented growth of mega-datacentres, in which mobile cloud-computing paradigm. On the one hand, cloud- Levi, Ofer solution that serves the needs of an entire category of gesture- hundreds of thousands of machines are assembled to process computing centres, accessible through the Internet, produce WWW.BIOPHOTONICS.UTORONTO.CA intensive applications. Once received, a gesture stream a massive amount of data for Internet-scale services, has been shared pools of always-on computing resources such as can be rendered in real time by a live instance of the same driving the evolution of computing. Designing algorithms to software, CPU, and storage. On the other hand, smart mobile Optical Biosensors and Biomedical application on a receiver. To take such broadcast of gestures optimize datacentre operations is thus imperative. At the same devices have evolved, driven by the drastic rise of hardware, Imaging Systems a step further, we believe that multiple gesture broadcast time, the scale of the infrastructure calls for novel approaches application, and wireless communication capabilities, to sessions need to be supported concurrently, so that any to reduce the complexity of the solutions and make them become ubiquitous tools for both content consumption and Our main fields of interest include biophotonics and semi- participating user can be the source of a gesture stream. practical. In this project, our research objective is to resolve content creation. These two new technologies perfectly conductor optical devices, and in particular, the development In this work, we have designed GestureFlow, a new gesture the tussle between optimality and practicality in designing complement each other, with cloud servers providing the of miniature optical biosensors and biomedical imaging broadcast protocol specifically designed for multiple concur- algorithms for datacentres. First, for a single datacentre we engine for computing and smart mobile devices naturally systems enabled by semiconductor optical devices and nano- rent broadcast sessions of user gestures. We point out that have designed Anchor, a resource management system that serving as human interface and untethered sensory inputs. structures. We seek to design, fabricate and use miniature gesture streams typically incur low yet bursty bit rates, unlike effectively allocates server resources to virtual machines. This research targets the large-scale heterogeneous commu- optical imaging systems for portable microfluidics diagnosis traditional media streams. They do pose unique challenges, as Instead of being optimal, Anchor is designed to be flexible and nication and networking architecture expected to serve as systems and for in vivo applications such as optical brain gesture streams need to be received with the lowest possible practical and uses a unified mechanism to support diverse the backbone of the emerging mobile cloud-computing imaging and continuous monitoring of tissue kinetics. Our delay and packet losses are not tolerable. In our design of allocation policies expressed by operators and tenants. It paradigm. We envisage a seamless global system of research is divided into two main categories: (i) miniature GestureFlow, we use network coding and present a detailed abstracts performance goals as preferences and uses a novel computing, communication, and applications, supported by biosensors for optical sensing inside Lab-on-a-Chip microflu- design that takes advantage of inter-session network coding stable matching algorithm to solve the matching problem a synergistically operated mobile cloud-computing system, idics chips; and (ii) biosensors and optical imaging systems to support low latencies across multiple broadcast sessions. efficiently. We have also studied the problem of workload incorporating hybrid macro cloud centres, micro cloudlets, and for portable imaging inside the body (in vivo imaging) for neural management for multiple centres distributed over a wide smart mobile devices. Topics of investigation include mobile imaging, tissue imaging, and cancer studies applications. geographical area, where it is possible to go for both optimality computation offloading, virtual machine placement and allo- Our lab collaborates with research labs and with physicians IQUA.ECE.TORONTO.EDU/SPOTLIGHTS/MULTI-RESOURCE- and practicality. I propose to exploit the geographical diversity cation, and co-operative joint communication-computation. at Toronto’s hospitals, to translate our studies and apply PACKET-PROCESSING/ to reflect the electricity and bandwidth price difference at the imaging systems we develop to disease monitoring and different locations and ISPs and develop a novel distributed patient care. Multi-resource Packet Processing algorithm to solve the large-scale optimization problem Resource Management and Optimization in Software-Defined Networks with faster convergence than that of traditional methods. in Wireless Networks Li, Baochun Queueing algorithms determine the order in which packets In next-generation heterogeneous wireless networks, the increased number of networked devices and the broadband IQUA.ECE.TORONTO.EDU in various independent flows are processed, and serve as a Liang, Ben fundamental mechanism for allocating resources in a network nature of application demands will increase the need for appliance. Traditional queueing algorithms make scheduling WWW.COMM.UTORONTO.CA/~LIANG efficient resource sharing. The goal of this research is to Airlift: Video Conferencing as a Cloud decisions in network switches that simply forward packets to develop fundamental theories, communication algorithms, Service Using Inter-datacentre Networks their next hops, and link bandwidth is the only resource being Broadband Multimedia Communication and networking protocols for efficient allocation of spectrum, allocated. In modern network appliances, e.g., middleboxes, in the Mobile Environment hardware, and power in high-throughput wireless networking It is typical for enterprises to rely on services from cloud link bandwidth is no longer the only resource shared by flows. environments. Topics of our investigation include co-operative providers in order to build a scalable platform with abundant In addition to packet forwarding, middleboxes perform a Multimedia content is the single most influential factor driving communication, small-cell networks, interference management, available resources to satisfy user demand and for cloud variety of critical network functions that require deep packet the need for increased mobile network capacity and device stochastic optimization, and dynamic resource allocation. providers to deploy a number of datacentres interconnected inspection based on the payload of packets, such as IP capability. The proliferation of cloud-based content with high-capacity links across different geographical security encryption, WAN optimization and intrusion detection. distribution services and video social-networking applications regions. We argue that multiparty video conferencing, even Performing these complex network functions requires the will severely stress the existing mobile systems. The inherent with its stringent delay constraints, should also be provided as heterogeneity of both wireless access technologies and Lie, David support of multiple types of resources, and may bottleneck on WWW.EECG.TORONTO.EDU/~LIE a cloud service, taking full advantage of the inter-datacentre either CPU or link bandwidth. For example, flows that require mobile devices enables rich and ubiquitous multimedia network in the cloud. We present Airlift, a new protocol basic forwarding may congest the link bandwidth, while those services, but it also significantly complicates system design. designed for the inter-datacentre network, tailored to the needs that require IP security encryption need more CPU processing We are interested in investigations into system optimization, Computer Systems Security of a cloud-based video conferencing service. Airlift delivers time. A queueing algorithm specifically designed for multiple resource management and algorithm design to create My research goal is to make computer systems safer and packets in live video conferences to their respective destin- resources is therefore needed for sharing these resources fairly innovative technologies for multimedia communication in more reliable. With the degree to which computing has ation datacentres with the objective of maximizing the total and efficiently. One of the challenges we have investigated is the mobile environment. Promoting a synergistic approach, throughput across all conferences, yet without violating we work at the interface between mobile access, broadband permeated our lives, from mobile smartphones to ubiquitous communication, and distributed-system technologies. 26 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 27

cloud computing, it is crucial that this infrastructure that we Liscidini, Antonio Wireless Sensor Network for We will build a prototype MDI-QKD system and demonstrate rely so heavily on be secure and reliable. I take a variety of Steelmaking Optimization its robustness against detector side channel attacks. We will approaches to achieving this goal, including techniques using WWW.EECG.TORONTO.EDU/~LISCIDIN also develop the theory of MDI-QKD and take into full account operating systems, computer architecture, formal verification various imperfections in real-life devices. Our work will allow Smart Power Optimization The optimization of steelmaking processes is based on and networking. I like building prototypes with my students to multiple sensors placed around the furnace to monitor several us to use our enemy in quantum cryptography. demonstrate our ideas. My current areas of focus are building for Wireless Transceivers parameters such as gas concentrations, temperature and more secure smartphones and cloud computing systems. vibrations. These sensors have to survive in the very harsh Mobile Internet access has become very popular with the in- environment of the furnace in order to provide reliable meas- Quantum Cryptography: From troduction of 3G and 4G networks that offer high-speed wire- urements, which are used for safety, quality, and environment Theory to Practice less connections. Until now, this mobile revolution has been and process control. Currently the sensors are bulky and Liebeherr, Jorg driven by the possibility of having low-cost mobile terminals connected by cables, leading to frequent mechanical damage We seek to build high-speed (>1 Gbit/s), unbreakable, secure WWW.COMM.UTORONTO.CA/~JORG with Internet access, enabling ICT applications in education, due to the hot particles and equipment moving near the communication systems based on quantum mechanics.“The health, government, banking, environment monitoring and furnace. Furthermore, the vast numbers of sensors, in many human desire to keep secrets is almost as old as writing itself.” Enabling Heterogeneous Self-organizing business. Although several “smarter” phones with multi- cases redundant due to the problems explained above, need With the advent of electronic businesses and electronic standard capabilities have been introduced, the path towards Machine-to-Machine Networks to be periodically maintained to provide reliable safety and commerce, the importance of encryption for secure a universal mobile radio is far from smooth. Nowadays process information. The proposed project has two goals. The communications is growing. Standard encryption schemes Machine-to-machine (M2M) communications have produced smartphones are still extremely expensive compared to first one to investigate the possibility of replacing the cables are based on unproven computational assumptions. In a new paradigm for creating distributed applications that simple phones and have a battery life limited to a couple of with a wireless bridge based on an ultra-low-power transceiver. contrast, quantum code-making offers perfect security in connect previously unseen numbers of intelligent devices. days. The main reasons for these limitations are the use of The transceiver will be implemented using CMOS technology, communication, based on the laws of physics. Our goals M2M applications must satisfy demands for low cost, dedicated transceivers for each standard supported and the making it compact, low cost and with a long battery life. The are to dramatically improve both the performance and the scalability, and low overhead, and must be able to operate ever-increasing demand for better performance and thus second goal is to demonstrate the possibility of combining security of practical quantum key distribution systems. over a mix of different communication systems. Thus, faster communication. These two factors nullify all attempts the transceiver with the sensors required to monitor the steel- We do so through system building and studying hacking M2M applications can greatly benefit from self-organizing to reduce power dissipation and the overall bill of material. making process, creating a fully integrated wireless sensor strategies and countermeasures. approaches to networking, because of their ability to adapt to The main idea of this project is to apply the concept of node. With a large number of these low-cost wireless sensors, changes of network topology, traffic mix and service require- reconfigurability, which enabes mobile terminals to dynamically it will be possible to build a wireless sensor network around ments. However, the use of self-organizing design principles and autonomously adapt to changing environmental condi- the furnace, magnifying the sensing capabilities and improving for heterogeneous M2M systems remains largely unexplored. tions and reduces their energy consumption. There are many Maggiore, Manfredi reliability due to the absence of cables. The objective of this research project is to harvest the potential examles in nature of dynamic fitting of performance to changing WWW.SCG.UTORONTO.CA/~MAGGIORE of self-organizing networks for M2M communications. The boundary conditions, since it represents the best way to ability to have large-scale networks that can be deployed achieve maximum efficiency in highly complex systems. Formation Control in Multi-vehicle Systems instantaneously and inexpensively creates opportunities for Almost all ecosystems are based on this principle, which Lo, Hoi-Kwong simpler and more resource- and cost-efficient networking, allows them to evolve while minimizing energy dissipation. WWW.COMM.UTORONTO.CA/~HKLO/QRNG This research, performed in collaboration with ECE Professor and may lay the foundation for innovative technologies. We Luca Scardovi, aims at developing strategies to control rigid formations of a large class of vehicles. The vehicles in develop new theoretical and practical approaches to realize High-Speed Quantum Random Ultra-Low-Power Transceivers question are propelled by a thrust vector and possess an self-organizing M2M communications in three areas: Number Generator (1) scalable routing and name resolution over collections of for Wireless Sensor Networks actuation mechanism that induces torques about the three body axes. Examples include quadrotor helicopters, vertical wired and wireless networks; (2) real-time performance Quantoss is a high-speed quantum random number generator take-off and landing (VTOL) aircrafts, underwater vehicles monitoring for network optimization; and (3) dynamically load- Wireless communication represents one of the most important (QRNG) prototype, which is a joint effort of Mars Innovation, and satellites. The challenge in this research problem is that able and flexible traffic control algorithms for M2M networks. revolutions of the last century. Although initially based only the Department of Electrical & Computer Engineering and the each vehicle can sense its relative displacement, orientation The project carries the proposed solutions from theory to on star-mesh networks (e.g., cellular), at the end of the 1990s Department of Physics at the University of Toronto. It gener- and velocity with respect to nearby vehicles, but doesn’t implementation and provides proof-of-concept prototypes. some wireless systems started to also adopt peer-to-peer ates truly random numbers from the quantum phase noise know its absolute position or orientation. Yet, using this (P2P) architectures, Wireless Sensor Networks (WSNs) being of a laser. For more information about the technology, please limited information, the group of vehicles should co-operate a prime example. These systems do not require base stations refer to the following articles: B. Qi, et al., Opt. Letters, 35, to achieve a rigid formation. Hybrid Networks for Safety-Critical since they are formed by autonomous short-range wireless 312–314, (2010); F. Xu, et al., Opt. Express, 20, 12366–12377, Mobile Communication Systems nodes. All these nodes monitor and control the environment (2012). We plan to develop the prototype further to make it defining the working area by their spatial distribution. Since compact and low cost. We also plan to develop the software Formation Control of Nanosatellites Application-layer service overlay network solutions developed the high density of units makes the system more flexible and for the classical post-processing. over the last decade have enabled the deployment of network relaxes the sensitivity of the single receiver, in ZigBee network NASA and the European Space Agency have proposed the services not natively available on the Internet, such as content performance is exchanged with the possibility of enabling deployment of nanosatellite clusters to create a platform for delivery systems, broadcast video delivery and distributed long-lasting and cheap devices. Unfortunately the target of a WWW.COMM.UTORONTO.CA/~HKLO scientific observation of the universe. The idea is to launch directory services. Nodes in an ASON generally communicate large-scale diffusion of WSNs was partially missed due to nanosatellites into orbit with each satellite carrying a mirror in a peer-to-peer fashion without a requirement for servers difficulty in realizing both long-lasting battery life and a high Measurement-Device-Independent and to assemble the cluster in a rigid formation. The result or datacentres. In a collaboration with Thales Canada level of system integration in order to minimize the costs of would be a large orbiting telescope with unprecedented Transportation Solutions (TCTS), we develop service overlay the single device. Recently, with a consolidation of technolo- Quantum Key Distribution resolution and range. One of the key challenges in deploying network solutions in support of safety-critical communication gies like MEMS, the possibility of energy harvesting and the Quantum cryptographic systems are, in theory, unconditionally such a telescope is the development of formation control in train control systems. Specifically, we will use service overlay evolution of compact energy storage cells, industry interest secure. In practice, quantum hacking has emerged as a key algorithms. The electric actuators used to propel nanosatellites networks to establish hybrid networks, where an existing in WSNs is rising again. The goal of this project is to realize a challenge to their security. To foil quantum hacking, we have (electric thrusters) produce very low thrust with low resolution. (wireless and wired) infrastructure network is enhanced by a transceiver with average power consumption below 100 uW recently proposed an entirely new approach — measurement- These two factors, combined with tight specifications on the mobile SON to provide alternate communication paths. The to operate from harvested energy, sustaining an autonomous device-independent quantum key distribution (MDI-QKD) — accuracy of the control task, make formation control partic- main challenge of the project is to satisfy latency requirements short-range communication to enable an ultra-low-power that could “short-circuit” all detector security loopholes. In ularly difficult. This research, in collaboration with Professor of the train control system. Solutions from this project will wireless sensor network. In this case low power consumption other words, the system will be automatically immune to all Chris Damaren at UTIAS, aims at developing a formation reduce the need for infrastructure of the rail signalling system and low costs will be achieved by combining the functionality detector side channel attacks. This is remarkable because control methodology that takes into account the character- in train tunnels, as well as increase the availability of communi- of several building blocks. Indeed, recycling bias current it means that commercial QKD detection systems would no istics of electric thrusters and solves the formation control cation between train cars and the back end infrastructure. and devices is the prime strategy to minimize area, power longer require any special security certifications and, in fact, problem with the required accuracy. consumption and complexity of the transceiver. Furthermore, they could even be manufactured by a malicious eavesdropper. minimizing the overhead associated with each start-up-wake-up cycle will maximize the efficiency of the node. 28 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 29

Virtual Constraints: A New Paradigm cameras and cellphones. See “Comparametric Equations gives absity. Integrating once more gives abseleration. width but, unlike the optical interconnects, they can easily be for the Control of Motion with Practical Applications in Quantigraphic Image Processing,” Absement, absity and abseleration arise in fluid flows. For miniaturized. However, plasmonic waveguides have their own IEEE Transactions on Image Processing, vol. 9, no. 8, example, the amount of water flowing through a valve is the challenges. Chief among these are (1) large propagation losses, The traditional approach to making robots perform complex pp. 1389–1406, Aug. 2000, which you can download from absement of how open the valve is, i.e., the time-integral of and (2) lack of various efficient and integrated plasmonic motions relies on a hierarchical decomposition of the control www.eyetap.org/publications the openness. Other examples of absement arise in hydrau- devices such as polarizers, directional couplers and bends, task—motion planning at the high level and reference tracking lophonic sound production (sound from vibrations in water): to name a few. In order to overcome the losses associated at the low level. This approach has proven to be inadequate see www.wearcam.org/absement/ with SPP while maintaining a small device size, our group in complex motion-control problems such as locomotion in WWW.EYETAP.ORG was among the first to propose a hybrid plasmonic waveguide multilegged robots or flight in birdlike robots. This research (HPWG). The HPWG can be viewed as an optimized structure aims at developing a new paradigm for motion-control. This EyeTap Electric Eyeglasses, Personal Mojahedi, Mo exhibiting a compromise between loss and mode size. More- over, fabrication of our HPWG is compatible with the existing paradigm is based on the concept of virtual constraint—a Safety Devices and Systems WWW.MOGROUP.UTORONTO.CA constraint on the states of a control system that does not silicon technology. Our HPWG can be used as a building The EyeTap electric eyeglasses cause the eye itself to become block for the next-generation plasmonic devices such as physically exist, but can be enforced via feedback control. Engineering the Electric and Magnetic The literature demonstrates that this idea has been used to both a camera and a display for computer-mediated reality TM- and TE-pass polarizers, polarization independent couplers induce stable walking in biped robots and we believe it can that achieves augmented reality but also goes beyond it, Dispersive Responses of Artificial Media and other novel components. be used to emulate the flight of birds and insects and the not only augmenting but also modifying. The wearable face- Many of our modern conveniences are the consequence of swimming of fish and, more generally, to induce complex recognizer puts virtual name tags on people, etc. The mediated our ability to control and modify the behaviour of naturally behaviours in robots. vision helps people see better and find their way better, and occurring materials and to design and manufacture artificial Moshovos, Andreas generally improves their personal safety. See www.eyetap.org materials and systems with novel properties. In electro- WWW.EECG.TORONTO.EDU/~MOSHOVOS magnetic theory, the behaviours of materials and systems Mann, Steve Lifeglogging: Lifelong Videocapture are characterized according to the so-called —“dispersive Bandwith-Efficient DRAM Controllers WWW.EYETAP.ORG/RESEARCH/MEDR.HTML effects.”— Depending on the researcher’s area of interest in Noncoherent Systems Since early childhood I’ve been wearing a computer system and expertise, he or she may use different terminologies Augmented Reality Will Never Work and that captures my life. In the 1990s I miniaturized this into a such as delays, indices or velocities to characterize the same Embedded and mobile hand-held devices have been prolifer- That’s Why We Need Mediated Reality necklace with fish-eye lens and various sensors (wearcam. dispersive effects. Despite these different nomenclatures, ating, enabling applications that were impossible or cumber- org/neckcam.htm) and presented this work to Microsoft fundamental and important relations exist among the various some with the big iron machines of the past. Each new Augmented reality, whether through hand-held iPhone appli- as the Keynote Address of CARPE in 2004. Microsoft has delays, indices and velocities. The dispersion engineering generation of these devices offers more capabilities, enabling cations developed in our lab and elsewhere, or by eyePhone subsequently manufactured a similar product called SenseCam. paradigm formulates our attempts to control and manipulate new applications: While early mobile devices were capable (electric eyeglasses), has been shown to be problematic Other companies such as DARPA, HP Labs and Nokia have these various delays, indices or velocities — the dispersive of simple tasks and low bandwidth communication, today’s because it causes information overload. What we’ve learned also been building on this lifeglogging work. The work is effects — by synthesizing artificial materials and designing devices offer many more capabilities such as multimedia, is that an older concept called “mediated reality” overcomes known by many other names such as lifelogging, lifeblogging, novel systems. These systems in turn allow us to control and navigation, digital photography, etc. As their capabilities these problems. We’ve developed various mediated-reality CARPE, or lifestreaming. We now have a community of more manipulate the amplitude and phase of voltage or current increase, novel applications such as health monitoring will iPhone apps as well as eyeglass apps, etc., that help people than 80,000 “cyborgs” online and research continues into waveforms and/or electromagnetic pulses in order to achieve be possible. For these possibilities to materialize, mobile and see better and find their way better. The work emphasizes the mobile multimedia iPhone apps, as well as versions built a desired outcome. For example, the paradigm of dispersion embedded systems need to become more powerful while the fundamentals of physics, computer science and inside the eye sockets of the blind. engineering has been used to demonstrate unusual behaviours maintaining reasonable uptime. A mobile system today con- engineering. It is also closely coupled with the undergraduate such as negative or superluminal group delays and negative tains several compute engines that are all supported by an and graduate course ECE516: wearcam.org/ece516/ refractions. In addition to scientific interest in such unusual external memory device. As the computation needs increase, Musical Instruments and Other behaviours, dispersion engineering has been used to design more data needs to be fed to these engines. The link between Human–Machine Interface Inventions more functional microwave devices such as broadband these engines and the memory is the memory controller. WWW.INTERAXON.CA phase shifters, efficient antenna arrays and interconnects with The memory controller can greatly affect how much data the This research looks at innovative human–machine interaction reduced latency, to name a few. memory can provide, and at what energy cost. This work will Brain-Computer-Interaction (BCI) and based on arrays of air jets, or the like, to create volumetric develop memory-controller technologies that will boost data tactile input devices such as air typing, in which the fingers EEG-Based Cyborg Technologies feeding capabilities while taking power into account. The move through space and interact with air currents. We’ve also Nanoplasmonic and Nanophotonic Devices goal is to develop the memory-controller technology that will Brain-computer-interaction (BCI) systems developed as part developed a computer keyboard that has no moving parts. In be used in future-generation mobile devices in support of place of each key is a finger hole, supplied by a system that Performance of computers is expected to eventually reach more demanding applications, while allowing the device to of wearable computing and cyborg technologies have been its fundamental limits in terms of speed, bandwidth, power widely deployed in industry. Our work was showcased at the detects restrictometric parameters of air flow of waste air from stay on for longer periods of time. the CPU fan. The research is based on Karman Vortex shedding consumption and electromagnetic interference. The problem Vancouver Olympics as part of Ontario House and continues lies partly in the degrading performance of electrical intercon- to be adapted into various products around the world. BCI across bluff bodies, like the shedder bars in the hydraulophone nects. Unlike transistors, in which functionality increases with Exploiting Multimegabyte On-Chip based on the Chirplet Transform (http://wearcam.org/chirplet. (underwater pipe organ) and turbulences as a form of input and output medium. This research goes beyond what’s miniaturization, the functionality of electrical interconnects Memory Hierarchies htm) has been the subject of a recent PhD thesis and a number degrades substantially with miniaturization. One suggestion of research papers. See www.eyetap.org/publications possible with the hydraulophone; take a look at this video and then imagine the possibilities when we miniaturize it and is to replace the electrical interconnects with optical inter- Several technology and application trends favour chip use air instead of water: vimeo.com/14018088 connects, which do not suffer from signal latency, limited multiprocessor (CMP) architectures, which integrate multiple bandwidth or high power consumption compared to their processor cores, a memory hierarchy and interconnect onto WWW.WEARCAM.ORG/COMPARAM electrical counterparts. However, there is a major problem the same chip. CMPs could be used for commercial servers WEARCAM.ORG/ABSEMENT/EXAMPLES with optical interconnects and waveguides. The optical mode and for end-user systems, as they can support both multi- Comparametric Equations and High size, and hence the device size, are approximately proportional program and parallel/multithreaded workloads. They can also Dynamic Range (HDR) Imaging Physics-Based Modelling Using to the operational wavelength. In other words, while transistors be used as the building blocks for shared multiprocessors with dimensions of approximately 50 nm are common today, Presement and Absement (SMPSs). Designing high-performance and power-aware High Dynamic Range imaging has many applications, such the micron size of optical devices makes their integration memory hierarchies and interconnects is imperative for CMPs as in electric eyeglasses. On the pure-math side, there’s the with electronics difficult. Surface plasmon polariton (SPP) — Velocity is the time-derivative of position or displacement; in order to meet the memory demands of multiple processors theory of comparametric equations. On the practical side, surface waves at the interface between a metal and dielectric differentiating once more gives acceleration. But what and applications while not exceeding power constraints. there are applications in extending the dynamic range — may provide a solution. These plasmonic waveguides, happens when you take the time-integral of displacement? Continuing application trends towards larger memory of imaging devices such as electric eyeglasses, portable like optical interconnects, have small latency and large band- The result is something called absement. Integrating again footprints, multiprogram workloads and the ever-increasing 30 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 31 speed gap between on-chip and off-chip memory compound support this aspect of virtualization. In addition, this project Najm, Farid circuits with dynamically adjustable driving strength to to put further pressure on the on-chip memory hierarchy and investigates the caching and communication architecture for suppress EMI and improve efficiency, and dead-time control interconnect. Furthermore, on-chip integration presents us fused CPU and graphics processor systems. WWW.EECG.UTORONTO.CA/~NAJM circuits, as well as integrated class-D power amplifiers. with new trade-offs and opportunities for optimizations that need to be exploited to deliver the expected performance/ Power Grid Verification watt. Additional opportunities are provided by stack-die and Smartphone and Mobile Pavel, Lacra on-die DRAM technology that may be used to incorporate Platform Architecture With increased power dissipation and reduced supply multimegabyte caches. The key questions addressed by voltage, modern large microprocessor chips draw over 150 WWW.CONTROL.UTORONTO.CA/~PAVEL/LP_RESEARCH2.HTM this research are (1) How do we manage these multigigabyte Cellphones are changing the way we interact with each other, amperes from the external supply! These levels of current are caches? Are the techniques currently used still adequate, access information and do business. Just a few years ago, unprecedented in microelectronics and are a key challenge Decentralized Optimization and Game Theory or is there room or need to rethink these decisions? and cellphones offered just voice calling and short text messaging. for design. Apart from the design issues of delivering a We are working on decentralized dynamic optimization from (2) Can we exploit this tremendous wealth of on-chip storage Today cellphone capabilities parallel those of recent, high-end well-regulated low-voltage supply at such high current, a key mathematical problem formulation to algorithm design. The to further optimize performance beyond what is possible desktop systems, while they include several additional capa- problem for chip designers is to make sure that the increased optimizing agents could be nodes in a network, channels by simply caching instructions and data? Accordingly, the bilities to communicate and interact with the physical world, voltage drop and/or rise (due to IR-drop and/or Ldi/dt drop) in a link or network or even autonomous robots in a group proposed research comprises two thrusts: The first considers such as embedded cameras and touch, position and acceler- in the on-chip power/ground grid does not lead to functional formation. We consider either a game theoretical framework the use of coarsegrain tracking to achieve performance that ation sensors. New applications are continuously emerging failures. Another major problem is designing the grid so that or an optimization framework. In a game theoretical frame- is otherwise not possible with conventional cache manage- including image-based searching, speech recognition and the grid metal branches do not suffer from electromigration work, agents or players are endowed with an individual cost ment techniques. The second exploits the on-chip caches to translation. All of this is possible because smartphones failures. We are aware of at least two industrial instances, function to be optimized and the aim is to achieve a Nash store program metadata in addition to instructions and data. incorporate significant power. However, providing this power (a DSP core and a large microprocessor) where the chip had equilibrium, whereby no player has an incentive to deviate Program metadata is information collected at run time about is a very challenging task, because smartphones must operate to be redesigned because functional failures on silicon were from its action. In an optimization framework, our work program behaviour that can be used to anticipate and optimize using limited energy sources while maintaining a reasonable caused by current-induced noise on the power grid. However, considers a number of agents that co-operate to estimate for future program demands. manufacturing cost and a relatively small physical size. A checking the grid node voltages and branch currents is very key mechanism for improving smartphone capabilities is time-consuming and expensive, so that it is often done the minimum of the sum of their locally known cost functions. computer architecture that studies how to build smartphones incompletely or not at all. We are developing efficient techniques These agents are to dynamically adjust their actions, FPGA-Friendly Processor Architectures given the available manufacturing technologies, while taking for verifying that the voltages and currents of the power/ in response to their individual cost and the analogous for Irregular Applications into consideration the applications that these phones will ground grid are safe and within user specifications and, if the decisions made by neighbouring agents (nodes), a use. Computer architecture faces continuous challenges for grid is found to be unsafe, for redesigning and optimizing consensus-based idea. Our assertion is that, as embedded applications evolve, two reasons: (1) the properties of the underlying technology the grid to achieve safety. some of them will exhibit irregular behaviour. We have change significantly over time, and (2) so do the applications. demonstrated that conventional soft processors are This work seeks to understand smartphone applications and Energy Optimization Algorithms inefficient for this purpose and have proposed FPGA-friendly to identify opportunities to improve smartphone architectures, Ng, Wai Tung in Railway Networks designs for various processor structures. We are looking leading to next-generation smartphones. The primary target WWW.VRG.UTORONTO.CA/~NGWT Concerns over carbon emissions, climate change and at conventional processor implementations developed of the work is applications that acquire, manipulate and use sustainability are motivating global efforts to reduce energy for ASIC implementation, identifying inefficiencies when images and video in smartphones. Expected benefits include Smart Power Integration and consumption in transportation systems. Reducing energy these are implemented on an FPGA. We are proposing increased compute capability and functionality, novel imaging consumption in railway networks is an important component alternative organizations that are FPGA-friendly instead. applications, improved energy efficiency and reduced cost Semiconductor Devices and goal of such efforts. This requires advances in dynamic for smartphone platforms. Our research group is focusing on the integration of power real-time optimization. Yet, real-world applications of math- Power-Aware Cache-Based Structure Design devices, smart-power integrated circuits and power ematical optimization techniques are not widespread in the management systems. Our group has worked extensively railway industry. This research project addresses this gap Computing devices comprise processing elements that Nachman, Adrian in the development of CMOS-compatible HV fabrication and is focused on real-time optimization of railway networks process digital information and memory elements for storing WWW.ECE.UTORONTO.CA/PEOPLE/NACHMAN-A processes for automotive and consumer applications in the towards minimizing energy consumption. Specifically, the digital information. Because of technological constraints, 40–100V range. We also have ongoing collaborative projects objective of this project is to formulate a framework and the memory tends to be significantly slower than the processing MRI-Based Impedance Imaging with our industrial research partners to develop discrete and associated mathematical algorithms for dynamically re-adjust- elements it supports. Accordingly, virtually all modern integrated power MOSFET and silicon- and SiGe-based ing timetables in a multiple-train railway network such that computing devices employ caches, which are additional This ongoing project seeks to image electric properties BiCMOS fabrication processes for smart power ICs and the total electrical energy consumed is minimized and the small and fast temporary memories that serve to accelerate of tissue with novel use of Magnetic Resonance Imaging wireless applications respectively. In recent years, we have utilization of produced regenerative energy is maximized. most references to the otherwise slow memory elements. In apparatus. It is joint research with Professor Mike Joy’s focused on the design and implementation of VLSI power recent years, power dissipation has emerged as an additional laboratory, where Current Density Imaging was first management circuits. This includes the demonstration of critical design constraint in computing device design; power invented. Recent progress includes the first electric integrated soft-switching topology with predictive dead-time Phang, Khoman conductivity images of the heart in live animals and the control and a practical DVS (Dynamic Voltage Scaling) system limits performance for all devices and uptime for portable WWW.EECG.UTORONTO.CA/~KPHANG ones. Low power dissipation and performance are at odds: first method of imaging anisotropic conductivities (such in 2004. We are also working on the integrated DC-DC high performance typically comes at the price of high power as those in muscles and brain tissue). converters with digital control. One of our aims is to prove dissipation. Caches account for a significant portion of total that a digital controller is a viable approach to portable power Friends of Design power dissipation (e.g., 25% to 45% in a modern processor). management. We were able to demonstrate an integrated Friends of Design is a network to promote communication Because of trends in semiconductor technology, their Spatio-Temporal Analysis of Multicontact DC-DC converter with dynamically adjustable power within the ECE department at the University of Toronto. Our power dissipation is expected to increase in relative terms. Nerve Cuff Recordings transistor size for power conversion efficiency optimization goal is to have contacts in each area of ECE willing to direct Accordingly, there is a need for techniques to reduce their at ISPSD’06 for the first time. In 2010, we introduced a inquiries to appropriate experts within the department and power dissipation while maintaining performance and usability. This project, in collaboration with Professor Jose Zarrifa’s superjunction power FinFET at IEDM 2010. This is exciting the network. Inquiries are welcome from students, faculty In addition, virtualization is emerging as a key technology laboratory at the Toronto Rehabilitation Institute, seeks to find work toward enabling the next-generation FINFET CMOS and staff, as well as undergraduate students, outside faculty, for future server systems. Caches will play an important role new inverse problem approaches for extracting information fabrication technology to be compatible with the implemen- academe, industry and alumni. Find us on Facebook at in virtualization, as they can accelerate access to memory from peripheral nerves. Applications include neuroprostheses tation of smart power ICs. Power management is currently https://www.facebook.com/groups/266464955455/ from devices without intervention from the processor (this is for individuals with neurological injuries and amputations, an area of intense activity. Our group is focused on the necessary for adequate performance). Accordingly, there is as well as a valuable tool for basic neuroscience research. integration of the controller with power output stages. We a need to understand and develop caching mechanisms to currently have activities in all-digital on-chip temperature sensors for thermal management applications, gate driver 32 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 33

Plataniotis, Konstantinos Poon, Joyce fibre length measurements; multipoint optic sensing for inspiring and incredibly useful software apps in the areas stress and/or temperature sensing (used in civil structures); of medicine, music, psychology, senior support, banking, N. (Kostas) WWW.PHOTON.UTORONTO.CA multipoint chemical gas sensing for environmental cooking, global health, exploring, travel, shopping, games WWW.DSP.UTORONTO.CA monitoring as well as industrial monitoring in hazardous and many more fields. These applications have only just Integrated Photonics for environments; and liquid level sensing in cryogenic envi- scratched the surface of the potential of mobile devices. As Affective Signal Processing: Unravelling Communications and Computing ronments (required in space applications). We are currently our understanding of how mobile technology can be used- the Mystery of Emotions extending this technique to vibration sensing. Another grows, many new possibilities will occur to each of us. As We invent, design, fabricate, and measure integrated photonic technology we developed is called the virtual-reference new hardware sensors and other capabilities are added to devices and circuits, such as electro-optic transceivers and Emotion plays an important role in our daily activities and interferometry (VRI). It was developed by one of our the phones, ever more applications will become possible. optical switches, for communications and computing. Our greatly influences many areas, such as learning, decision graduate students, and has been commercialized by a The purpose of this research/graduate course is to build a unique strength is the breadth of technologies we access. We making and interaction with others. Our decisions and successful start-up company. It is used to characterize collaborative environment of creativity for new applications partner with collaborators in industry, academia, and research courses of action are adapted according to the emotional the dispersion of optical fibres, waveguides, and devices. for mobile devices. Graduate students from all disciplines institutes from around the world to use the most sophisticat- cues we receive while interacting with others. This allows at the University of Toronto are invited to take the course ed electronic-photonic integration platforms. Our photonic the exchange of information to be much smoother and more for credit. It is primarily a project-based course in which the devices and circuits are implemented in the following material effective. Integrating the emotional states of a user into a Quantum Optics and Quantum Communication goal is to produce a working app by the end of the course. systems and platforms: silicon-on-insulator (SOI); indium human–mobile interface will provide a user-centric experience Projects will be done in groups of two or three. Students with phosphide on SOI; silicon nitride on SOI; indium phosphide; Quantum physics introduces revolutionary ideas that enable that enables the interaction to be more intuitive, flexible and computer programming skills will be matched with those and correlated electron materials (vanadium dioxide). Our goal the creation of new tools and methods unimaginable previ- efficient. We are proposing an affective signal processing from non-programming backgrounds to do projects in the is to demonstrate integrated photonic-electronic devices and ously. For example, in communication, quantum technology system that enables real-time analysis, tagging and inference latter students’ disciplines. circuits that are ultra-low-power, high-speed, and compact, offers unbreakable communication security. Transforming of cognitive-affective mental states from facial video and to meet the needs of communication and computing systems fundamental quantum concepts into practical tools is, EEG recordings. This framework combines vision-based of the future. however, not without considerable challenges. We are processing of the face (e.g., a frown or smile) with EEG predic- WWW.EECG.UTORONTO.CA/~JAYAR/CIMSAH/ developing advanced engineering tools, devices and systems tions of mental states (e.g., interest or confusion) to interpret RESEARCH-PROJECTS.HTML that utilize quantum concepts and implement them using our the meaning underlying EEG and facial signals over time. Photonic Devices and Systems group’s fibre-optic technologies. These include fibre-based Eye Diagnosis: Perimetry for New Applications entangled photon pair sources and fibre-based quantum key distribution systems. Our entangled photon source based Privacy-Enhancing Face Recognition As our eyes age, we can suffer from macular degeneration, We are designing photonic devices and systems for new areas on a periodically-poled optical fibre is the world’s simplest often due to glaucoma. If an eye doctor suspects you have of applications, such as quantum information, neuroscience, polarization-entangled photon pair source, and we are the This research encompasses novel ideas in security, biometrics, this problem he or she will send you to have your ‘visual field’ surgical guidance, and NewSpace. We are extending the first to use such a technology. It provides pure (>1000:1 privacy and smart-data management principles. It creates a measured by doing a perimetry test. The standard device capabilities of photonic technology by developing new tools signal-to-noise ratio), broadband (>100 nm), highly polar- radically new digital asset distribution paradigm where privacy- for doing this costs roughly $30,000 and is very heavy. and techniques. This research is at an exploratory stage. ization entangled (>99% interference visibility) photon pairs. enhancing solutions are used to minimize risks to privacy, The goal of this project is to build a perimetry device using Please contact the PI for more details. Such sources are being used for reconfigurable multi-user strengthen regulatory oversight and promote public confidence. an standard Android tablet. If it works, then people around quantum key distribution systems. We will further explore the world will be able to do this test at home, at their leisure. the technology’s potential in quantum sensing. So far, tests on people with normal vision have yielded good Privacy-Protected Video Surveillance Prodic, Aleksandar results. This work is done in collaboration with Professor Moshe Eizenman and Dr. Graham Trope at the Toronto The proposed development is a privacy protection system WWW.ECE.UTORONTO.CA/PEOPLE/PRODIC-A Western Hospital. for video surveillance. It protects the personally identifiable Rose, Jonathan visual information of subjects appearing in video surveillance Power Management and Integrated WWW.EECG.TORONTO.EDU/~JAYAR/SOFTWARE/GENIE/ Switch-Mode Power Supplies footage by performing reversible encryption on the corres- Eye Tracking on Mobile Devices ponding pixel regions (e.g., the face). This is a unique and Automatic Interconnect Synthesis Low-to-medium switch-mode power supplies (SMPSs), used effective privacy-enhancing solution that can be applied and Optimization for FPGAs The goal of this project is to bring low-cost and low-energy in cellphones, computer systems, communications, vehicles, immediately after video capture, but is reversible using a eye-gaze estimation to mobile devices. The technology, medical devices and other applications that consume power The circuitry that connects computing modules on Field- secret key, thus negating the need to store the unprotected based on Professor Moshe Eizenman’s many years of from a fraction of a watt to several kilowatts, have traditionally Programmable Gate Arrays has become more complex, and original video footage in case an incident investigation occurs. experience in eye-gaze estimation, will allow tablets and been controlled by analog means. This is mostly due to the creation of it is one of the slowest and most difficult parts phones to know where on the screen a person is looking. operation at high switching frequencies and requirements of digital circuit design on FPGAs. The goal of this project is This project has an immense number of applications in for low-power cost-effective implementation. As such, they to make all forms of interconnect design easier to do—we Signal and Image Processing for psychiatry, education and commerce. Stereoscopic Cameras, Biometric Sensors suffer from limited flexibility and are not best suited for will do this by automating the creation of interconnect, and and Laser Radar Applications integration with modern digital systems. Our research has making it easier to change the connectivity. Ultimately, we developed enabling technologies for implementing digital wish to optimize the interconnect demands of an application Mobile App for Smoking Cessation We support development in the areas of (1) signal-image controllers in high-frequency low-power SMPSs and is currently circuit simply by responding to higher-level performance processing for 3D imaging applications in the frequency focusing on fully utilizing the digital control advantages as requirements on each logical link, such as bandwidth and The Ontario government spends a significant amount of regimes of visible and infrared stereoscopic camera systems well as on the development of novel converter topologies. latency constraints. We have demonstrated the use of a new money on funding Smoking Cessation clinics, to help people and biometrics sensors; (2) image and data fusion for these tool, called GENIE, that can help designers make efficient stop smoking. They do this because every dollar spent multisensor systems; and (3) implementing the signal-image interconnect at the fine-grain level (within an IP core) and at here saves two dollars by preventing the illnesses related to processing developments for biometrics sensors (e.g., face- Qian, Li the coarse-grain level (between IP cores). smoking. The Nicotine Dependence Clinic on College street tracking features using stereoscopic cameras, vital signs WWW.ECF.UTORONTO.CA/~QIANLI (just south of U of T) has been helping people quit smoking from EEG and ECG sensors) and imaging aid systems for many years, and does research on the subject of how to WWW.EECG.UTORONTO.CA/~JAYAR/ECE1778 for landing helicopters in poor visibility. Fibre-Optic Sensing do this best. Our collaboration with that clinic (Dr. Peter Selby and his research team) is seeking to build a mobile applica- We utilize photonics technology to create instrumentation Creative Applications for Mobile Devices tion that will help people quit smoking—by recording their for fibre-optic sensing and metrology. Our frequency-shifted habits, reminding them of the reasons to quit, and perhaps Mobile smartphones have given rise to an explosion in interferometry technique has been demonstrated to have a alerting them to imminent trigger situations. creativity over the past few years. There have been exciting, variety of applications, such as dispersion measurement; 34 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 35

Sargent, Edward Sarris, Costas notably plasmonics and nanotechnology, employ devices closed-loop control of neuronal synchronization, analysis that are increasingly subject to fabrication process variability. and control of synthetic biological circuits, and coordination WWW.LIGHT.UTORONTO.CA WWW.WAVES.UTORONTO.CA/PROF/SARRIS Moreover, while electromagnetic simulators are now able to in autonomous sensing networks, among others. model large-scale wireless propagation problems, they are Low-Cost High-Efficiency Photovoltaics Advanced Radio Propagation Modelling still limited by the inherent statistical variability of indoor and for Next-Generation Rail Signalling Systems urban environments. In general, the development of powerful Sheikholeslami, Ali We seek to create low-cost high-efficiency solar cells. Our electromagnetic simulation tools that effectively incorporate approach employs colloidal quantum dots—semiconductors The public need for rail transportation safety can be effec- statistical uncertainty is bound to have a far-reaching impact WWW.EECG.UTORONTO.CA/~ALI that are synthesized and processed in the solution phase tively served by precise train control systems, enabled by on the pace of technological advancement with respect to and that, through quantum size-effect tuning, allow the sun’s advances in wireless technologies. Communications-based grand research challenges such as the design of low-cost yet Circuits for Spin Electronics full spectrum to be absorbed. We are also exploring new train control (CBTC) systems aim to provide reliable, wireless efficient solar cells, the development of biomedical instru- Spin Electronics (or spintronics) is a new and emerging field materials including perovskites, promising optoelectronic rail signalling and train navigation via a number of access mentation for cancer detection and treatment, and wireless of science and engineering that exploits the spin of electrons, materials that exhibit impressive photovoltaic performance. points (transponders), which cover the entire area of the service planning. The current state of the art in scientific in addition to their charge, for the purposes of information Finally, we are studying systems of quantum dots embedded railway network. A critical safety mission of CBTC system computing under stochastic uncertainty is based on post- storage, transport and manipulation. The ultimate aim of into perovskites, and these exhibit remarkable optoelectronic planning and installation is to ensure that the number and processing data from repetitive simulations. Not surprisingly, research in spintronics is the discovery and invention of new properties traceable to their atom-scale crystalline alignment. position of access points will maintain wireless connectivity this approach has existed for years and it is too time consuming devices, such as spin transistors, and their integration into for the trains. While lack of coverage in a cellular communi- to incorporate in a typical engineering design cycle. In other semiconductor technology to create better functionality and cation network may result in dropped calls or slow data words, while the level of complexity and the significance On-Chip Gene and Protein Analysis performance at lower cost and complexity. The purpose of speeds, a similar effect in a CBTC system may have much of modelling uncertainty are constantly rising, the relevant this research is to explore circuit techniques for spin-based of Cells and Bacteria more serious consequences for passenger safety. As a result, modelling tools have remained fundamentally the same. This devices that are suitable for nonvolatile memory applications, a detailed radio survey, whereby wireless propagation project is aimed at closing this gap, in order to meet the We create integrated circuits for the detection of panels of replacing conventional memory technologies such as DRAM, measurements are carried out over the entire railway network, challenge of modelling statistically variable electromagnetic biomarkers (nucleic acids, proteins, and small molecules) that SRAM, Flash and EEPROM. The basic structure of a spin- precedes the installation of CBTC systems. Conducting a structures and fields with applications in plasmonics, indicate the early onset of specific types of disease. We have based memory cell is a magnetic tunnel junction (MTJ) that radio survey requires significant resources (time put in by biomedical hyperthermia and wireless communications. also recently developed the means to capture rare cells, consists of two ferromagnetic layers separated by a thin layer qualified personnel, and funds), while the line remains out of Our approach is focused on the fundamental reformulation such as circulating tumour cells, on an integrated circuit, of insulating material. One of the two ferromagnetic layers is service. Often, the pressure to complete the survey results in of field solvers to embed statistical uncertainty in a enabling subsequent biomolecular analysis. We configure a thick layer whose magnetization is fixed. The other one is a overestimating the necessary number of access points. This computationally efficient manner. nanostructured electrodes on a conventional integrated redundancy results in higher installation and maintenance thin layer, also called free layer, whose magnetization can be circuit; functionalize these electrodes with a nucleic acid costs that reduce the competitiveness of CBTC solutions. switched so that its direction is either parallel or anti-parallel probe having a sequence complementary to the target This project is focused on the development of a powerful to that of the fixed layer. This corresponds to storing a digital molecules of interest; and sensitively detect hybridization software package that can significantly accelerate the radio Scardovi, Luca 1 or 0 in the cell. Reading the stored bit is achieved by when it occurs. We are applying the chip to the early survey, using advanced propagation modelling techniques to WWW.SCG.UTORONTO.CA/~SCARDOVI examining the resistance of the cell. This resistance is low for detection of cancer and to the sensitive and rapid detection optimize the distribution of access points for CBTC systems. the parallel state and high for the anti-parallel state. There are of “superbugs” such as MRSA at the point of need. The project will develop a comprehensive modelling framework Analysis and Control of Complex several challenges in the operation of the MTJ device that must for radio-wave propagation in complex railway environments, Interconnected Systems be addressed before the spin-based memory can compete validated through measurements. favourably with Flash. Our goal in this research is to devise Quantum Dots for Light It is well recognized that control has proven to be an essential circuit techniques to circumvent the device’s shortcomings Emission Applications ingredient in almost every engineering system, ranging from and ease the requirements of the underlying technology. Multi-User Wireless Power Transfer power and automotive systems to space missions, and that We develop new ultra-efficient light emitters that reduce energy feedback is a key element in many natural phenomena, rang- consumption and achieve improved colour purity relative to The principle of inductive coupling offers a route to energy ing from molecular pathways in living organisms to ecological High-Speed Wireline Signalling existing display and projection light sources. We use colloidal transfer for very small distances. On the other hand, the systems. Recent years have witnessed an increasing interest This research targets circuit design for high-speed chip-to-chip quantum dots engineered to provide ultra-narrow light emission engineering of coupled magnetic resonances between ca- in systems that are composed of (possibly many) intercon- signalling, backplane signalling and optical communication. in the green and red under blue excitation. pacitively loaded loops has led to the recent demonstration nected units. As a whole, those systems often exhibit one or This includes circuit designs for both the transmitter (such as of energy transfer over a distance of about two metres. more features that cannot be predicted from the properties the design of MUX, equalization and driver) and the receiver Subsequently, several research groups have focused their of the individual parts. These properties (called emergent Solar fuels (such as adaptive equalization and clock and data recovery). At efforts on the possibility of wireless charging of hand-held behaviour) are not an attribute of any single entity: they are speeds beyond multi-Gb/s, even a few inches of a PCB trace devices from a medium distance. This research faces two irreducible and are generated by the interconnection. Our investigations focus on converting solar energy into act like a transmission line and as such exhibit frequency- particularly important challenges: first, the rapid degradation Emergent behaviour can lead to surprising and useful stored chemical energy, mimicking the natural photo- dependent attenuation, signal reflection, crosstalk and timing of energy transfer efficiency with distance and, second, the phenomena such as memory, intelligence and self-organi- synthesis process. We develop highly active catalysts for the jitter. The goal of circuit design in this area is to compensate for safety limitations on exposing the general public to magnetic zation in cells, but can also have disastrous consequences. splitting of water to hydrogen and for the reduction of CO2 the channel attenuation, reduce signal reflections and reduce fields. The twin challenges of efficiency and safety are Examples include the spread of infectious diseases, neuronal to carbon-based products (CO, methane, methanol) through cross talk and timing jitter so as to reduce the bit error rate intimately connected, as higher efficiency implies that lower synchronization disorders in the brain, collective motion in electrochemical processes using electricity from solar photo- (BER) of the communication link, while using less than a few magnetic field amplitude is needed. In this project, a new bacteria, and locust swarms. It is therefore of great interest voltaic cells. We also work to develop efficient photocatalysts mW per Gb/s operation. In the past few years, we have been direction in wireless energy transfer will be investigated, to understand the principles behind the emergence of such for the direct production of solar fuels from water and CO2 able to contribute to this research through the design of ADC- based on near-field antenna beamforming and the emerging properties and investigate methods of controlling them. via a sunlight-driven photocatalysis process. The ultimate based receivers that allow for extensive signal equalization in technology of electromagnetic meta-materials. Also, new algo- The control and systems-theory paradigm is natural in this aims of our projects are to generate clean and renewable the digital domain. Our latest work in this area was presented rithms will be developed for the creation of multiple beams context, but unfortunately “off-the-shelf” techniques are not solar fuels and to close the carbon cycle. at ISSCC 2013 where we presented a 10-Gb/s blind baud-rate that can enable the concurrent charging of multiple devices. always appropriate for such complex systems. In the present receiver using an ADC front end. In the past few years, we have research effort, we propose to overcome these limitations also contributed to the design of non-data-aided equalization by developing new principles and methodologies that go techniques and to burst-mode CDRs. Moving forward, there Stochastic Computational Electromagnetics beyond classical stability and regulation theory. Future are still many challenges in the area of high-speed signalling as applications range from the domain of biological networks Research on computational electromagnetics has been dedi- demand for signalling speeds of 28 Gb/s and beyond grows. to the domain of complex man-made systems and include cated to the simulation of arbitrarily complex yet well-defined These data rates impose stringent requirements on both the structures. However, several cutting-edge research areas, channel equalization and the power budget for these links. We strive to address these challenges in the near future. 36 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 37

Smith, Peter W. E. Tate, Joseph (Zeb) either controllable and/or known in advance. As the supply demanding days of the summer. Demand response has many mix moves more towards variable generation resources such advantages, like low infrastructure cost and fast response WWW.ECF.UTORONTO.CA/~UPL WWW.ELE.UTORONTO.CA/~ZEB as wind and solar power, operators will have to learn ways to times, but presents a number of new challenges because anticipate problems and take corrective actions in order to the number of electric loads dwarfs the number of traditional Ultrafast Photonics Online Parameter Estimation for Wind-Driven, maintain system reliability. This research focuses on ways to generation resources, and the characteristics of each load Doubly Fed Induction Generators quantify and visualize the potential impact of wind generator are fundamentally uncertain. For example, the state of a My teaching and research interests have centred on the variability over short time horizons (e.g., four hours in the load may change because of weather, evolving hardware study of ultrafast photonic and nonlinear optical effects The rapid increase in variable generation technologies such future), so that operators can better understand potential components, or the people who use it. In a demand-response in materials, and the development and characterization of as wind and solar power throughout many nations’ power problems on the network. Because the potential impacts program, each time a load is utilized, new information about ultrafast optical devices for all-optical switching and signal grids has the potential to significantly reduce reliability. To on the grid depend heavily on both the levels of wind it becomes available. In this project, we investigate how processing. A number of materials systems have been ensure this does not happen, power companies must run generation and their distribution throughout the system, most load aggregators can improve their capabilities by factoring investigated, including bulk and composite semiconductor a multitude of simulations that identify potential problems of the work thus far has focused on developing accurate learning into their demand-response algorithms. The problem materials, semiconductor optical amplifiers, organic before they occur in the real system. The ability of these ARMAX models that account for the non-independence of is both very large in scale and high-dimensional in its polymers, inorganic crystals and colloidal semiconductor simulations to accurately inform decisions is only as good wind generators’ outputs. Once these models have been uncertainty, necessitating the development of tractable nanocrystals. We have found that with suitable preparation as the models being used, and the lack of confidence in developed, the next stage of this project will focus on approximations with rigorous performance guarantees. and treatment, many of these materials can be made to dynamic models is one of the main problems with these formulation and calculation of metrics that use the forecast exhibit large optical nonlinearities with very rapid (picosecond new-generation technologies. For several reasons — such statistics to highlight potential grid problems and or sub-picosecond) response times. Such materials will as model order reduction to make simulations tractable, the suggest appropriate preventive controls. form the basis for a new generation of ultra-rapid all-optical reluctance of generator manufacturers to release detailed Trescases, Olivier signal-processing devices. These devices, because they models or parameter sets and the relatively high installation WWW.ELE.UTORONTO.CA/~OT operate at ultrafast rates in the optical domain, would of wind generation—the accuracy of wind-generator models eliminate the ‘electronic bottleneck’ that limits the capacity is becoming increasingly important to planning engineers. Taylor, Joshua Battery Management for Electric Vehicles of current-day data communications systems. This project is looking at ways to use ambient wind power WWW.ELE.UTORONTO.CA/~JTAYLOR generator measurements (i.e., without introducing artificial Despite numerous technological innovations, the proliferation stimuli to the system) to determine wind parameter models Gaming in Modern Electricity Markets of EVs in Canada is primarily limited by the range and cost Sousa, Elvino for use in simulation-based studies. Thus far, we have been of today’s vehicles. Reducing the cost and extending the exploring the performance of various nonlinear parameter Ten years ago, California’s power system was rocked when range of EVs are a major multidisciplinary challenge for Autonomous Infrastructure Wireless Networks estimation schemes, in particular the Extended and Unscented energy traders manipulated vulnerabilities in its electricity the global automotive industry. Advances in lightweight Kalman Filters (EKF and UKF respectively), to select the most markets. The resulting California Electricity Crisis culminated materials, battery chemistry, battery management and power The research focuses on our vision for 4G wireless networks. appropriate algorithm for this application. We have seen via in blackouts and economic losses of millions of dollars. This electronics are needed to meet future customer expectations This vision stresses the deployment aspects of the physical simulation with high-bandwidth sampling that, for a relatively was but one of many widespread examples of participants in and convert entire fleets from gasoline to EV technology. layer, including features that allow the physical layer to simple DFIG model, the UKF is generally superior to the EKF power markets exploiting design flaws, leading to increased Another major hurdle in the widespread acceptance of EVs is autonomously configure itself after the deployment of base in both robustness and speed of convergence, confirming risk of physical failures as well as unnecessarily high electricity the uncertainty about the lifetime and reliability of the battery stations or access points by users. The base stations and the UKF’s superior performance when applied in other rates for end users. Considerable analysis and experience pack, especially in the harsh Canadian climate. This has access points are deployed by users in a random manner disciplines. Two extensions are currently being investigated: have yielded power markets that, while still vulnerable, have delayed the adoption of Lithium-Ion (Li-ion)–based battery and the network infrastructure is built and grows organically. first, the impact of reduced bandwidth sampling (e.g., using not experienced egregious abuses in recent years. As we technology until very recently, despite vastly superior energy This approach to wireless network deployment will greatly 30–60 samples per second) and second, testing of the shift our dependence onto renewable energy sources, energy density compared to the Ni–MH batteries used in the first reduce the cost of base stations and access points and result UKF estimator with AC measurements. storage, and demand response, power markets are changing generation of hybrid vehicles. Making better use of the energy in networks with much greater capacity, which is required to reflect the changing physical landscape. This will inevitably capacity by increasing the system efficiency is the key for the emerging broadband wireless services. This research introduce new vulnerabilities, which could potentially lead to reducing the overall size and cost of the EV battery. encompasses the current industry developments referred to Phasor Measurement Unit Data to new disasters like the California Electricity Crisis. It is Regenerative braking (Regen) is often used in electric vehicles as femtocells. The work is also related to what is referred Characterization and Compression therefore imperative that power markets be systematically to capture kinetic energy that is otherwise wasted in the to as cognitive radio. designed to induce fair and honest participation among brake pads when the vehicle comes to a stop. Instead of Phasor measurement units (PMUs) are the primary smart grid market participants. In this project, we apply game theoretic simply applying the mechanical brakes during deceleration, component being added to the North American transmission tools to assess the vulnerabilities of power markets and use an EV equipped with a Regen system uses the motor as a network (i.e., the high-voltage network used for large, inter- mechanism design to develop countermeasures that ensure generator in order to transform mechanical energy into stored Stumm, Michael area power transfers). One of the main reasons these units market participants do not have incentives to game the charge in the battery. Even the latest lithium-based batteries WWW.EECG.TORONTO.EDU/~STUMM are being introduced is to enable wide-area situational system. For example, by examining the equilibrium of a have a relatively poor ability to quickly absorb energy without awareness and control of the power grid. These applications dynamic game model of energy storage markets, we can affecting long-term performance. The maximum output power System Software Performance Optimizations will require substantial investments in cyber-infrastructure see if tactical behaviours can lead to poor social outcomes. of modern Li-ion batteries is typically at least three times and this research project is looking at ways to both charac- Tools likes the Vickrey-Clarke-Groves mechanism enable higher than the maximum input power. Repeatedly using Our primary objective is to make improvements to operating terize PMU data and use this characterization to achieve high us to make such tactical behaviours unattractive by imposing Li-ion batteries to both absorb this large negative power systems that significantly enhance kernel and application levels of data compression. Results obtained thus far indicate auxiliary payments like an upfront tax. burst of Regen and provide a large positive power burst performance. Currently, we are primarily targeting multicore- that accounting for the unique characteristics of PMU data during acceleration can significantly raise the pack temperature based systems. Our general approach is to exploit Hardware can lead to significantly higher lossless compression ratios and accelerate aging. Automotive-grade Ultracapacitors Performance Counters (HPCs) that today are an integral part in comparison to those of generic lossless compressors. Learning to Manage Electrical Loads (Ucaps) have recently been developed as an energy storage of all processors, and use them to measure overheads and technology to complement batteries. Commercial Ucaps have identify bottlenecks online and in real time. We then feed the It has never been possible to provide electricity with 100% input and output power densities on the order of 12 kW/kg, information gathered from the HPCs to the system resource Wind Impact Metrics for Short-Term reliability. This issue is becoming more pronounced as we which is at least one order of magnitude higher than that managers so that they can make informed decisions on how Power Grid Operations increase our reliance on intermittent renewable sources of of Li-ion batteries. On the other hand, the 6 Wh/kg specific best to use system resources from a performance point of energy like wind and solar. Demand-response programs energy of these Ucaps is at least 10 times worse than that of view. Using this approach, we recently introduced exception- One of the main challenges associated with the increasingly incentivize users to modify their electricity consumption to Li-ion batteries, leading to the concept of using a hybrid storage less system calls to Linux that improved the throughput of widespread introduction of wind generators is figuring out accommodate uncertainty in the power supply. For example, system consisting of a smaller Li-ion battery and a Ucap. Using Apache, by over 100% without any modification to Apache, ways to control their inherent variability. While operators have an office building may receive a reduced electricity rate for this approach, the battery serves purely as an energy tank, and we improved the throughput of MySQL by 40%. always had to deal with uncertainty in electricity utilization, allowing its air conditioning to be shut off a few times per while the Ucap is sized to meet the surge input and output the availability of generation resources has traditionally been year, relieving a stressed power system on the hottest, most power requirements. Effectively managing the energy flow 38 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 39

between the Ucap, the battery and the motor requires new steady decline in the cost of photovoltaic (PV) power, with Modelling and Simulation into protein sequences and their networks that will ultimately power-electronic topologies and advanced control schemes. the promise of reaching grid parity in the near future. This is a of Complex Systems aid in developing therapies for human illnesses. The main goal of this project is to develop new models, control complex target, as the prices of conventional energy sources schemes and power-electronic converters to extract the maxi- are constantly in flux and heavily dependent on government Numerical techniques for the simulation of complex systems mum performance from modern EV energy storage systems. subsidies. The penetration level of solar power is rapidly are a strategic asset in many scientific and industrial projects. Live Cell Imaging and Control of Caspase increasing in most developed countries because of However, computational complexity is often a big issue. Our Kinetics Using Engineered Proteins government incentives and multidisciplinary technological group develops techniques to generate compact models for High-Frequency Digitally Controlled advances. The exponential growth of PV technology presents highly complex components based upon system identification Over the past decade, members of the caspase family of DC-DC Converter ICs tremendous opportunities for all companies in the semi- and model order reduction. Models can be extracted from proteases have been extensively studied for their critical role conductor supply chain, ranging from discrete power devices high-fidelity simulations or experimental results and enable a in apoptosis. The caspase family displays rich spatial and As the world faces unprecedented environmental challenges, to mixed-signal control ICs. Maximum power point tracking fast simulation of large-scale systems. This approach has been temporal kinetics in living cells, such as cascading activation energy efficiency and power management have taken centre (MPPT) is performed on a PV array to continuously optimize applied to the design of high-speed circuits and antennas. and differential subcellular expression. While such character- stage. Switched-mode power supplies (SMPSs) are the key the total harvested power under time-varying temperature istics confound many biosensor designs, they accentuate the enabling technology for efficiently delivering the tightly and illumination fluctuations. It has been demonstrated that strengths of fluorescent protein biosensors. By employing the regulated supply voltages required by today’s modern mixed- performing distributed MPPT (DMPPT) on a per-panel or even Signal Integrity and Electromagnetic principle of fluorescence resonance energy transfer (FRET), signal (digital+analog) integrated circuits (ICs) and systems. per-cell basis, instead of using a single MPPT controller across Compatibility Engineering protein biosensors can be created to image the kinetics of The SMPS acts as the interface between the energy source, the entire PV array, can substantially improve the total system caspase activation in living cells. Furthermore, we can control such as a battery, and the load ICs. A typical SMPS uses efficiency under partial shading conditions. The main goals of Signal integrity and electromagnetic compatibility issues, like the exact moment that caspase activation occurs within the a combination of high-speed, low-resistance semiconductor this project are to quantify the benefits of DMPPT for different crosstalk and interference, are a major concern in the design of cell using an inhibitory protein of caspase that is engineered 2 switches, energy storage components, sensors and control levels of granularity and to develop new high-efficiency power- electronic systems. We develop efficient mathematical models, to be switchable on [Ca +]. This goal will be accomplished circuits to regulate one or more output voltages in the electronic converter topologies and control schemes for both seamlessly compatible with mainstream design tools, to predict by achieving three things: (1) targeting caspase biosensors presence of disturbances. State-of-the-art SMPSs have a and multijunction III–V PV systems. and minimize these issues from since the earliest stages of to subcellular organelles ; (2) imaging caspase cascades in power conversion efficiency above 90%. The resulting low design. Through our models, designers can maximize product living cells; and (3) finally, engineering proteins to control heat dissipation allows multiple SMPSs to be integrated with reliability and performance without resorting to costly proto- caspase activation based on XIAP (an X-chromosome-linked 2 their load circuits into a single IC. The clear trend in SMPS Triverio, Piero typing. This research activity is of immediate interest to inhibitor of apoptosis protein) and a Ca + binding protein research is toward adaptive digital control loops, increased the microelectronic, automotive and aerospace industries. called calmodulin (CaM). This work will pioneer designs for integration within system-on-chip (SoC) applications, higher WWW.WAVES.UTORONTO.CA/TRIVERIO engineered proteins that will provide new tools for fundamental efficiency over the full operating range and higher switching studies in cell biology. frequency, resulting in smaller energy storage components. Electromagnetic Transients in Power The long-term goals of the proposed research are to make Distribution Networks Truong, Kevin tomorrow’s power management systems smaller, more APEL.IBBME.UTORONTO.CA Valaee, Shahrokh efficient, more robust and more reliable, while reducing electro- We develop numerical models and algorithms to predict magnetic interference (EMI) and environmental impacts. The electromagnetic transients in power distribution networks. Computational Tools for Protein WWW.COMM.UTORONTO.CA/~VALAEE research focuses on new high-frequency control schemes, Lightning, faults and switching activity can induce fast Sequences, Structures and Networks system-level optimization, thermal management, low-power transients on power networks, potentially compromising grid Localization of Wireless Terminals mixed-signal circuits and power MOSFET optimization. stability. As network complexity increases, because of the Cells are composed of protein signalling networks that in Indoor Environments penetration of renewable sources and distributed generation, perform biological functions such as regulating cell growth or predicting these phenomena becomes more and more catalyzing biochemical reactions. As a result, the malfunction Location-based services (LBS) are emerging as new appli- Power Converters for High-Efficiency challenging. Our techniques provide a fast way to investigate of proteins often causes human illnesses, such as Alzheimer’s cations on mobile phones. In LBS, the main challenge is to LED Lighting broadband transients in large power networks made by disease, heart disease and cancer. My long-term research locate the user, especially in indoor and covered areas where overhead, underground and submarine cables. goal is to create synthetic protein signalling networks that will GPS service is not available or has unacceptable errors. Electric lighting accounts for approximately 11% of the allow us to one day manipulate cell biology with the same In this research we estimate the location of a mobile phone world’s total power consumption. The development of precision as we do electrical circuits and computer networks. using the strength of signals arriving from Wi-Fi access cost-effective power management circuits for compact Non-invasive Assessment of Aortic To accomplish this goal, my proposal will focus on developing points. We have designed and developed the system on fluorescent bulbs (CFLs) has led to drastic improvements Coarctation via Computational computational tools for studying protein sequences, structures three Wi-Fi–enabled phones and PDAs and have tested it in in lighting efficiency. While CFLs are clearly an improvement Fluid Dynamics and signalling networks. First, to infer the function of a an office building at the University of Toronto, in a shopping over archaic incandescent bulbs, they are difficult to dim, protein sequence, the Smith-Waterman (SW) algorithm is mall in north Toronto and at the Canadian National Institute they contain poisonous mercury and the chromatic properties Patients with aortic coarctation suffer from a severe narrowing used to find its similarity to proteins of known function. As for the Blind (CNIB). The system has a tracking and navigation of the light are less than ideal. High-brightness (HB) LED of the aorta. Currently, diagnosis is done with catheterization, sequence databases grow larger, faster sequence comparison feature that uses voice instruction to help visually impaired modules are rapidly emerging as a promising candidate an invasive procedure with a 1-in-1,000 risk of patient death approaches are required, such as using accelerated field- individuals find their way in indoor environments. to replace CFLs in numerous lighting applications, since or serious injury. In collaboration with physicians at the programmable gate array (FPGA) hardware. To make the HB-LEDs are mercury free, scalable and can be easily Sunnybrook Health Sciences Centre and the Toronto General FPGA solution more affordable, I will develop FPGA hardware dimmed. The main goal of the project is to develop smart Hospital, we are developing a non-invasive alternative. for accelerating the SW algorithm using fewer resources Wireless Communications in digital switched-mode power supplies (SMPSs) to optimize The geometry of the patient’s aorta is first reconstructed while maintaining a comparable speed. The next task is to Vehicular Environments the lighting efficiency and chromatic properties of HB-LED from medical images. Then, a computational fluid dynamic study the protein signalling kinetics within cells. Fluorescent systems, using closed-loop thermal and optical feedback. simulation is used to calculate the pressure gradient across protein biosensors are powerful tools, but the design of these In 2000, an estimated 6,394,000 motor vehicle crashes were The targeted controller can efficiently regulate the electrical- the coarctation, and assess disease severity in a non- biosensors often consists of trial and error. Using a reported to the police in the U.S. Based on a series of in-depth to-optical energy conversion process under a wide range invasive way. Computational methods are expected to computational tool to model the conformational space of investigations of police reports and on-scene investigations, of operating conditions. bring substantial innovation in medicine, improving patient protein biosensors, I improved the design, but the tool was human factors were found to be the definite cause of 70.7% care and reducing costs. not quantitative. To address that problem, I will include of the crashes. Most of those accidents could have been molecularfactors that select preferred biosensor conforma- prevented if devices that allowed vehicle-to-vehicle (V2V) and Power Electronics for Photovoltaic Applications tions. Last, to design synthetic protein networks or model vehicle-to-roadside (V2R) communication had been installed larger existing networks, I will develop a computational tool in cars. The goal of our research is to create vehicular ad hoc Solar energy has long been recognized as one of the most for simulating the spatial and temporal kinetics of protein networks (VANETs) that can be used to enhance safety on abundant forms of clean renewable energy. Countless signalling networks. The sum of this work will yield insights roads and to provide telematic services such as information research efforts around the globe are contributing to the on road conditions and traffic congestion, and mapping. 40 ANNUM 2015 ALPHA LISTING BY LEAD RESEARCHER WWW.ECE.UTORONTO.CA DIRECTORY 41

Veneris, Andreas technologies. Initial experimental results have demonstrated Wonham, Murray frequencies more aggressively reused and the network one of the critical building blocks of the ADC, the fastest topologies increasingly heterogeneous. Prof. Wei Yu’s research WWW.EECG.UTORONTO.CA/~VENERIS/ANDREAS track-and-hold amplifier reported to date, with a clock WWW.CONTROL.UTORONTO.CA/~WONHAM program focuses on two network architectures in particular: VENERIS.HTM frequency exceeding 90 GHz and 40-GHz input bandwidth. the cloud radio-access network (C-RAN) architecture where Supervisory Control of Discrete-Event Systems base stations co-operate in transmitting and receiving signals, CAD for VLSI Verification, Debugging, and the heterogeneous architecture where remote radio units Testing and Synthesis Atomic-Level and 2D Crystal Electronic Devices Our research is on supervisory control of discrete-event are deployed within the cellular structure to enhance coverage. systems, that is, logic control of systems described in a This research aims to advance the state of the art in the The semiconductor industry has products reaching all parts This exploratory research focuses on the simulation, design framework of automata and formal languages. We focus on theoretical capacity analysis of wireless networks and to of commercial and consumer markets domestically and and fabication of novel nanoscale metal nanowire transistors. system architecture and ‘intelligent’ computing techniques impact the design philosophy, standards development and internationally. The rapid growth of this industry in the past 30 The ultimate goal is the physical implementation of sub-7 nm as a means of confronting state-space explosion and evolution of future-generation wireless networks. years is attributed partly to advances in the Electronic Design gate length transistors, beyond the 2030 ITRS horizon. exponential complexity. Specifically, architectures include Automation industry community and Computer-Aided monolithic (as a ‘worst’ case), refined to decentralized, Design (CAD) tools that assist engineers designing such distributed, and hierarchical and their combination as complex high-performance devices. The research of our High-Efficiency mm-Wave Transmitter Array heterarchical system organizations; while computing includes Yuan, Ding group centres on the development of CAD software that modelling and algorithmic development using state-tree WWW.EECG.TORONTO.EDU/~YUAN This research focuses on novel transmitter array architectures expedites the verification, debugging, synthesis and testing structures, an adaptation of state charts. Existing based on high-efficiency direct mm-wave digital modulators. of computer chips. Our research has been funded by major applications by ourselves and others include manufacturing Understanding and Improving the Availability The goal is to deliver 64 QAM modulated transmitters with government and industrial partners and has won awards at workcells, chemical engineering processes, automobile and Performance of the Cloud over 65% power-added efficiency and over 36, 30 and 26 premier conferences for its impact on the community. push-button systems and industrial diagnostic systems. dBm of output power at 45 GHz, 94 GHz and 138 GHz, With the massive growth of internet connectivity, software is respectively. New circuit topologies, IQ correction techniques increasingly being delivered by internet services—a model and free-space power combining and modulation schemes commonly known as ‘cloud computing.’ Such online services Voinigescu, Sorin are explored. Both SiGe BiCMOS and 45 nm SOI technologies Yoo, Paul IBBME.UTORONTO.CA/FACULTY/CORE-FACULTY/PAUL-YOO are almost entirely implemented as distributed systems, and WWW.EECG.TORONTO.EDU/~SORINV are being investigated for the practical implementation. their availability (i.e., uptime) and performance (i.e., latency and throughput) are critical as system outages will now 200 GS/s DACs and ADCs for Optical Advanced Design of Peripheral Nerve Interfaces simultaneously affect all users. Our research goal is to improve Low-Power mm-Wave Distance Sensor Transceivers with QAM and OFDM Modulation the availability and performance of these cloud-based distrib- We are developing novel interfaces that are aimed at uted systems. In particular, our group focuses on (1) under- Ultra-low-power single-chip mm-wave distance sensors and improving the clinical use of neurostimulation technologies, As internet traffic continues to increase exponentially because standing the real-world failures that occur in these systems; active tags will be investigated and demonstrated in silicon which include functional electrical stimulation and electrical of the explosion of mobile multimedia devices, there is (2) developing practical techniques to detect the defects and at 60 GHz and 80 GHz. SiGe BiCMOS and SOI CMOS neuromodulation. Projects include the design of (1) a minimally renewed demand for electronic circuits and optoelectronic thus prevent the outages from occurring; and (3) developing technologies will be used and compared for lowest power invasive method of electrically activating the peripheral systems that can operate at serial data rates in excess of practical tools to debug the performance of such systems. operation. The main applications are in autonomous nervous system (enhanced transcutaneous electrical nerve 100 Gb/s. The next generation of optical fibre standards will Our initial investigations have been successful: we have navigation of vehicles and drones, distance measurements, stimulation, eTENS), and (2) peripheral nerve electrodes that inevitably imply the deployment of both higher-level modulation detected hundreds of new bugs in some of the most widely and touchless gesture control of small wearable devices and can achieve long-term recording of neural activity. schemes such as 16-QAM and OFDM and of higher serial bit used distributed systems, including Hadoop, HBase, IoT connected devices. streams at and beyond 112 Gbaud. Optical modulators require Cassandra, Hive, etc. Many of these problems have already 3–6V electronic signal swing for proper operation. The large Electrical Neuromodulation been fixed by the developers since we reported them. Our voltage swing and the very broadband operation from DC to for Bladder Dysfunction techniques have also resulted in multiple papers presented at over 80 GHz are beyond the best performance reported for Wong, Willy OSDI, the premier conference on operating systems. digital-to-analog converters in nanoscale CMOS and SiGe WWW.INDIVIDUAL.UTORONTO.CA/WILLY The objective of this project is to develop therapeutic BiCMOS technologies. One option would be to use a low- platforms for treating urinary dysfunction in individuals with voltage swing DAC followed by a very large voltage-swing, Sensory Neuroengineering neurological or idiopathic disorders. This involves (1) the large gain, broadband linear amplifier fabricated in III-V tech- Zhu, Jianwen investigation of neural mechanisms underlying various nology. This is an expensive multi-chip solution. In addition, WWW.EECG.TORONTO.EDU/~JZHU My interests are in the areas of neuroscience, signal pro- stimulation-evoked bladder reflexes; (2) development of because of the relatively large resolution (7–8 bit) required, cessing and sensory engineering, with particular application minimally invasive methods of electrically engaging these it is almost imperative that the DAC directly drive the optical Compiling Software to Silicon to speech and hearing. My work lies at the intersection of neural pathways; and (3) the clinical translation of these modulator to avoid signal distortion. This proposal seeks to biomedical, computer and communications engineering. technologies in patients with chronic urinary dysfunction. My research focuses on highly automated methods of research and develop novel large swing (>3V differential), Students working in my group typically have an interdisciplinary designing high-volume systems-on-chip, as well as field- multi-bit (6–8 bit) 55-nm BiCMOS and 28-nm SOI CMOS DAC approach with interests in signal processing, algorithm programmable gate arrays (FPGAs). The automation is and ADC topologies for 16-QAM, 64-QAM and OFDM optical design, modelling and psychology. Currently I have three achieved by solving a series of optimization problems, that transmitters operating at 1 Tb/s. Several record-breaking active project areas: (1) Theoretical studies of sensory Yu, Wei ultimately allowing the design of integrated circuits to be as front-end building blocks of the ADC and DAC recently information processing. We are developing a physics-based WWW.COMM.UTORONTO.CA/~WEIYU easy and as fast as programming software in C/C++, while developed in our group and operating at 120 Gb/s with over model of the process by which the sensory system transmits not compromising circuit performance. More specifically, 90 GHz bandwidth were presented at IEEE BCTM and information from the periphery to the brain. The goal of this Design and Optimization of Next-Generation these methods can help chip design companies to quickly IEEE CSICS in October 2015. project is to elucidate the underlying physical laws that Wireless Cellular Networks design hardware processing engines for today’s smartphones. govern the process of sensation. (2) Biomedical signal These engines, such as video codecs, graphic processing processing. We work with a team of doctors and surgeons, Prof. Wei Yu’s research program aims to use novel co-operative units and security and baseband processors, are the key 56 GS/s 7-bit DAC and ADC using signal processing and data mining techniques to transmission techniques to enhance the capacity, coverage and differentiators that allow smartphones to provide a rich better understand and diagnose neurological disorders like reliability of wireless cellular networks. The goal is to develop The research focuses on architectures and physical user experience within the tight energy budget of batteries. schizophrenia or major depressive disorder. We are also novel coordinated signal processing, resource allocation and implementation of low-power 56-GS/s, 7-bit digital-to-analog Similarly, these methods, by utilizing FPGAs, can help engaged in invasive brain studies for rehabilitation applications. network optimization techniques for the design and analysis and analog-to-digital convertors for next-generation telecom and IT infrastructure equipment vendors improve (3) Acoustic and speech processing. We focus here on of interference management and mitigation methods for future optoelectronic transceivers with multilevel modulation the performance and lower the cost of equipment that will problems related to speech and hearing loss and the tools that wireless networks. Interference mitigation is expected to formats. The circuits will be fabricated in the world’s most power the next-generation internet and wireless infrastructure. we can develop to aid those with communication disorders. become a crucial task in wireless system design as future advanced 55-nm SiGe BiCMOS and 28-nm FDSOI networks become more densely deployed,