Open RFIC Design Platform Integrates Highly-Capable Design Tools

Total Page:16

File Type:pdf, Size:1020Kb

Open RFIC Design Platform Integrates Highly-Capable Design Tools High Frequency Products From March 2006 High Frequency Electronics Copyright © 2006 Summit Technical Media RFIC DESIGN SUITE Open RFIC Design Platform Integrates Highly-Capable Design Tools By Thomas T. Quan Applied Wave Research, Inc. he Analog Office The newest version of this design suite is a RFIC and high-speed ana- Tpowerful design log IC design tool includes system that is specifically advanced features for architected and opti- design accuracy and mized from the ground up user productivity for next-generation ana- log and radio-frequency integrated circuit (RFIC) designs. Much more than a point tool, the Analog Office integrated solution boasts an industry-leading, concur- rent interconnect-driven and RF-aware design methodology that delivers unprecedented Figure 1 · The Analog Office 2006 open ease-of-use, interactivity, and openness. design platform integrates best-in-class High-frequency circuit impairments in tools, including four circuit simulators and today’s complex analog and RFICs, such as five electromagnetic simulators. compression, noise, distortion, and phase noise, as well as the physical parasitics like interconnect impedance, coupling and packag- into existing digital and mixed signal IC ing effects, need complete “RF closure” design flows from Cadence and Synopsys, and between the RFIC’s system and circuit, elec- enables analog and RFIC design engineers to trical and physical, and design and test activ- significantly shorten their development cycles ities before commitment to costly IC imple- and speed wireless products to market. mentation. Analog Office 2006 design platform fea- The Analog Office design system provides tures the second generation of the AWR an new approach that achieves optimum RF Intelligent Net™ (iNet) technology, which design closure through a unified data model powers “on-the-fly” interconnect extraction and design environment encompassing all of through an advanced interconnect-based the design domains. The data model is high- design methodology. In this latest release, frequency aware, permitting accurate extrac- AWR continues its commitment to provide the tion and modeling of all design elements, industry with an easy-to-use, open RF design including active and passive devices, as well platform that is fully integrated with five as interconnects, at high-frequency. The solu- best-in-class electromagnetic (EM) tools, as tion is built on AWR’s open high-frequency well as four circuit simulators (see Figure 1). design platform, enabling easy integration of Analog Office 2006 software offers a proven the most capable tools to capture, synthesize, design flow and validated process design kits simulate, optimize, layout, extract, and verify (PDKs), which enable multiple tape-outs, designs from system to final tape-out. The including several to the Jazz Semiconductor Analog Office design suite is fully integrated silicon germanium (SiGe) process. 26 High Frequency Electronics High Frequency Products RFIC DESIGN SUITE Figure 2 · Analog Office 2006 iNet2 technology fea- tures “on-the-fly” extraction of interconnect parasitics. Figure 3 · iNet2 technology helps model and simulate Feature Descriptions critical nets in this broadband operational amplifier Integral to the Analog Office design suite is an inter- while the layout is in progress. The opamp is designed connect-driven/RF-aware design methodology built in a Jazz SiGe60 process. around AWR’s iNet technology. Similar to a timing-driven or wire-driven digital design methodology, the iNet methodology focuses on accurate RF interconnect model- International. The design can then be simulated with the ing and analysis throughout the entire RFIC design pro- parasitics of selective nets. (e.g., critical signal paths). cess to reduce or eliminate design iterations, shorten the Other systems cannot do partial extraction, requiring the design cycle, and ensure first-time design success. Unlike layout to be completed and fully synchronized with the existing net constructs built on a “digital-centric” data schematic (on a separate data base) via LVS before the model, the Analog Office iNet technology is based on an layout parasitics can be considered. At that point prob- RF-accurate net model with multiple levels of abstrac- lems can be hard to identify because correlation between tion. Models for short-circuit, lumped, resistance-induc- the layout and schematic can be confusing. And when tance-capacitance (RLC), distributed RLCK (including problems are identified, major rework is usually required. coupling inductance), fully-distributed transmission line, Within Analog Office software, the schematic and layout or full 3D EM elements use a single environment and are in one database, and thus are always in sync, main- data model. iNet technology provides concurrent and real- taining constant “connectivity-on-the-fly.” Critical nets time physical modeling of RF interconnects while the lay- can be routed, modeled, and refined concurrently with the out is in progress, eliminating the need for a serial post- electrical design process. In this concurrent process, layout connectivity extraction step. Simulation and anal- designers can more easily adjust the design or layout to ysis can be invoked immediately to verify the perfor- correct any problems as they go. The full layout can then mance of the design as soon as the critical nets are laid be completed (or a partially finished layout handed off to out, without waiting for the rest of the circuit to be com- a layout specialist for completion), with assurance that pleted (see Figure 2). major rework will not be necessary (see Figure 3). iNet2, the second-generation of this iNet technology, and its associated data model have been dramatically Higher Capacity, Faster Layout Capabilities improved to deal with more complex, higher density RF Analog Office design suite provides IC designers with layouts. Nets can now be created in segments which are a complete physical design system to fully implement then connected in a hierarchical fashion. This greatly their analog and RF IC designs within a single environ- simplifies the routing of the power supply and ground ment, eliminating the need for switching between multi- interconnects. Net creation and modification has been ple environments and databases. made much more flexible. Switching layers is effortless The package offers a completely interactive custom and via connections are automatically sized and inserted layout tool with integrated device-level, placement, and between metal layers and on device pins. Even if the lay- routing features to speed up the creation of analog and out is not completed, at any time during layout creation, RF circuit blocks and chips. An integrated design rule the user can extract all or part of the implemented inter- check (DRC) capability and interface to industry’s leading connect using NET-AN, the very accurate, embedded RLC DRC tools ensure the physical layout being created and coupled C/L 3D multi-net net extractor from OEA always meets the process design rules, resulting in a cor- 28 High Frequency Electronics High Frequency Products RFIC DESIGN SUITE Figure 4 · A 3D view of the opamp layout in 0.13 µm RF Figure 5 · A detailed 3D view of a 5.8 GHz voltage- CMOS process. allowing visualization of the layers. controlled oscillator designed in Analog Office 2006 reveals a complex interconnect structure and device layout in the Jazz SiGe60 process. rect-by-design, error-free layout. The layout editor is directly connected to the EM socket, providing on-the-fly EM extraction and modeling of arbitrary layout struc- connectivity can be associated with different nets. This tures and complex spiral inductors. At every step during feature is extremely useful when routing differential nets the physical design process, the iNet technology continu- or “electrically-equivalent” nets, very common in analog ously updates in real time the underlying interconnect and RFIC layout, where certain nets have to be matched data model, and after each interconnect is “implemented” electrically. or laid out, concurrent simulation and analysis can be A new double buffering feature provides a fully ren- immediately invoked on the schematic or layout to verify dered and dynamically displayed graphic view of the edit- the performance of the overall design without waiting for ed layout objects, speeding up editing tasks. An updated the final layout of the whole design to be completed (see layout configuration dialog enables the user to easily Figure 4). switch layout viewing and editing configurations, and a The Analog Office 2006 release features dramatic new layer dialog box simplifies the task of setting up lay- improvement in layout editing capacity and performance. ers, turning them on and off, and hiding certain layers. Speed in common layout operations, such as opening The pin data model in layout cells now adopts the designs, redrawing, and general editing, have been accel- OpenAccess pin data model, offering more flexible con- erated up to 100 times over the previous version. Physical nectivity within the cell and between the cell pins and layouts of hundreds of thousands of devices can be opened external nets. Routes can now go through cells. In addi- and viewed in a matter of seconds rather than minutes. tion, ports in the design can now have artwork cells asso- iNet2 technology now powers the manual routing of ciated with them, providing more flexibility in layout. interconnects in a manner that is faster and more natu- Along with feature enhancements and improvements ral to IC designers. An iNet is a collection of wire seg- in speed and capacity, the Analog Office layout editor now ments connecting device and port pins. As the net is rout- features full color editing animation and is rendered ed, connected pins are highlighted to assist the routing using the industry-standard OpenGL, which utilizes task. When a net is routed over a pin, a connection is high-performance 3G graphics acceleration hardware automatically created and proper contacts or vias are found in most modern design workstations and high-end inserted to complete the connection.
Recommended publications
  • A Comprehensive Stochastic Design Methodology for Hold-Timing Resiliency in Voltage-Scalable Design
    2118 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 10, OCTOBER 2018 A Comprehensive Stochastic Design Methodology for Hold-Timing Resiliency in Voltage-Scalable Design Zhengyu Chen , Student Member, IEEE, Huanyu Wang, Student Member, IEEE, Geng Xie, Student Member, IEEE,andJieGu , Member, IEEE Abstract— In order to fulfill different demands between challenges due to the conflicting requirements between low ultralow energy consumption and high performance, integrated power consumption and high performance. To achieve low circuits are being designed to operate across a large range of power consumption, supply voltage V is typically reduced to supply voltages, in which resiliency to timing violation is the DD key requirement. Unfortunately, traditional timing analysis which near-threshold voltages, e.g., around 0.5 V. At such a voltage, focuses on setup timing tolerance for higher performance cannot stochastic variation associated with transistor threshold volt- model the hold violation efficiently across different voltages. ages becomes a major factor in determining logic timing which In this paper, we proposed a complete flow of computationally makes timing analysis extremely time consuming [1]. Conven- efficient methodology for guaranteeing hold margin, which is tional solution which introduces extra timing margin to avoid particularly important for low-power devices, e.g., Internet-of- Things devices. Leveraging both the conventional static timing timing violation at low-voltage region leads to performance analysis and a most probable point (MPP) theory, we develop a degradation at high-voltage region. In addition, the inefficiency new hold-timing closure methodology across voltages eliminating of conventional static timing analysis (STA) cannot meet the expensive Monte Carlo simulation.
    [Show full text]
  • Overcoming the Challenges in Very Deep Submicron for Area Reduction, Power Reduction and Faster Design Closure
    Overcoming the Challenges in Very Deep Submicron for area reduction, power reduction and faster design closure A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF Master of Technology in VLSI Design and Embedded System By K. RAKESH Roll No: 20507010 Department of Electronics and Communication Engineering National Institute Of Technology Rourkela 2007 Overcoming the Challenges in Very Deep Submicron for area reduction, power reduction and faster design closure A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF Master of Technology in VLSI Design and Embedded System By K. RAKESH Roll No: 20507010 Under the Guidance of Prof. K. K. MAHAPATRA Department of Electronics and Communication Engineering National Institute Of Technology Rourkela 2007 National Institute of Technology Rourkela CERTIFICATE This is to certify that the thesis entitled, “Overcoming the Challenges in Very Deep Submicron for area reduction, power reduction and faster design closure” submitted by Mr. Koyyalamudi Rakesh (20507010) in partial fulfillment of the requirements for the award of Master of Technology Degree in Electronics & Communication Engineering with specialization in “VLSI Design & Embedded System” at the National Institute of Technology, Rourkela (Deemed University) is an authentic work carried out by him under my supervision and guidance. To the best of my knowledge, the matter embodied in the thesis has not been submitted to any other University / Institute for the award of any Degree or Diploma. Prof. K.K. Mahapatra Dept. of Electronics & Communication Engg. Date: National Institute of Technology Rourkela-769008 ACKNOWLEDGEMENTS This project is by far the most significant accomplishment in my life and it would be impossible without people who supported me and believed in me.
    [Show full text]
  • Design Closure: Power Constraints, Best Practices for an Accurate Report Power Estimation
    Design Closure: Power Constraints, best practices for an accurate Report Power estimation Feb 2021 © Copyright 2021 Xilinx Design Closure Sessions Session 1 Methodology, tips, and tricks for achieving better Quality-of-Results Session 2 Using Timing Closure Assistance tools to address tough timing issues Session 3 Power Constraints, best practices for an accurate Report Power estimation 2 © Copyright 2021 Xilinx Agenda Power impact and Time to Market Design Closure an efficient approach Understanding design power Design Power Constraints Vivado Commands 3 © Copyright 2021 Xilinx Power impact and Time to Market © Copyright 2021 Xilinx Why is Power Closure so important? Board Design is Fixed Power and Thermal Issues take a long time to correct Design Changes (Typically Weeks) Re-Run P&R HDL Changes Reducing design specifications Hardware changes (Typically Months) Board Re-spin Power Delivery Changes Thermal Solution Changes 5 © Copyright 2021 Xilinx Power / Thermal / Board Design Methodology Power Estimation Thermal Design Define Power Delivery If power cannot be reduced, Thermal and Define / Simulate PDN Board Design needs to be redone Define Board / Sch Checklist (very time consuming) Constrain Vivado Modify Run report_power Design DISSECTION No Designed within • What went well? Budget? • Lessons learned? Yes Converge and ensure all original assumption/ design constraints are met SUCCESS 6 © Copyright 2021 Xilinx Design Closure an efficient approach © Copyright 2021 Xilinx Design closure – combining Timing and Power More efficient,
    [Show full text]
  • NOT for Printing
    New York City Transit ADC60 Waste Management and Resource Efficiency in Transportation SUMMER CONFERENCE IN NEW YORK CITY JULY 13—JULY 15, 2009 2 Broadway, New York Monday July 13, 2009 Tuesday July 14, 2009 Wednesday July 15, 2009 8:30AM – Registration and Breakfast 8:30AM Registration and Breakfast 8:30AM Registration and Breakfast 9:00AM – Welcome/Opening Session 8:45AM Hazardous Materials Investigation/Remediation – 8:45AM Environmental Focus 1 PDH, .1 CM Thomas Abdallah, PE, LEED AP, NYCT Panel Discussion 1 PDH, .1 CM 1. US EPA EPA Region 2 Transportation and Construction Initia- Ernest Tollerson, MTA 1. The Triad Approach: Theory, Practice, and Expectations, Joel tive, Charles Harewood, EPA Collette Ericsson, PE, LEED AP, MTA Regional Bus S. Hayworth, PhD, PE, Hayworth Engineering 2. Hot LEED Topics, Lauren Yarmuth, LEED AP, YRG Ed Wallingford, Committee Chair TRB ADC-60 2. A TRIAD investigation combining Electrical Resistivity Imaging 3. Storm water Retrofitting to Restore Ecosystems, Ted Brown, 9:30AM Sustainability Initiatives 1PDH, .1 CM (ERI), Soil Conductivity/Membrane Interface Probe (SC/MIP), P.E., LEED AP 1. Assessing Green Building Performance, Thomas Burke, PE, Todd R. Kincaid, Ph.D., Kevin Day, P.G., Roger Lamb, P.G., 4. Western Railyards Air Quality, Helen Ginzburg &Tammy Pet- LEED AP, U.S. GSA H2H Associates sios, PB 2. Climate Change and the Port Authority, Chris Zeppie, Chrstine 3. Geologic Framework Modeling for Large Construction Projects, 10:15AM Environmental Engineering Around the World Wedig, PANYNJ Christine Vilardi, PG, CGWP, STV, Inc. 1PDH, .1 CM 3. The High-Line: Conversion of an Abandoned Railway to an Additional Panel Members 1.
    [Show full text]
  • SEMICONDUCTOR COLLABORATIVE DESIGN PROCESS Enable Collaborative Design for Complex Semiconductor Projects
    HIGH PERFORMANCE SEMICONDUCTOR INDUSTRY SOLUTION EXPERIENCE SEMICONDUCTOR DESIGN DATA MANAGEMENT HIGH PERFORMANCE SEMICONDUCTOR INDUSTRY SOLUTION EXPERIENCE Achieve higher efficiency and zero re-spins in developing IoT-ready systems-on-chip Project & Portfolio Requirement, Issue, Defect & Management Traceability & Change Advancements in integrated circuit (IC) density and competition for market leadership drive demand for more complex devices from semiconductor Test Management manufacturers. To compete successfully, manufacturers must use teams of diverse design specialists and complex project workflows to maximize device differentiation and team productivity. As a result, IC projects carry increasing business risk. Dassault Systèmes' High Performance Semiconductor Industry Solution Experience powered by the 3DEXPERIENCE® platform provides a portfolio of IC design and engineering performance enhancements that help mitigate project risk, shorten time-to-market, and increase product quality and yield. High Performance Semiconductor provides these benefits through: IP Management Design Data Verification Management & Validation • Efficient intellectual property (IP) management and reuse • Graphical analytics to manage design closure • Instant access to the latest design data for all design teams • End-to-end traceability, from requirements to verification and validation • Packaging reliability simulation and testing • Enhanced product variation and defect management Manufacturing Packaging Manufacturing With this solution portfolio, semiconductor
    [Show full text]
  • Design for Manufacturing (Dfm) in Submicron Vlsi Design
    DESIGN FOR MANUFACTURING (DFM) IN SUBMICRON VLSI DESIGN A Dissertation by KE CAO Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for the degree of DOCTOR OF PHILOSOPHY August 2007 Major Subject: Computer Engineering DESIGN FOR MANUFACTURING (DFM) IN SUBMICRON VLSI DESIGN A Dissertation by KE CAO Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for the degree of DOCTOR OF PHILOSOPHY Approved by: Chair of Committee, Jiang Hu Committee Members, Weiping Shi Duncan Walker Vivek Sarin Head of Department, Costas N. Georghiades August 2007 Major Subject: Computer Engineering iii ABSTRACT Design for Manufacturing (DFM) in Submicron VLSI Design. (August 2007) Ke Cao, B.S., University of Science and Technology of China; M.S., University of Minnesota Chair of Advisory Committee: Dr. Jiang Hu As VLSI technology scales to 65nm and below, traditional communication between design and manufacturing becomes more and more inadequate. Gone are the days when designers simply pass the design GDSII file to the foundry and expect very good man- ufacturing and parametric yield. This is largely due to the enormous challenges in the manufacturing stage as the feature size continues to shrink. Thus, the idea of DFM (Design for Manufacturing) is getting very popular. Even though there is no universally accepted definition of DFM, in my opinion, one of the major parts of DFM is to bring manufacturing information into the design stage in a way that is understood by designers. Consequently, designers can act on the information to improve both manufacturing and parametric yield.
    [Show full text]
  • Designing a Chip Challenges, Trends, and Latin America Opportunity
    Designing a chip Challenges, Trends, and Latin America Opportunity Victor Grimblatt R&D Group Director © Synopsys 2012 1 SASE 2012 Agenda Introduction The Evolution of Synthesis SoC IC Design Methodology New Techniques and Challenges IP Market, an opportunity for Latin America © Synopsys 2012 2 Introduction © Synopsys 2012 3 Interesting Facts from Cisco • Last year’s mobile data traffic eight times the size of the entire global Internet in 2000 • Global mobile data traffic grew 2.3-fold in 2011, more than doubling for 4th year in a row • Mobile video traffic exceeded 50% for the first time in 2011 • Average smartphone usage nearly tripled in 2011 • In 2011, a 4th generation (4G) connection generated 28x more traffic on average than non-4G connection Source: Cisco Visual Networking Index: Global Mobile Data Traffic Forecast Update, 2011–2016, Feb 14, 2012 © Synopsys 2012 4 Drives Exploding Need for Bandwidth and Storage Bandwidth Increase A Decade of Digital Universe Growth 7.910 Zettabytes 8000 7000 6000 5000 4000 3000 1.2 2000 Zettabytes 130 1000 Exabytes 0 2005 2010 2015 © Synopsys 2012 5 • One zettabyte = stacks of books from Earth to Pluto 20 times (72 billion miles) • If an 11 oz. cup of coffee equals 1 gigabtye, then 1 zettabyte would have the same volume of the Great Wall of China Source: IBS and Cisco © Synopsys 2012 6 Tomorrow’s World Reality Augmented Reality Blended Reality Search Agents Info That Finds You (and networks that know you) 2D 3D Immersive Video Holographics Medical Mobile Medical Personal Medical Person
    [Show full text]
  • Physical Design of a 3D-Stacked Heterogeneous Multi-Core Processor
    Physical Design of a 3D-Stacked Heterogeneous Multi-Core Processor Randy Widialaksono, Rangeen Basu Roy Chowdhury, Zhenqian Zhang, Joshua Schabel, Steve Lipa, Eric Rotenberg, W. Rhett Davis, Paul Franzon Department of Electrical and Computer Engineering North Carolina State University, Raleigh, NC, USA frhwidial, rbasuro, zzhang18, jcledfo3, slipa, ericro, wdavis, [email protected] Abstract—With the end of Dennard scaling, three dimensional TSV (I/O pads) stacking has emerged as a promising integration technique to Bulk improve microprocessor performance. In this paper we present Active First metal layer a 3D-SIC physical design methodology for a multi-core processor using commercial off-the-shelf tools. We explain the various Metal High-performance core flows involved and present the lessons learned during the design Last metal layer process. The logic dies were fabricated with GlobalFoundries Face-to-face micro-bumps 130 nm process and were stacked using the Ziptronix face-to- Metal Low-power core face (F2F) bonding technology. We also present a comparative analysis which highlights the benefits of 3D integration. Results indicate an order of magnitude decrease in wirelengths for critical Active inter-core components in the 3D implementation compared to 2D Bulk implementations. I. INTRODUCTION Fig. 1. Cross-section of the face-to-face bonded 3D-IC stack. As performance benefits from technology scaling slows The primary advantage of 3D-stacking comes from reduced down, computer architects are looking at various architectural wirelengths leading to an improvement in routability and signal techniques to maintain the trend of performance improvement, delays. On the other hand, going 3D also increases design while meeting the power budget.
    [Show full text]
  • A Semi-Custom Design Flow in High-Performance Microprocessor Design Gregory A
    A Semi-custom Design Flow in High-performance Microprocessor Design Gregory A. Northrop Pong-Fei Lu IBM Research IBM Research Yorktown Heights, NY 10598 Yorktown Heights, NY 10598 [email protected] [email protected] ABSTRACT RISC-like micro-architecture. In this paper we present techniques shown to significantly The physical design of these processors makes extensive use of enhance the custom circuit design process typical of high- hierarchy, partitioning the chip into functional units (instruction, performance microprocessors. This methodology combines FXU, FPU…) and units into macros. There are typically ~6 units flexible custom circuit design with automated tuning and and ~200 distinct macros (about 600 total instances), and a physical design tools to provide new opportunities to optimized macro can have anywhere from 1K to 100K or more transistors. design throughout the development cycle. The macro serves as the primary partitioning unit for logic entry (HDL), and a common macro connectivity description is used for Keywords both functional models for verification and for circuit design. Standard cell, circuit tuning, custom design, methodology. Boolean verification is used to ensure functional equivalence between macro HDL and a schematic representation, which is verified against the physical design. All macros and units are 1. INTRODUCTION fully floorplanned objects, and the global wiring (chip and unit) The development of high performance microprocessors requires is done hierarchically, using a wiring contract methodology. concurrent design at many levels (logical, circuit, physical) with Macros are all characterized for timing, noise, etc., and large teams and tightly interlocked schedules. Often the best represented by models at the global level.
    [Show full text]
  • LAMDA: Learning-Assisted Multi-Stage Autotuning for FPGA Design Closure
    LAMDA: Learning-Assisted Multi-Stage Autotuning for FPGA Design Closure Ecenur Ustun∗, Shaojie Xiang, Jinny Gui, Cunxi Yu∗, and Zhiru Zhang∗ School of Electrical and Computer Engineering, Cornell University, Ithaca, NY, USA feu49, cunxi.yu, [email protected] 12.5 12.30 Abstract—A primary barrier to rapid hardware specialization Default Timing with FPGAs stems from weak guarantees of existing CAD 12.0 11.66 tools on achieving design closure. Current methodologies require 11.5 11.29 extensive manual efforts to configure a large set of options 11.16 11.13 across multiple stages of the toolflow, intended to achieve high 11.0 quality-of-results. Due to the size and complexity of the design 10.5 space spanned by these options, coupled with the time-consuming 10.0 9.93 9.91 9.86 9.68 evaluation of each design point, exploration for reconfigurable 9.50 9.5 computing has become remarkably challenging. To tackle this Critical Path (ns) challenge, we present a learning-assisted autotuning framework 9.0 8.76 called LAMDA, which accelerates FPGA design closure by 8.66 8.5 8.52 8.37 utilizing design-specific features extracted from early stages of the 8.22 8.0 design flow to guide the tuning process with significant runtime 8.8 8.9 9.0 9.1 9.2 9.3 9.4 savings. LAMDA automatically configures logic synthesis, tech- Timing Target (ns) nology mapping, placement, and routing to achieve design closure Fig. 1. Timing distribution of bfly for various tool settings and timing efficiently. Compared with a state-of-the-art FPGA-targeted auto- constraints – x-axis represents target clock period (ns), and y-axis represents tuning system, LAMDA realizes faster timing closure on various critical path delay (ns).
    [Show full text]
  • UNIVERSITY of CALIFORNIA SAN DIEGO IC Physical Design
    UNIVERSITY OF CALIFORNIA SAN DIEGO IC Physical Design Methodologies for Advanced Process Nodes A dissertation submitted in partial satisfaction of the requirements for the degree Doctor of Philosophy in Electrical Engineering (Computer Engineering) by Kwangsoo Han Committee in charge: Professor Andrew B. Kahng, Chair Professor Chung-Kuan Cheng Professor Rajesh Gupta Professor Ryan Kastner Professor Farinaz Koushanfar 2018 Copyright Kwangsoo Han, 2018 All rights reserved. The dissertation of Kwangsoo Han is approved, and it is ac- ceptable in quality and form for publication on microfilm and electronically: Chair University of California San Diego 2018 iii DEDICATION To my family, without whose love, encouragement and sacrifice this thesis would not have been finished. iv TABLE OF CONTENTS Signature Page . iii Dedication . iv Table of Contents . v List of Figures . viii List of Tables . xiii Acknowledgments . xv Vita ............................................. xvii Abstract of the Dissertation . xx Chapter 1 Introduction . 1 1.1 Challenges in Physical Design . 2 1.1.1 Limitation of Manufacturing . 4 1.1.2 Severe Process Variation . 5 1.1.3 Escalating Interconnect RC Delay . 7 1.2 This Thesis . 8 Chapter 2 Manufacturing-Aware Design Methodologies . 12 2.1 MILP-Based Optimization of 2D Block Masks for Timing-Aware Dummy Segment Removal in Self-Aligned Multiple Patterning Layouts . 13 2.1.1 Background . 16 2.1.2 MILP-based 2D Block Mask Optimization . 21 2.1.3 Overall Flow . 31 2.1.4 Experimental Setup and Results . 34 2.1.5 Conclusion . 42 2.2 Scalable Detailed Placement Legalization for Complex Sub-14nm Constraints . 43 2.2.1 N10 FEOL and Cell Placement Constraints .
    [Show full text]
  • Ultrafast Design Methodology Guide for the Vivado Design Suite
    See all versions of this document UltraFast Design Methodology Guide for the Vivado Design Suite UG949 (v2019.2) December 6, 2019 Revision History Revision History The following table shows the revision history for this document. Section Revision Summary 12/06/2019 Version 2019.2 Thermal Solution Considerations Added new section. Performance/Power Trade-Off for Block RAMs Updated examples. Using the CLOCK_LOW_FANOUT Constraint Updated examples. Using Incremental Implementation Flows Added information about automatic incremental implementation mode. Incremental Directives and Target WNS Added new section. Compile Time Considerations Added new section. Assessing the Maximum Frequency of the Design Added new section. Reducing Clock Delay in UltraScale and UltraScale+ Devices Added new section. Disable LUT Combining Updated example. ML Strategies Added new section. Using Incremental Implementation Added information about automatic incremental implementation mode. Using VIO Cores Added new section. 06/26/2019 Version 2019.1 About the UltraFast Design Methodology Added reference to UltraFast Design Methodology Timing Closure Quick Reference Guide (UG1292). SLR Utilization Considerations Updated example. Auto-Pipelining Considerations Added new section. Using Auto-Pipelining on Custom Interfaces Updated to show the hierarchy recommendation and USER_SLR_ASSIGNMENT constraints. Synchronous CDC Added note about safe timing between BUFGCE_DIV clocks. Incremental Synthesis Flows Added new section. Using Incremental Implementation Flows Added information on automatic incremental implementation. Optimization Analysis Added -debug_log option. Methodology DRCs with Impact on Timing Closure Added Severity column and TIMING-44 and TIMING-45 checks. Methodology DRCs with Impact on Signoff Quality Added Severity column and TIMING-46 check. Optimizing Paths with Dedicated Blocks and Macro Added optimization options. Primitives Interconnect Congestion Level in the Device Window Added enhanced reporting information.
    [Show full text]