LAMDA: Learning-Assisted Multi-Stage Autotuning for FPGA Design Closure

Total Page:16

File Type:pdf, Size:1020Kb

LAMDA: Learning-Assisted Multi-Stage Autotuning for FPGA Design Closure LAMDA: Learning-Assisted Multi-Stage Autotuning for FPGA Design Closure Ecenur Ustun∗, Shaojie Xiang, Jinny Gui, Cunxi Yu∗, and Zhiru Zhang∗ School of Electrical and Computer Engineering, Cornell University, Ithaca, NY, USA feu49, cunxi.yu, [email protected] 12.5 12.30 Abstract—A primary barrier to rapid hardware specialization Default Timing with FPGAs stems from weak guarantees of existing CAD 12.0 11.66 tools on achieving design closure. Current methodologies require 11.5 11.29 extensive manual efforts to configure a large set of options 11.16 11.13 across multiple stages of the toolflow, intended to achieve high 11.0 quality-of-results. Due to the size and complexity of the design 10.5 space spanned by these options, coupled with the time-consuming 10.0 9.93 9.91 9.86 9.68 evaluation of each design point, exploration for reconfigurable 9.50 9.5 computing has become remarkably challenging. To tackle this Critical Path (ns) challenge, we present a learning-assisted autotuning framework 9.0 8.76 called LAMDA, which accelerates FPGA design closure by 8.66 8.5 8.52 8.37 utilizing design-specific features extracted from early stages of the 8.22 8.0 design flow to guide the tuning process with significant runtime 8.8 8.9 9.0 9.1 9.2 9.3 9.4 savings. LAMDA automatically configures logic synthesis, tech- Timing Target (ns) nology mapping, placement, and routing to achieve design closure Fig. 1. Timing distribution of bfly for various tool settings and timing efficiently. Compared with a state-of-the-art FPGA-targeted auto- constraints – x-axis represents target clock period (ns), and y-axis represents tuning system, LAMDA realizes faster timing closure on various critical path delay (ns). realistic benchmarks using Intel Quartus Pro. I. INTRODUCTION Recent years have seen an increasing employment of ma- Limitations in technology scaling have led to a growing chine learning (ML) in EDA to enable rapid design space interest in non-traditional system architectures incorporating exploration (DSE) [3]–[7] and automatic configuration of specialized hardware accelerators for improved performance design or tool parameters [2], [8], [9]. However, there are two and energy efficiency. Although FPGAs have shown to be major limitations with the existing approaches. First, current a significant potential in hardware specialization [1], weak techniques mainly focus on a single stage of the design flow guarantees of existing CAD tools on achieving design closure such as high-level synthesis (HLS) [4] or logic synthesis [5], out-of-the-box is a main barrier to its adaptation. To achieve thereby missing important cross-boundary optimization oppor- high quality-of-results (QoR), CAD tools require huge manual tunities. Second, existing methods often use pre-PnR or even effort to configure a large set of design and tool parameters. pre-synthesis reports for assessing the quality of a design To meet the diverse requirements of a broad range of point [10]. While this shortens the execution times, simply application domains, FPGA development environments com- relying on crude estimates from an early design stage may monly provide users with an extensive set of tool options. prevent DSE from reaching high-quality design points. For instance, synthesis and place-and-route (PnR) options To address the aforementioned limitations, we propose in Intel Quartus Pro translate to a search space of over LAMDA, a Learning-Assisted Multi-stage Design Autotuning 1:8 × 1024 design points. Fig. 1 shows 500 design points framework that accelerates FPGA design closure. We develop randomly sampled from possible combinations of tool options a multi-stage QoR inference model based on online supervised of Intel Quartus Pro, and the resulting critical path delays. learning, which allows LAMDA to effectively detect and Results of default tool options are included for reference. prune unpromising design points over search spaces. LAMDA There are two important observations: (1) default timing automatically configures a wide range of CAD tool options results are on average 15% higher than the best results of through balancing the trade-off between computing effort and the random samples; (2) more than 30% of the randomly estimation accuracy. Our main technical contributions include: sampled configurations produce better timing than the default • An ML-based multi-stage autotuner, which leverages configurations. This suggests that there is considerable room features from early stages to estimate post-PnR QoR. for timing improvement by tuning tool options. However, ex- • LAMDA achieves faster design closure using online ploring a large number of tool options is extremely inefficient learning—design points visited during autotuning are and cannot be effectively carried out by human effort alone. A used to further increase the ML model accuracy. similar challenge exists also in high-performance computing • LAMDA achieves 5:43× speedup compared to a state- and software compilation, e.g., autotuners have been developed of-the-art FPGA-targeted autotuning system for multiple for automatically optimizing compiler configurations [2]. realistic designs using Intel Quartus Pro. • Emulation databases of five realistic designs using Intel C/C++/OpenCL LAMDA High-Level Synthesis (HLS) Propose Tool Quartus Pro, which enables fast autotuning evaluation. Search Options Potential HDL Engine The databases will be open sourced to facilitate further Speedup Logic Synthesis Actual QoR research of autotuning algorithms and tools. 230x HDL Fast and Low-Cost Technology Mapping Description Design Stages 175x Packing Design-Specific 10x Features II. BACKGROUND Placement 5x Mainstream FPGA compilation flow takes untimed Routing ML Timing and Area C++/OpenCL or an RTL design as input and generates LAMDA a device-specific bitstream. This process involves several Pruning Evaluation Fig. 2. Potential speedups by Engine distinct and modular steps including HLS, logic synthesis, leveraging QoR inference at technology mapping, packing, placement, and routing. Each different stages Fig. 3. Overview of LAMDA step provides designers a set of configuration switches that select between different heuristics or influence the behavior of a heuristic. These switches need to be calibrated with III. APPROACH significant manual effort and expert knowledge to achieve The overall autotuning flow of LAMDA is illustrated in desired QoRs. Due to the lack of predictability and time- Fig. 3. It takes an HDL description as input and automatically consuming FPGA design flow, there is an urgent need to configures the tool options across logic synthesis, technology lower the design cost by minimizing human supervision and mapping, packing, and PnR stages, where search space is significantly reducing the time required to obtain accurate defined by extensive tool options. Table I lists a subset of QoR estimation. tunable tool options of Intel Quartus Pro. LAMDA leverages Autotuning has been used for optimization in FPGA- a highly accurate ML model to effectively prune the design targeted CAD toolflow by automatically configuring the pa- space, thus accelerating FPGA design closure. The rest of this rameters and tool options to optimize certain objective func- section describes key components of LAMDA in more detail. tions. InTime [8], [11], [12] explores supervised learning Multi-stage QoR inference: We develop a multi-stage in- techniques to accelerate FPGA timing closure. It automatically ference model that estimates post-PnR results based on tool selects tools options for a given design by exploring the design features (configurations of the tool options) and design-specific space using a timing estimator. DATuner [13] utilizes the features. Using multi-stage design-specific features is one of multi-armed bandit technique to automatically tune the options the main contributions compared to InTime and DATuner. As for a complete FPGA compilation flow. discussed in Section II, collecting early stage features is fast, Note that these are single-stage autotuning frameworks, but could lack QoR estimation accuracy. Collecting features meaning that they are based on QoR estimation conducted on from later stages is more informative, yet time-consuming. features of a single stage. Single-stage autotuning with QoR Therefore, fast and low-cost design stages in Fig. 3 need to estimation conducted at a late design stage is time-consuming be carefully selected to balance accuracy-runtime trade-off. because each iteration runs through PnR. On the other hand, To this end, we analyze the effects of features in Table II, using early-stage features for assessing the quality of a design from which one can draw three conclusions. First, design- point during autotuning shortens runtime. However, simply specific features help estimate QoR more accurately compared relying on crude estimates from an early stage may prevent the to using tool options only (i.e. pre-synthesis). Second, ac- CAD tool from applying the appropriate set of optimizations, curacy increases as features from later stages are included resulting in sub-optimal trade-offs. Lo et al. proposed a multi- in the feature set, bringing about an accuracy-runtime trade- fidelity approach for tuning HLS parameters, incorporating off. Third, although tool estimates are less accurate under features across HLS, synthesis, and implementation stages tight constraints, design-specific features still help improve [14]. This paper demonstrates how multi-stage approach can estimation accuracy compared to using tool options only. To significantly
Recommended publications
  • A Comprehensive Stochastic Design Methodology for Hold-Timing Resiliency in Voltage-Scalable Design
    2118 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 26, NO. 10, OCTOBER 2018 A Comprehensive Stochastic Design Methodology for Hold-Timing Resiliency in Voltage-Scalable Design Zhengyu Chen , Student Member, IEEE, Huanyu Wang, Student Member, IEEE, Geng Xie, Student Member, IEEE,andJieGu , Member, IEEE Abstract— In order to fulfill different demands between challenges due to the conflicting requirements between low ultralow energy consumption and high performance, integrated power consumption and high performance. To achieve low circuits are being designed to operate across a large range of power consumption, supply voltage V is typically reduced to supply voltages, in which resiliency to timing violation is the DD key requirement. Unfortunately, traditional timing analysis which near-threshold voltages, e.g., around 0.5 V. At such a voltage, focuses on setup timing tolerance for higher performance cannot stochastic variation associated with transistor threshold volt- model the hold violation efficiently across different voltages. ages becomes a major factor in determining logic timing which In this paper, we proposed a complete flow of computationally makes timing analysis extremely time consuming [1]. Conven- efficient methodology for guaranteeing hold margin, which is tional solution which introduces extra timing margin to avoid particularly important for low-power devices, e.g., Internet-of- Things devices. Leveraging both the conventional static timing timing violation at low-voltage region leads to performance analysis and a most probable point (MPP) theory, we develop a degradation at high-voltage region. In addition, the inefficiency new hold-timing closure methodology across voltages eliminating of conventional static timing analysis (STA) cannot meet the expensive Monte Carlo simulation.
    [Show full text]
  • Overcoming the Challenges in Very Deep Submicron for Area Reduction, Power Reduction and Faster Design Closure
    Overcoming the Challenges in Very Deep Submicron for area reduction, power reduction and faster design closure A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF Master of Technology in VLSI Design and Embedded System By K. RAKESH Roll No: 20507010 Department of Electronics and Communication Engineering National Institute Of Technology Rourkela 2007 Overcoming the Challenges in Very Deep Submicron for area reduction, power reduction and faster design closure A THESIS SUBMITTED IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF Master of Technology in VLSI Design and Embedded System By K. RAKESH Roll No: 20507010 Under the Guidance of Prof. K. K. MAHAPATRA Department of Electronics and Communication Engineering National Institute Of Technology Rourkela 2007 National Institute of Technology Rourkela CERTIFICATE This is to certify that the thesis entitled, “Overcoming the Challenges in Very Deep Submicron for area reduction, power reduction and faster design closure” submitted by Mr. Koyyalamudi Rakesh (20507010) in partial fulfillment of the requirements for the award of Master of Technology Degree in Electronics & Communication Engineering with specialization in “VLSI Design & Embedded System” at the National Institute of Technology, Rourkela (Deemed University) is an authentic work carried out by him under my supervision and guidance. To the best of my knowledge, the matter embodied in the thesis has not been submitted to any other University / Institute for the award of any Degree or Diploma. Prof. K.K. Mahapatra Dept. of Electronics & Communication Engg. Date: National Institute of Technology Rourkela-769008 ACKNOWLEDGEMENTS This project is by far the most significant accomplishment in my life and it would be impossible without people who supported me and believed in me.
    [Show full text]
  • Design Closure: Power Constraints, Best Practices for an Accurate Report Power Estimation
    Design Closure: Power Constraints, best practices for an accurate Report Power estimation Feb 2021 © Copyright 2021 Xilinx Design Closure Sessions Session 1 Methodology, tips, and tricks for achieving better Quality-of-Results Session 2 Using Timing Closure Assistance tools to address tough timing issues Session 3 Power Constraints, best practices for an accurate Report Power estimation 2 © Copyright 2021 Xilinx Agenda Power impact and Time to Market Design Closure an efficient approach Understanding design power Design Power Constraints Vivado Commands 3 © Copyright 2021 Xilinx Power impact and Time to Market © Copyright 2021 Xilinx Why is Power Closure so important? Board Design is Fixed Power and Thermal Issues take a long time to correct Design Changes (Typically Weeks) Re-Run P&R HDL Changes Reducing design specifications Hardware changes (Typically Months) Board Re-spin Power Delivery Changes Thermal Solution Changes 5 © Copyright 2021 Xilinx Power / Thermal / Board Design Methodology Power Estimation Thermal Design Define Power Delivery If power cannot be reduced, Thermal and Define / Simulate PDN Board Design needs to be redone Define Board / Sch Checklist (very time consuming) Constrain Vivado Modify Run report_power Design DISSECTION No Designed within • What went well? Budget? • Lessons learned? Yes Converge and ensure all original assumption/ design constraints are met SUCCESS 6 © Copyright 2021 Xilinx Design Closure an efficient approach © Copyright 2021 Xilinx Design closure – combining Timing and Power More efficient,
    [Show full text]
  • Introduction to ASIC Design
    ’14EC770 : ASIC DESIGN’ An Introduction Application - Specific Integrated Circuit Dr.K.Kalyani AP, ECE, TCE. 1 VLSI COMPANIES IN INDIA • Motorola India – IC design center • Texas Instruments – IC design center in Bangalore • VLSI India – ASIC design and FPGA services • VLSI Software – Design of electronic design automation tools • Microchip Technology – Offers VLSI CMOS semiconductor components for embedded systems • Delsoft – Electronic design automation, digital video technology and VLSI design services • Horizon Semiconductors – ASIC, VLSI and IC design training • Bit Mapper – Design, development & training • Calorex Institute of Technology – Courses in VLSI chip design, DSP and Verilog HDL • ControlNet India – VLSI design, network monitoring products and services • E Infochips – ASIC chip design, embedded systems and software development • EDAIndia – Resource on VLSI design centres and tutorials • Cypress Semiconductor – US semiconductor major Cypress has set up a VLSI development center in Bangalore • VDAT 2000 – Info on VLSI design and test workshops 2 VLSI COMPANIES IN INDIA • Sandeepani – VLSI design training courses • Sanyo LSI Technology – Semiconductor design centre of Sanyo Electronics • Semiconductor Complex – Manufacturer of microelectronics equipment like VLSIs & VLSI based systems & sub systems • Sequence Design – Provider of electronic design automation tools • Trident Techlabs – Power systems analysis software and electrical machine design services • VEDA IIT – Offers courses & training in VLSI design & development • Zensonet Technologies – VLSI IC design firm eg3.com – Useful links for the design engineer • Analog Devices India Product Development Center – Designs DSPs in Bangalore • CG-CoreEl Programmable Solutions – Design services in telecommunications, networking and DSP 3 Physical Design, CAD Tools. • SiCore Systems Pvt. Ltd. 161, Greams Road, ... • Silicon Automation Systems (India) Pvt. Ltd. ( SASI) ... • Tata Elxsi Ltd.
    [Show full text]
  • Digital Design Flow Techniques and Circuit Design for Thin-Film Transistors
    Printed by Tryckeriet i E-huset, Lund 2020 Printed by Tryckeriet SUMAN BALAJI Digital Design Flow Techniques and Circuit Design for Thin-Film Transistors SUMAN BALAJI MASTER´S THESIS Digital Design Flow Techniques and Circuit Design for Thin-Film Transistors for Thin-Film Design and Circuit Flow Design Techniques Digital DEPARTMENT OF ELECTRICAL AND INFORMATION TECHNOLOGY FACULTY OF ENGINEERING | LTH | LUND UNIVERSITY Series of Master’s theses Department of Electrical and Information Technology LUND 2020 LU/LTH-EIT 2020-770 http://www.eit.lth.se Digital Design Flow Techniques and Circuit Design for Thin-Film Transistors Suman Balaji [email protected] Department of Electrical and Information Technology Lund University Academic Supervisor: Joachim Rodrigues Supervisor: Hikmet Celiker Examiner: Pietro Andreani June 18, 2020 © 2020 Printed in Sweden Tryckeriet i E-huset, Lund Abstract Thin-Film Transistor (TFT) technology refers to process and manufacture tran- sistors, circuits, Integrated circuits (ICs) using thin-film organic or metal-oxide semiconductors on different substrates, such as flexible plastic foils and rigid glass substrates. TFT technology is attractive and used for applications requiring flexible, ultra- thin ICs to enable seamless integration into devices. TFT technology shows great potential to be an enabling technology for Internet of Things (IoT) applications. TFT is currently used and a dominant technology for switching circuits in flat- panel displays and are the main candidates for IoT applications in the near future because of its flexible structure, low cost. It is possible to design different kinds of Application-specific integrated circuits (ASIC) with flexible TFTs. Logic gates are considered as the basic building blocks of a digital circuit.
    [Show full text]
  • The Effects of Globalization on the Fashion Industry– Maísa Benatti
    ACKNOLEDGMENTS Thank you my dear parents for raising me in a way that I could travel the world and have experienced different cultures. My main motivation for this dissertation was my curiosity to understand personal and professional connections throughout the world and this curiosity was awakened because of your emotional and financial incentives on letting me live away from home since I was very young. Mae and Ingo, thank you for not measuring efforts for having me in Portugal. I know how hard it was but you´d never said no to anything I needed here. Having you both in my life makes everything so much easier. I love you more than I can ever explain. Pai, your time here in Portugal with me was very important for this masters, thank you so much for showing me that life is not a bed of roses and that I must put a lot of effort on the things to make them happen. I could sew my last collection because of you, your patience and your words saying “if it was easy, it wouldn´t be worth it”. Thank you to my family here in Portugal, Dona Teresinha, Sr. Eurico, Erica, Willian, Felipe, Daniel, Zuleica, Emanuel, Vitória and Artur, for understanding my absences in family gatherings because of the thesis and for always giving me support on anything I need. Dona Teresinha and Sr. Eurico, thank you a million times for helping me so much during this (not easy) year of my life. Thank you for receiving me at your house as if I were your child.
    [Show full text]
  • NOT for Printing
    New York City Transit ADC60 Waste Management and Resource Efficiency in Transportation SUMMER CONFERENCE IN NEW YORK CITY JULY 13—JULY 15, 2009 2 Broadway, New York Monday July 13, 2009 Tuesday July 14, 2009 Wednesday July 15, 2009 8:30AM – Registration and Breakfast 8:30AM Registration and Breakfast 8:30AM Registration and Breakfast 9:00AM – Welcome/Opening Session 8:45AM Hazardous Materials Investigation/Remediation – 8:45AM Environmental Focus 1 PDH, .1 CM Thomas Abdallah, PE, LEED AP, NYCT Panel Discussion 1 PDH, .1 CM 1. US EPA EPA Region 2 Transportation and Construction Initia- Ernest Tollerson, MTA 1. The Triad Approach: Theory, Practice, and Expectations, Joel tive, Charles Harewood, EPA Collette Ericsson, PE, LEED AP, MTA Regional Bus S. Hayworth, PhD, PE, Hayworth Engineering 2. Hot LEED Topics, Lauren Yarmuth, LEED AP, YRG Ed Wallingford, Committee Chair TRB ADC-60 2. A TRIAD investigation combining Electrical Resistivity Imaging 3. Storm water Retrofitting to Restore Ecosystems, Ted Brown, 9:30AM Sustainability Initiatives 1PDH, .1 CM (ERI), Soil Conductivity/Membrane Interface Probe (SC/MIP), P.E., LEED AP 1. Assessing Green Building Performance, Thomas Burke, PE, Todd R. Kincaid, Ph.D., Kevin Day, P.G., Roger Lamb, P.G., 4. Western Railyards Air Quality, Helen Ginzburg &Tammy Pet- LEED AP, U.S. GSA H2H Associates sios, PB 2. Climate Change and the Port Authority, Chris Zeppie, Chrstine 3. Geologic Framework Modeling for Large Construction Projects, 10:15AM Environmental Engineering Around the World Wedig, PANYNJ Christine Vilardi, PG, CGWP, STV, Inc. 1PDH, .1 CM 3. The High-Line: Conversion of an Abandoned Railway to an Additional Panel Members 1.
    [Show full text]
  • An Automated Flow for Integrating Hardware IP Into the Automotive Systems Engineering Process
    An Automated Flow for Integrating Hardware IP into the Automotive Systems Engineering Process Jan-Hendrik Oetjens Ralph Görgen Joachim Gerlach Wolfgang Nebel Robert Bosch GmbH OFFIS Institute Robert Bosch GmbH Carl v. Ossietzky University AE/EIM3 R&D Division Transportation AE/EIM3 Embedded Hardware-/ Postfach 1342 Escherweg 2 Postfach 1342 Software-Systems 72703 Reutlingen 26121 Oldenburg 72703 Reutlingen 26111 Oldenburg Jan-Hendrik.Oetjens Ralph.Goergen Joachim.Gerlach nebel@informatik. @de.bosch.com @offis.de @de.bosch.com uni-oldenburg.de Abstract during system integration is possible in an earlier stage of the systems engineering process. This contribution shows and discusses the require- Most of the companies’ system development processes ments and constraints that an industrial engineering follow – at least, in their basic principals – the well- process defines for the integration of hardware IP into known V model [1]. In our specific case, there is running the system development flow. It describes the developed an additional boundary through that V model. It partitions strategy for automating the step of making hardware de- the process steps into tasks to be done within our semi- scriptions available in a MATLAB/Simulink based system conductor division and tasks to be done within the corre- modeling and validation environment. It also explains the sponding system divisions (see Figure 1). The prior men- transformation technique on which that strategy is based. tioned acts as a supplier of the hardware parts of the over- An application of the strategy is shown in terms of an all system for the latter. Such boundaries also exist in industrial automotive electronic hardware IP block.
    [Show full text]
  • SEMICONDUCTOR COLLABORATIVE DESIGN PROCESS Enable Collaborative Design for Complex Semiconductor Projects
    HIGH PERFORMANCE SEMICONDUCTOR INDUSTRY SOLUTION EXPERIENCE SEMICONDUCTOR DESIGN DATA MANAGEMENT HIGH PERFORMANCE SEMICONDUCTOR INDUSTRY SOLUTION EXPERIENCE Achieve higher efficiency and zero re-spins in developing IoT-ready systems-on-chip Project & Portfolio Requirement, Issue, Defect & Management Traceability & Change Advancements in integrated circuit (IC) density and competition for market leadership drive demand for more complex devices from semiconductor Test Management manufacturers. To compete successfully, manufacturers must use teams of diverse design specialists and complex project workflows to maximize device differentiation and team productivity. As a result, IC projects carry increasing business risk. Dassault Systèmes' High Performance Semiconductor Industry Solution Experience powered by the 3DEXPERIENCE® platform provides a portfolio of IC design and engineering performance enhancements that help mitigate project risk, shorten time-to-market, and increase product quality and yield. High Performance Semiconductor provides these benefits through: IP Management Design Data Verification Management & Validation • Efficient intellectual property (IP) management and reuse • Graphical analytics to manage design closure • Instant access to the latest design data for all design teams • End-to-end traceability, from requirements to verification and validation • Packaging reliability simulation and testing • Enhanced product variation and defect management Manufacturing Packaging Manufacturing With this solution portfolio, semiconductor
    [Show full text]
  • Design for Manufacturing (Dfm) in Submicron Vlsi Design
    DESIGN FOR MANUFACTURING (DFM) IN SUBMICRON VLSI DESIGN A Dissertation by KE CAO Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for the degree of DOCTOR OF PHILOSOPHY August 2007 Major Subject: Computer Engineering DESIGN FOR MANUFACTURING (DFM) IN SUBMICRON VLSI DESIGN A Dissertation by KE CAO Submitted to the Office of Graduate Studies of Texas A&M University in partial fulfillment of the requirements for the degree of DOCTOR OF PHILOSOPHY Approved by: Chair of Committee, Jiang Hu Committee Members, Weiping Shi Duncan Walker Vivek Sarin Head of Department, Costas N. Georghiades August 2007 Major Subject: Computer Engineering iii ABSTRACT Design for Manufacturing (DFM) in Submicron VLSI Design. (August 2007) Ke Cao, B.S., University of Science and Technology of China; M.S., University of Minnesota Chair of Advisory Committee: Dr. Jiang Hu As VLSI technology scales to 65nm and below, traditional communication between design and manufacturing becomes more and more inadequate. Gone are the days when designers simply pass the design GDSII file to the foundry and expect very good man- ufacturing and parametric yield. This is largely due to the enormous challenges in the manufacturing stage as the feature size continues to shrink. Thus, the idea of DFM (Design for Manufacturing) is getting very popular. Even though there is no universally accepted definition of DFM, in my opinion, one of the major parts of DFM is to bring manufacturing information into the design stage in a way that is understood by designers. Consequently, designers can act on the information to improve both manufacturing and parametric yield.
    [Show full text]
  • Design for Manufacturability and Reliability in Extreme-Scaling VLSI
    SCIENCE CHINA Information Sciences . REVIEW . June 2016, Vol. 59 061406:1–061406:23 Special Focus on Advanced Microelectronics Technology doi: 10.1007/s11432-016-5560-6 Design for manufacturability and reliability in extreme-scaling VLSI Bei YU1,2 , Xiaoqing XU2 , Subhendu ROY2,3 ,YiboLIN2, Jiaojiao OU2 &DavidZ.PAN2 * 1CSE Department, The Chinese University of Hong Kong, NT Hong Kong, China; 2ECE Department, University of Texas at Austin, Austin, TX 78712,USA; 3Cadence Design Systems, Inc., San Jose, CA 95134,USA Received December 14, 2015; accepted January 18, 2016; published online May 6, 2016 Abstract In the last five decades, the number of transistors on a chip has increased exponentially in accordance with the Moore’s law, and the semiconductor industry has followed this law as long-term planning and targeting for research and development. However, as the transistor feature size is further shrunk to sub-14nm nanometer regime, modern integrated circuit (IC) designs are challenged by exacerbated manufacturability and reliability issues. To overcome these grand challenges, full-chip modeling and physical design tools are imperative to achieve high manufacturability and reliability. In this paper, we will discuss some key process technology and VLSI design co-optimization issues in nanometer VLSI. Keywords design for manufacturability, design for reliability, VLSI CAD Citation Yu B, Xu X Q, Roy S, et al. Design for manufacturability and reliability in extreme-scaling VLSI. Sci China Inf Sci, 2016, 59(6): 061406, doi: 10.1007/s11432-016-5560-6 1 Introduction Moore’s law, which is named after Intel co-founder Gordon Moore, predicts that the density of transistor on integrated circuits (ICs) roughly doubles every two years.
    [Show full text]
  • Designing a Chip Challenges, Trends, and Latin America Opportunity
    Designing a chip Challenges, Trends, and Latin America Opportunity Victor Grimblatt R&D Group Director © Synopsys 2012 1 SASE 2012 Agenda Introduction The Evolution of Synthesis SoC IC Design Methodology New Techniques and Challenges IP Market, an opportunity for Latin America © Synopsys 2012 2 Introduction © Synopsys 2012 3 Interesting Facts from Cisco • Last year’s mobile data traffic eight times the size of the entire global Internet in 2000 • Global mobile data traffic grew 2.3-fold in 2011, more than doubling for 4th year in a row • Mobile video traffic exceeded 50% for the first time in 2011 • Average smartphone usage nearly tripled in 2011 • In 2011, a 4th generation (4G) connection generated 28x more traffic on average than non-4G connection Source: Cisco Visual Networking Index: Global Mobile Data Traffic Forecast Update, 2011–2016, Feb 14, 2012 © Synopsys 2012 4 Drives Exploding Need for Bandwidth and Storage Bandwidth Increase A Decade of Digital Universe Growth 7.910 Zettabytes 8000 7000 6000 5000 4000 3000 1.2 2000 Zettabytes 130 1000 Exabytes 0 2005 2010 2015 © Synopsys 2012 5 • One zettabyte = stacks of books from Earth to Pluto 20 times (72 billion miles) • If an 11 oz. cup of coffee equals 1 gigabtye, then 1 zettabyte would have the same volume of the Great Wall of China Source: IBS and Cisco © Synopsys 2012 6 Tomorrow’s World Reality Augmented Reality Blended Reality Search Agents Info That Finds You (and networks that know you) 2D 3D Immersive Video Holographics Medical Mobile Medical Personal Medical Person
    [Show full text]