Slides Ch04 2 MARIE After Mi

Total Page:16

File Type:pdf, Size:1020Kb

Slides Ch04 2 MARIE After Mi 43. Implement a half-adder with only NAND gates • How do we implement the XOR? First solution: Use truth table: 1 Second solution: Use DeMorgan to prove that the circuit below implements the XOR gate: 2 Image source: Wikipedia →XOR gate 3 4 5 6 4.12 Extending Our Instruction Set • So far, all of the MARIE instructions that we have discussed use a direct addressing mode. • This means that the address of the operand is explicitly stated in the instruction. • It is often useful to employ a indirect addressing, where the address of the address of the operand is given in the instruction. – If you have ever used pointers in a C/C++ program, you are already familiar with indirect addressing! 7 • We have 4 indirect addressing mode instructions in the MARIE ISA. • The first two are LOADI X and STOREI X • X specifies the address of the operand to be loaded or stored. • In RTL : MAR X MBR M[MAR] MAR MBR ? MBR M[MAR] AC MBR STOREI X LOADI X 8 • In RTL : MAR X MAR X MBR M[MAR] MBR M[MAR] MAR MBR MAR MBR MBR M[MAR] MBR AC AC MBR M[MAR] MBR LOADI X STOREI X 9 • The ADDI X instruction is a combination of LOADI X and ADD X: • In RTL: MAR X MBR M[MAR] MAR MBR MBR M[MAR] AC AC + MBR 10 • The JUMPI X instruction is similar to JUMP • In RTL: MAR X MBR M[MAR] PC MBR 11 What is indirect addressing used for? • Do you remember the Interrupt Vector Table? • When the interrupt occurs, the hardware places in the IR the code of a JUMPI, with the appropriate address X! 12 Another example of indirect addressing Do you remember what 00 means for SKIPCOND? 100 | LOAD Addr 10E | SKIPCOND 000 101 | STORE Next 10F | JUMP Loop 102 | LOAD Num 110 | HALT 103 | SUBT One 111 |Addr HEX 117 104 | STORE Ctr 112 |Next HEX 0 105 |Loop LOAD Sum 113 |Num DEC 5 106 | ADDI Next 114 |Sum DEC 0 107 | STORE Sum 115 |Ctr HEX 0 108 | LOAD Next 116 |One DEC 1 109 | ADD One 117 | DEC 10 10A | STORE Next 118 | DEC 15 10B | LOAD Ctr 119 | DEC 2 Array of 5 10C | SUBT One 11A | DEC 25 integers 10D | STORE Ctr 11B | DEC 30 13 Write the C program that would be compiled into this MARIE assembly code 100 | LOAD Addr 10E | SKIPCOND 000 101 | STORE Next 10F | JUMP Loop 102 | LOAD Num 110 | HALT 103 | SUBT One 111 |Addr HEX 117 104 | STORE Ctr 112 |Next HEX 0 105 |Loop LOAD Sum 113 |Num DEC 5 106 | ADDI Next 114 |Sum DEC 0 107 | STORE Sum 115 |Ctr HEX 0 108 | LOAD Next 116 |One DEC 1 109 | ADD One 117 | DEC 10 10A | STORE Next 118 | DEC 15 10B | LOAD Ctr 119 | DEC 2 Array of 5 10C | SUBT One 11A | DEC 25 integers 10D | STORE Ctr 11B | DEC 30 14 QUIZ: What do we need to change in this program to add up 7 integers? 100 | LOAD Addr 10E | SKIPCOND 000 101 | STORE Next 10F | JUMP Loop 102 | LOAD Num 110 | HALT 103 | SUBT One 111 |Addr HEX 117 104 | STORE Ctr 112 |Next HEX 0 105 |Loop LOAD Sum 113 |Num DEC 5 106 | ADDI Next 114 |Sum DEC 0 107 | STORE Sum 115 |Ctr HEX 0 108 | LOAD Next 116 |One DEC 1 109 | ADD One 117 | DEC 10 10A | STORE Next 118 | DEC 15 10B | LOAD Ctr 119 | DEC 2 10C | SUBT One 11A | DEC 25 10D | STORE Ctr 11B | DEC 30 15 • Another helpful programming tool is the use of subroutines. • The jump-and-store instruction, JnS X, gives us subroutine functionality. • In RTL: MBR PC MAR X M[MAR] MBR Explain this in MBR X your own words! AC 1 Make a memory AC AC + MBR diagram! 16 PC AC 4.12 Extending Our Instruction Set • Another helpful programming tool is the use of subroutines. • The jump-and-store instruction, JnS X, gives us subroutine functionality. • In RTL: MBR PC MAR X M[MAR] MBR MBR X Does JnS permit AC 1 AC AC + MBR recursive calls? PC AC 17 Example 4.5: subroutine that doubles Load X Subr, Hex 0 Store Temp Load Temp JnS Subr Add Temp Store X JumpI Load Y Subr Store Temp END JnS Subr Store Y Halt X, Dec 20 Y, Dec 42 Assembler directive Temp, Dec 0 QUIZ: Based on this model, write a subroutine that subtracts two numbers Load X Subr, Hex 0 Store Temp Load Temp JnS Subr Add Temp Store X JumpI Load Y Subr Store Temp END JnS Subr Store Y Halt X, Dec 20 Y, Dec 42 Assembler directive Temp, Dec 0 4.12 Extending Our Instruction Set • Our last instruction is CLEAR. • It resets the contents of AC to all zeroes. • In RTL: AC 0 20 To do for next time: 21 QUIZ Explain in RTL and in your own words the difference between: • STORE X and STOREI X • ADD X and ADDI X 22 QUIZ Where is the return address kept when a subroutine is called in a MARIE program? 23 4.12 Extending Our Instruction Set • Our last instruction is CLEAR. • It resets the contents of AC to all zeroes. • In RTL: AC 0 Trick question: What addressing mode is this? 24 The new instructions 25 Table 4.7 on p.252 See handout! 26 27 Implementing loops in assembly 28 QUIZ: Write a subroutine that outputs ‘P’ if the number in AC is >0 and ‘NP’ otherwise Load X Subr, Hex 0 Store Temp Load Temp JnS Subr Add Temp Store X JumpI Subr Load Y END Store Temp JnS Subr Store Y Halt X, Dec 20 Y, Dec 42 Temp, Dec 0 29 To do for next time: 30 QUIZ: Explain in your own words and in RTL the difference between ADD X and ADDI X 31 QUIZ: Explain in your own words and in RTL the difference between ADD X and ADDI X MAR X MAR X MBR M[MAR] MBR M[MAR] MAR MBR MBR M[MAR] AC AC + MBR AC AC + MBR 32 4.13 Decoding • A computer’s control unit keeps things synchronized, making sure that bits flow to the correct components as the components are needed. • There are two ways in which a control unit can be implemented: – Hardwired → a hardware controller creates all signals with combinational logic – Microprogrammed → a small program is placed into read-only memory (ROM) and used to create those signals 33 Decoding • The microoperations given by each RTL instruction define the operation of MARIE’s control unit. • Each microoperation consists of a distinctive signal pattern that is interpreted by the control unit and results in the execution of an instruction. Example: RTL for ADDI X instruction: MAR X MBR M[MAR] MAR MBR MBR M[MAR] AC AC + MBR 34 MARIE Datapath Note the datapath addresses of the components connected to the data bus! How many datapath address lines are needed? 35 Decoding If you answered three, you’re on the right track! We need two sets of three signals: • P2, P1, P0, control reading from memory or a register • P5, P4, P3, controls writing to memory or a register. 36 MBR R/W MBR is enabled for reading when P0 and P1 are high, and it is enabled for writing when P3 and P4 are high 37 Decoding Inspection of MARIE’s instructions’ RTL reveals that the ALU has only three operations: add, subtract, and clear. We also define a fourth “do nothing” state: 38 Decoding Inspection of MARIE’s instructions’ RTL reveals that the ALU has only three operations: add, subtract, and clear. We also define a fourth “do nothing” state: Which of MARIE’s instructions do not use the ALU? 39 Decoding Inspection of MARIE’s instructions’ RTL reveals that the ALU has only three operations: add, subtract, and clear. We also define a fourth “do nothing” state: The entire set of MARIE’s control signals consists of: – Register controls: P0 through P5. – ALU controls: A0 and A1 – Timing: T0 through T7 and counter reset Cr Explained 40 later Decoding the Add instruction • The RTL for MARIE’s Add instruction is: MAR X MBR M[MAR] AC AC + MBR • After an Add instruction is fetched, the address, X, is in the rightmost 12 bits of the IR, which has a datapath address of 7. • X is copied to the MAR, which has a datapath address of 1. • Thus we need to raise signals P2, P1, and P0 to read from the IR, and signal P3 to write to the MAR. 41 Complete signal sequence for Add instruction P3 P2 P1 P0 T0: MAR X P4 P3 T1: MBR M[MAR] A0 P5 P1 P0 T2: AC AC + MBR Cr T3: [Reset counter] Why do we need to reset? 42 What is the maximum nr. of microops. needed for a MARIE instruction? Table 4.7 on p.252 43 The counter will therefore count cyclically through 7 states S0 … S6, but for some (most) instructions, we need to cut the cycle short. 44 Complete signal sequence for Add instruction P3 P2 P1 P0 T0: MAR X P4 P3 T1: MBR M[MAR] A0 P5 P1 P0 T2: AC AC + MBR Cr T3: [Reset counter] How exactly are the timing signals used? 45 Timing diagram for ADD P3 P2 P1 P0 T0: MAR X P4 P3 T1: MBR M[MAR] A0 P5 P1 P0 T2: AC AC + MBR Cr T3: [Reset counter] The instruction bits in IR are constant until the end of the current Fetch- Execute cycle, but the Pi signals need to change from one microop.
Recommended publications
  • 18-447 Computer Architecture Lecture 6: Multi-Cycle and Microprogrammed Microarchitectures
    18-447 Computer Architecture Lecture 6: Multi-Cycle and Microprogrammed Microarchitectures Prof. Onur Mutlu Carnegie Mellon University Spring 2015, 1/28/2015 Agenda for Today & Next Few Lectures n Single-cycle Microarchitectures n Multi-cycle and Microprogrammed Microarchitectures n Pipelining n Issues in Pipelining: Control & Data Dependence Handling, State Maintenance and Recovery, … n Out-of-Order Execution n Issues in OoO Execution: Load-Store Handling, … 2 Reminder on Assignments n Lab 2 due next Friday (Feb 6) q Start early! n HW 1 due today n HW 2 out n Remember that all is for your benefit q Homeworks, especially so q All assignments can take time, but the goal is for you to learn very well 3 Lab 1 Grades 25 20 15 10 5 Number of Students 0 30 40 50 60 70 80 90 100 n Mean: 88.0 n Median: 96.0 n Standard Deviation: 16.9 4 Extra Credit for Lab Assignment 2 n Complete your normal (single-cycle) implementation first, and get it checked off in lab. n Then, implement the MIPS core using a microcoded approach similar to what we will discuss in class. n We are not specifying any particular details of the microcode format or the microarchitecture; you can be creative. n For the extra credit, the microcoded implementation should execute the same programs that your ordinary implementation does, and you should demo it by the normal lab deadline. n You will get maximum 4% of course grade n Document what you have done and demonstrate well 5 Readings for Today n P&P, Revised Appendix C q Microarchitecture of the LC-3b q Appendix A (LC-3b ISA) will be useful in following this n P&H, Appendix D q Mapping Control to Hardware n Optional q Maurice Wilkes, “The Best Way to Design an Automatic Calculating Machine,” Manchester Univ.
    [Show full text]
  • System Design for a Computational-RAM Logic-In-Memory Parailel-Processing Machine
    System Design for a Computational-RAM Logic-In-Memory ParaIlel-Processing Machine Peter M. Nyasulu, B .Sc., M.Eng. A thesis submitted to the Faculty of Graduate Studies and Research in partial fulfillment of the requirements for the degree of Doctor of Philosophy Ottaw a-Carleton Ins titute for Eleceical and Computer Engineering, Department of Electronics, Faculty of Engineering, Carleton University, Ottawa, Ontario, Canada May, 1999 O Peter M. Nyasulu, 1999 National Library Biôiiothkque nationale du Canada Acquisitions and Acquisitions et Bibliographie Services services bibliographiques 39S Weiiington Street 395. nie WeUingtm OnawaON KlAW Ottawa ON K1A ON4 Canada Canada The author has granted a non- L'auteur a accordé une licence non exclusive licence allowing the exclusive permettant à la National Library of Canada to Bibliothèque nationale du Canada de reproduce, ban, distribute or seU reproduire, prêter, distribuer ou copies of this thesis in microform, vendre des copies de cette thèse sous paper or electronic formats. la forme de microficbe/nlm, de reproduction sur papier ou sur format électronique. The author retains ownership of the L'auteur conserve la propriété du copyright in this thesis. Neither the droit d'auteur qui protège cette thèse. thesis nor substantial extracts fkom it Ni la thèse ni des extraits substantiels may be printed or otherwise de celle-ci ne doivent être imprimés reproduced without the author's ou autrement reproduits sans son permission. autorisation. Abstract Integrating several 1-bit processing elements at the sense amplifiers of a standard RAM improves the performance of massively-paralle1 applications because of the inherent parallelism and high data bandwidth inside the memory chip.
    [Show full text]
  • Micro-Circuits for High Energy Physics*
    MICRO-CIRCUITS FOR HIGH ENERGY PHYSICS* Paul F. Kunz Stanford Linear Accelerator Center Stanford University, Stanford, California, U.S.A. ABSTRACT Microprogramming is an inherently elegant method for implementing many digital systems. It is a mixture of hardware and software techniques with the logic subsystems controlled by "instructions" stored Figure 1: Basic TTL Gate in a memory. In the past, designing microprogrammed systems was difficult, tedious, and expensive because the available components were capable of only limited number of functions. Today, however, large blocks of microprogrammed systems have been incorporated into a A input B input C output single I.e., thus microprogramming has become a simple, practical method. false false true false true true true false true true true false 1. INTRODUCTION 1.1 BRIEF HISTORY OF MICROCIRCUITS Figure 2: Truth Table for NAND Gate. The first question which arises when one talks about microcircuits is: What is a microcircuit? The answer is simple: a complete circuit within a single integrated-circuit (I.e.) package or chip. The next question one might ask is: What circuits are available? The answer to this question is also simple: it depends. It depends on the economics of the circuit for the semiconductor manufacturer, which depends on the technology he uses, which in turn changes as a function of time. Thus to understand Figure 3: Logical NOT Circuit. what microcircuits are available today and what makes them different from those of yesterday it is interesting to look into the economics of producing microcircuits. The basic element in a logic circuit is a gate, which is a circuit with a number of inputs and one output and it performs a basic logical function such as AND, OR, or NOT.
    [Show full text]
  • P4080 Development System User's Guide
    Freescale Semiconductor Document Number: P4080DSUG User Guide Rev. 0, 07/2010 P4080 Development System User’s Guide by Networking and Multimedia Group Freescale Semiconductor, Inc. Austin, TX Contents 1Overview 1. Overview . 1 2. Features Summary . 2 The P4080 development system (DS) is a high-performance 3. Block Diagram and Placement . 4 computing, evaluation, and development platform 4. Evaluation Support . 6 supporting the P4080 Power Architecture® processor. The 5. Architecture . 8 P4080 development system’s official designation is 6. Configuration . 40 7. Programming Model . 45 P4080DS, and may be ordered using this part number. 8. Revision History . 58 The P4080DS is designed to the ATX form-factor standard, A. References . 58 allowing it to be used in 2U rack-mount chassis, as well as in a standard ATX chassis. The system is lead-free and RoHS-compliant. © 2011 Freescale Semiconductor, Inc. All rights reserved. Features Summary 2 Features Summary The features of the P4080DS development board are as follows: • Support for the P4080 processor — Core processors – Eight e500mc cores – 45 nm SOI process technology — High-speed serial port (SerDes) – Eighteen lanes, dividable into many combinations – Five controllers support five add-in card slots. – Supports PCI Express, SGMII, Nexus/Aurora debug, XAUI, and Serial RapidIO®. — Dual DDR memory controllers – Designed for DDR3 support – One-per-channel 240-pin sockets that support standard JEDEC DIMMs — Triple-speed Ethernet/ USB controller – One 10/100/1G port uses on-board VSC8244 PHY
    [Show full text]
  • Micro-Sequencer Based Control Unit Design for a Central Processing Unit
    MICRO-SEQUENCER BASED CONTROL UNIT DESIGN FOR A CENTRAL PROCESSING UNIT TAN CHANG HAI A project report submitted in partial fulfillment of the requirement for the award of the degree of Master of Engineering (Computer & Microelectronic Systems) Faculty of Electrical Engineering Universiti Teknologi Malaysia APRIL 2007 iii DEDICATION To my beloved wife, parents and family members iv ACKNOLEDGEMENT In preparing this thesis, I was in contact with many people, researchers and academicians. They have contributed towards my understanding and thoughts. In particular, I wish to express my sincere appreciation to my thesis supervisor, Professor Dr. Mohamed Khalil Hani, for encouragement, guidance and friendships. I am also very thankful to my friends and family members for their great support, advices and motivation. Without their continued support and interest, this thesis would not have been as presented here. v ABSTRACT Central Processing Unit (CPU) is a processing unit that controls the computer operations. The current in house CPU design was not complete therefore the purpose of this research was to enhance the current CPU design in such a way that it can handle hardware interrupt operation, stack operations and subroutine call. Register transfer logic (RTL) level design methodology namely top level RTL architecture, RTL control algorithm, data path unit design, RTL control sequence table, micro- sequencer control unit design, integration of control unit and data path unit, and the functional simulation for the design verification are included in this research. vi ABSTRAK Unit pusat pemprosesan (CPU) merupakan sebuah mesin yang berfungsi untuk menjana fungsi komputer. Buat masa kini, rekaan CPU masih belum sempurna.
    [Show full text]
  • EEL 4914 Senior Design Gator Μprocessor Spring 2007 Submitted By
    EEL 4914 Senior Design Gator µProcessor Spring 2007 Submitted by: Kevin Phillipson Project Abstract The Gator microprocessor or GµP is a central processing unit to be used for education and research at the University of Florida. This processor will be realized on a development board that will be constructed in the course of this project. The board will contain a programmable gate array, in this case a FPGA. Using this FPGA we can dynamically build and test the CPU by describing and synthesizing it using a hardware description language. The processor will be instruction set & machine code compatible with the Motorola/Freescale 68xx microprocessors. This will allow us to use the extensive library of compliers, assemblers and other tools already available. Introduction The ultimate goal is to create a tool which could be used to bridge between Microprocessor Applications (EEL4744C) and Digital Design (EEL4712C) while enhancing both classes. Currently, the courses implement two separate boards. EEL4744C uses a board based on the Freescale 68HC12 micro-controller (Figure 1). It is supported by an EEPROM containing a monitor program, a 4MHz crystal oscillator, a serial port connection, an Altera CPLD, bus drivers and various supporting resistors and capacitors. Most devices are through-hole mounted. EEL4712C uses the BT-U board produced by Binary Technologies which is based on an Altera Cyclone FPGA (Figure 2). The board also features VGA & PS2 interfaces, switch banks and LED displays. The board comes pre-assembled. Figure 1: Current 4744 board Figure 2: Current 4712 board The GµP would be a bridge between these two designs, implementing a 68xx compatible CPU core in an Altera Cyclone II FPGA.
    [Show full text]
  • Traditional Cisc Design
    Supplement to Logic and Computer Design Fundamentals 4th Edition1 TRADITIONAL CISC DESIGN elected topics not covered in the fourth edition of Logic and Computer Design Fundamentals are provided here for optional coverage and for self-study. This S material fits well with the desired coverage in some programs but not may not fit within others due to time constraints or local preferences. This supplement consists of the CISC processor material from Chapter 10 of the 2nd edition of Logic and Computer Design Fundamentals. The use of this material is not recommended except as an example of microprogramming applied to a non-pipelined system. Note that the processor described is incomplete, has some architectural inconsistencies, and does not represent current processor microarchitectures. Instruction Set Architecture Figure 1 shows the CISC register set accessible to the programmer. All registers have 16 bits. The register file has eight registers, R0 through R7. R0 is a special reg- ister that always supplies the value zero when it is used as a source and discards the result when it is used as a destination. In addition to the register file, there is a program counter PC and stack pointer SP. The presence of a stack pointer indicates that a memory stack is a part of the architecture. The final register is the processor status register PSR, which contains information only in its rightmost five bits; the remainder of the register is assumed to contain zero. The PSR contains the four stored status bit values Z, N, C, and V in positions 3 through 0, respectively.
    [Show full text]
  • Quesenberry JD T 2011.Pdf (1.137Mb)
    Communication Synthesis for MIMO Decoder Algorithms Joshua D. Quesenberry Thesis submitted to the Faculty of the Virginia Polytechnic Institute and State University in partial fulfillment of the requirements for the degree of Master of Science in Computer Engineering Cameron D. Patterson, Chair Michael S. Hsiao Thomas L. Martin August 9, 2011 Bradley Department of Electrical and Computer Engineering Blacksburg, Virginia Keywords: FPGA, Xilinx, Communication Synthesis, MIMO Copyright 2011, Joshua D. Quesenberry Communication Synthesis for MIMO Decoder Algorithms Joshua D. Quesenberry (ABSTRACT) The design in this work provides an easy and cost-efficient way of performing an FPGA implementation of a specific algorithm through use of a custom hardware design language and communication synthesis. The framework is designed to optimize performance with matrix-type mathematical operations. The largest matrices used in this process are 4 4 × matrices. The primary example modeled in this work is MIMO decoding. Making this possible are 16 functional unit containers within the framework, with generalized interfaces, which can hold custom user hardware and IP cores. This framework, which is controlled by a microsequencer, is centered on a matrix-based memory structure comprised of 64 individual dual-ported memory blocks. The microse- quencer uses an instruction word that can control every element of the architecture during a single clock cycle. Routing to and from the memory structure uses an optimized form of a crossbar switch with predefined routing paths supporting any combination of input/output pairs needed by the algorithm. A goal at the start of the design was to achieve a clock speed of over 100 MHz; a clock speed of 183 MHz has been achieved.
    [Show full text]
  • Central Processing Unit and Microprocessor Video
    Components Main articles: Central processing unit and Microprocessor Video demonstrating the standard components of a "slimline" computer A general purpose computer has four main components: the arithmetic logic unit (ALU), the control unit, the memory, and the input and output devices (collectively termed I/O). These parts are interconnected by buses, often made of groups of wires. Inside each of these parts are thousands to trillions of small electrical circuits which can be turned off or on by means of an electronic switch. Each circuit represents a bit (binary digit) of information so that when the circuit is on it represents a “1”, and when off it represents a “0” (in positive logic representation). The circuits are arranged in logic gates so that one or more of the circuits may control the state of one or more of the other circuits. The control unit, ALU, registers, and basic I/O (and often other hardware closely linked with these) are collectively known as a central processing unit (CPU). Early CPUs were composed of many separate components but since the mid-1970s CPUs have typically been constructed on a single integrated circuit called a microprocessor. Control unit Main articles: CPU design and Control unit Diagram showing how a particularMIPS architecture instruction would be decoded by the control system The control unit (often called a control system or central controller) manages the computer's various components; it reads and interprets (decodes) the program instructions, transforming them into a series of control signals which activate other parts of the computer.[50]Control systems in advanced computers may change the order of some instructions so as to improve performance.
    [Show full text]
  • Xcell Journal Issue 42, Spring 2002
    ISSUE 42, SPRING 2002 XCELL JOURNAL XILINX, INC. Issue 42 Spring 2002 XcellXcelljournaljournal THE AUTHORITATIVE JOURNAL FOR PROGRAMMABLE LOGIC USERS PROGRAMMABLE WORLD 2002 Learn all about thethe newnew Virtex-II Pro FPGAs TECHNOLOGY The PowerPC architecture: a programmer’s view Rocket I/O transceivers offer 3.125 Gbps capability SOFTWARE ISE 4.2i expands design productivity once again New tools for embedded processor software design NEWS Virtex-II receives Product of the Year award CoverCover StoryStory AA revolutionaryrevolutionary breakthroughbreakthrough inin processingprocessing R andand systemsystem design,design, fromfrom XilinxXilinx andand IBMIBM LETTER FROM THE EDITOR Who Are You? What Did You Say? any of you have taken the time to give us your very valuable feedback about how we can con- M tinue to improve this Xcell Journal. After all, it is your journal, and its only purpose is to make your job easier and more productive, while also providing insights into the trends and technologies that are shaping the future of logic design. The overwhelming majority of responses indicated that Xcell is a huge success, often read cover to cover, and then saved for later reference. Thank you! Here’s some of what we learned from our reader survey: • Most of you are design/development engineers (74%), doing digital logic design using FPGAs (88%) and CPLDs (76%), for industrial (38%), networking (35%), data processing (25%), and military (24%) applications, in companies of less than 500 employees (60%). • Your three most popular categories are technical (“how to”) articles, new product announcements, EDITOR IN CHIEF Carlis Collins [email protected] and the product reference guides.
    [Show full text]
  • Graphical Microcode Simulator with a Reconfigurable Datapath
    Rochester Institute of Technology RIT Scholar Works Theses 12-11-2006 Graphical microcode simulator with a reconfigurable datapath Brian VanBuren Follow this and additional works at: https://scholarworks.rit.edu/theses Recommended Citation VanBuren, Brian, "Graphical microcode simulator with a reconfigurable datapath" (2006). Thesis. Rochester Institute of Technology. Accessed from This Thesis is brought to you for free and open access by RIT Scholar Works. It has been accepted for inclusion in Theses by an authorized administrator of RIT Scholar Works. For more information, please contact [email protected]. Graphical Microcode Simulator with a Reconfigurable Datapath by Brian G VanBuren A Thesis Submitted in Partial Fulfillment of the Requirements for the Degree of Master of Science in Computer Engineering Supervised by Associate Professor Dr. Muhammad Shaaban Department of Computer Engineering Kate Gleason College of Engineering Rochester Institute of Technology Rochester, New York August 2006 Approved By: Dr. Muhammad Shaaban Associate Professor Primary Adviser Dr. Roy Czernikowski Professor, Department of Computer Engineering Dr. Roy Melton Visiting Assistant Professor, Department of Computer Engineering Thesis Release Permission Form Rochester Institute of Technology Kate Gleason College of Engineering Title: Graphical Microcode Simulator with a Reconfigurable Datapath I, Brian G VanBuren, hereby grant permission to the Wallace Memorial Library repor- duce my thesis in whole or part. Brian G VanBuren Date Dedication To my son. iii Acknowledgments I would like to thank Dr. Shaaban for all his input and desire to have an update microcode simulator. I would like to thank Dr. Czernikowski for his support and methodical approach to everything. I would like to thank Dr.
    [Show full text]
  • Introduction to Bit Slices and Microprogramming
    - 220 - INTRODUCTION TO BIT SLICES AND MICROPROGRAMMING Andries van Dam Brown University, Providence, Rhode-Island, USA Abstract Bit-slice logic blocks are fourth-generation LSI com­ ponents which are natural extensions of traditional multi­ plexers, registers, decoders, counters, ALUs, etc. Their functionality is controlled by microprogramming, typically to implement CPUs and peripheral controllers where both speed and easy programmability are required for flexibility, ease of implementation and debugging, etc. Processors built from bit-slice logic give the designer an alternative for approaching the programmability of traditional fixed- instruction-set microprocessors with a speed closer to that of hardwired "random" logic. Introduction The purpose of this set of annotated lecture tran­ sparencies is to give a brief introduction to the use of bit-slice logic in microprogrammed engines (CPUs) and con­ trollers. A basic understanding of the goals of the tech­ nology and its potential will allow one to read the litera­ ture with some idea of what the important issues and design parameters might be. Bit slices will be placed in the spec­ trum of hardware/software building blocks, and their basic types and uses will be briefly illustrated. Since slices are controlled typically by microprograms, an elementary review of that subject will also be given, especially to stress the crucial point that working with bit slices requires a proper (and integrated) understanding of hardware, firmware and software, as well as the use of proper tools and methodologies for each of these levels of design. The reader is referred to Glenford J. Myers' excellent brand-new book Digital SX£i&m U&&Î3R X±£h LSI £JL£-SJJL££ Logic (Wiley-Interscience, 1980) for a full treatment, to Prof.
    [Show full text]