<<

Photomask 2011 2011.3.9

Poster Presentations

Session Program Date Session Title Presentation Title Presenting Authour Time No. Improvement of polymer type EB resist sensitivity and line edge 4a-1 Otani, Makoto (Yamaguchi University, Japan) roughness A new method to optimize CD uniformity for Photomask in the HP 3x 4a-2 Jang, Dong Sik (Hynix Semiconductor Inc., Korea) node 4a-3 Dry etching technologies for the advanced binary film Iino, Toshinori (Shibaura Mechatronics Corporation, Japan) Materials & 4a-4 Synergy of physical and chemical cleaning Nagashima, Yuji (Shibaura Mechatronics Corporation, Japan) Process 22nm node ArF lithography performance improvement by utilizing mask 4a-5 Watanabe, Hiroshi (Dai Nippon Printing Co., Ltd., Japan) 3D topography : controlled sidewall angle Improvement of the Ability to React in Various Defects via Advanced 4a-6 Chun, Jun (Hynix Semiconductor Inc., Korea) Analysis System in Photomask Fabrication 4a-7 Optimizing Reticle SMIF Pods through Purification Ku, Chenwei (Gudeng Precision Industrial, Taiwan) The Trouble starts with using Electrons [LF]- Putting Charging Effect 4b-1 Wandel, Timo (AMTC Dresden, Germany) 16:10- Correction Models to the Test 13 18:40 Writing 4b-2 The Novel CD MTT Prediction System in Photomask Fabrication Chun, Jun (Hynix Semiconductor Inc., Korea) Technologie Advancing the Charging Effect Correction with Time-Dependent s 4b-3 Nakayamada, Noriaki (NuFlare Technology Inc., Japan) Discharging Model 4b-4 EB resolution capability with CP-exposures Kurokawa, Masaki ( Corp., Japan)

4c-1 Durability of Ru capped EUV blanks cleaned with CO2 cryogenic aerosol Bowers, Charles (Eco-Snow Systems, U.S.A) Temperature decreases of reticle and outgassing during pump-down Yonekawa, Masami (Semiconductor Leading Edge 4c-2 process using Dual Pod Technologies, Japan) EUV Masks 4c-3 Clean and Repair of EUV Photomasks Robinson, Tod (RAVE LLC, U.S.A) CD-Metrology of EUV Masks in the Presence of Charging: Measurement 4c-4 Babin, Sergey (aBeam Technologies, Inc., USA) and Simulation Throughput improvement of electron beam inspection (EBI) System 4c-5 Shimomura, Takeya (DNP Corporation, USA) for EUV mask Photomask Japan 2011 2011.3.9

Session Program Date Session Title Presentation Title Presenting Authour Time No. EUV ML-defects: printability study and optimization of inspection 4d-1 Van den Heuvel, Dieter (IMEC, Belgium) procedure Performance of EUVL mask inspection system using 257nm and 193nm Inspection 4d-2 Na, Jihoon (Samsung Electronics Co., Ltd, Korea) wavelengths for 30nm node and beyond 4d-3 Practical mask verification function for the inspection system Tsuchiya, Hideo (NuFlare Technology Inc., Japan)

4e-1 Aerial image based CD metrology : Evaluation and its applications Yoon, Young-Keun (Samsung Electronics Co., Ltd, Korea) Availability of WLCD32 for evaluation of reticle using Source Mask co- 4e-2 Nishiguchi, Masaharu (Dai Nippon Printing Co., Ltd., Japan) Optimization Metrology 4e-3 The Influence and Improvement of Through Pellicle Image Placement Lo, Wei Cyuan (United Microelectronics Corporation, Taiwan) A new CDSEM metrology method for the side wall measurement using 4e-4 Matsumoto, Jun (Advantest Corporation, Japan) fourdetectors. Improvement of edge placement accuracy for well layer of SRAM by 4f-1 Gyoda, Yuichi (Canon Inc., Japan) freeform illumination OPC & Mask-related 4f-2 Evaluation of the Accuracy of Complex Illuminator Designs Hibbs, Michael (IBM, U.S.A) Lithography MRC optimization for EUV high NA imaging for the 32 nm HP technology 16:10- 4f-3 Tseng, Shih-En (ASML Taiwan Ltd., Taiwan) 13 node 18:40 Satoh, Yoshitatsu (New Energy and Industrial Technology 4g-1 Specifications of Mask Data Format "CP.D2I" and "MDR" Development Organization, Japan) Mask Data Processing Technique Using GPU for Reducing Computer 4g-2 Tsujimura, Ryo ( Semiconductor Limited, Japan) Cost DFM,EDA & MDP 4g-3 Study of Shot Reduction Techniques and its Influences Ohara, Shuichiro (Nippon Control System Corporation, U.S.A) 4g-4 QoR Analysis of Fractured Data Solutions using Distributed Processing Guntupalli, Nageswara (SoftJin Technologies Pvt. Ltd., India)

4g-5 Study of off-line EUV Mask Correction Tsunoda, Dai (Nippon Control System Corporation, Japan) Cold-development techniques and a tool for ZEP520A ultimate NIL 4h-1 resolution to fabricate 10nm groove nano-imprint mold for HDD/DTR- Iyama, Hiromasa (, Japan) Media 4i-1 Feasibility Study of Defect Repair Performance for EUV Mask Isogawa, Takeshi ( Printing Co., Ltd., Japan) Mask Repair Productivity of Femtosecond DUV Laser Photomask Repair in a Real 4i-2 Robinson, Tod (RAVE LLC, U.S.A) World Mask House Environment

Mask 4j-1 Investigation of EFM, ESD and its Prevention in Photomask Kim, Jong-Min (PKL, Photronics, Korea) Degradation 4j-2 A study on irregular growing defect mechanism and removal process Lee, HyeMi (Hynix Semiconductor Inc., Korea)