<<

University of Iowa Microfabrication Facility (UIMF) Optical Science and Technology Center UIMF Training – Module 2 Plasma and Thin-film technologies Aju Jugessur Ph.D. Outline

— Plasma Etching Technologies — Thin-film deposition technologies — Applications

2 Outline

• Introduction • Principles of dry-etching • Etch plasmas: DC and RF • Physical and Chemical etching • Etching reactors

3 Dry Etching

A family of methods by which a solid surface is etched in the gas phase by ion bombardment, chemically by a chemical reaction with reactive species at the surface or could be a combination of physical and chemical mechanisms.

The need for better control of the CD dimension triggered a major research and development in all types of dry-etching processes based on plasmas.

Dry-etch – physical: ions, momentum transfer, anisotropic, More widely used for small features

Combination of physical and chemical – Combines both directionality and selectivity

Degree of anisotropy controlled by plasma conditions

4 Relationship between the various dry etching techniques

Dry-etching

Glow-discharge methods Ion beam methods – – diode set-up triode set-ups

Physical etching only Plasma etching Reactive ion Sputter etching Ion milling Ion beam Reactive ion etching assisted chem. beam etching sputtering etch

0.2-2 Torr 0.01- 0.2 Torr 10-3 to 10-4 Torr Low energy High energy High energy No reactive Reactive Some reactive bombardment bombardment bombardment neutrals neutrals added neutrals

5 Some common Dry etching systems

CAIBE RIBE IBE MIE MERIE RIE Barrel PE etching

Pressure ~ 10-4 ~10-4 ~10-4 10-3 10-3 – 10-3-10-1 10-1-100 10-1-10 1 (Torr) -10-2 10-2

Etch Chem./ Chem. Phys. Phys. Chem/ Chem/ chem Chem Mechanism phys. /phys. phys phys

Selecvity good good poor poor good good excellent good

Profile Anis. Anis. Anis. Anis. Anis. Iso. Or Iso. Iso. Or Or iso. anis. anis.

CAIBE: chemically assisted ion beam etching; MERIE: magnetically ehnanced reactive ion etching; PE: plasma etching; RIBE: reactive ion beam etching; RIE: reactive ion etching; MIE: magnetically enhanced ion etching 6 Directionality of etching process

volatile Volatile product volatile neutral ion ion neutral product neutral product + + ion +

inhibitor

Ion-enhanced Sputtering Chemical Ion-enhanced energetic inhibitor

Physical and chemical Side-walls are protected Mask is etched most Chemical etching at etching at high voltage from undercutting by rapidly near mask corner. low voltage and high and low pressure a surface species, Slope becomes less steep, pressure leads to isotropic Directional anisotropy e.g. a not all ions reaching bottom etch and lateral undercuts parallel to sides

7 Etch plasmas: DC and RF Plasmas A plasma may be considered as a region of a gas discharge which contains essentially equal quantities of positive and negative charge associated with various species of charge carrier.

DC plasmas The simplest plasma reactor consists of opposed parallel plates electrodes in a chamber maintained at low pressure, 0.001 to 1 Torr.

Applying a 1.5 kV between anode and V cathode separated by 15 cm results Vp cathode in a 100 V/cm field. 0 Anode ions Electrical breakdown of Ar gas occur electrons when accelerated electrons in field transfer an amount of kinetic energy to overcome the ionizing potential (15.7 ev). V e Such energetic collisions generate a second free e- and +ve ion, creating an avalanche of ions and electrons resulting in a gas Plasma sheath breakdown emitting a characteristic glow. 8 Kinetic theory – random velocity distribution of flux of ions and electrons

n < v > j = i,e i,e i,e 4

Where ji,e : random velocity distribution of flux of ions and electrons ni,e :densities of ions and electrons vi,e : average velocities

Ions are heavier than electrons (~ 4000 to 100,000 heavier); average velocity of electrons is larger implying larger electron flux

Bombarding energy of ion is proportional to the potential difference between plasma potential and surface being struck by ions.

9 RF plasmas

In an RF-generated plasma, a radio frequency voltage is applied between the two electrodes causing the free electrons to oscillate and collide with gas molecules leading to a sustainable plasma. RF-excited plasmas can be sustained without relying on the emission of secondary electrons from the target.

Lower pressure than DC ~ 40 mTorr – more anisotropy RF allows etching of dielectrics as well as metals.

Matching Ground shield network

cathode 13.56 mHz RF electrode with target RF generator, 1.2 kW anode

Substrate holder for deposition Vacuum chamber wall

10 11 12 An RF plasma, formed at low gas pressures, consists of positive cations, negative ions, radicals, vibrationally excited polyatomic species and photons (create the plasma glow).

The RF frequency chosen is 13.56 MHz because it does not interfere with radio-transmitted signals, RF power supply is between 1-2 kW.

When initiating a plasma arc, electrons charge up the capacitively coupled electrode; since no charge can be transferred over the capacitor the electrode surface acquires a –ve DC bias

Energy of charge particles bombarding the surface in a glow discharge is determined by 3 different potentials established in the reaction chamber

Plasma potential, Vp

The self-bias Vdc

The bias on the capacitively coupled electrode (VRF)pp

Max. energy of +ve ions striking a substrate placed on cathode is proportional to

E = e(V +V ) = eV max DC p T 13 Types of physical Etching • Physical sputtering • Reactive Ion etching • Plasma etching

Physical Sputtering (& Ion Beam Milling) Higher < 100 mTorr • physical momentum transfer Excitation • directional etch – anisotropic possible energy • poor selectivity • radiation damage possible

Reactive Ion Etching • physical (ion) and chemical 100 mTorr • directional range • more selective than sputtering

Plasma etching • chemical, thus faster by 10-10000x • isotropic • more selective Higher • less prone to radiation damage pressure

14 Schematic view of the microscopic processes occurring during the dry-etch of a

redisposition 8. Pump out Incoming gas Gas in 1. Electron impact To pump reactions plasma

Etchant 7. Transport into Key Steps: creation bulk of gas 1. Generation of reactive species 2. Transport to 2. Species transported to surface Surface + gas- phase reactions by diffusion Gaseous 3. Adsorption at surface product 4. Chemical reaction – formation of

Gaseous reactants volatile products sheath + + + + 3 4. Etchant/film 5. desorption 5. Product desorption Adsorbed reactants reaction 6. Ion bombardment

Film on Desorption: substance release from wafer or through a surface wafer Adsorption:adhesion of ions atoms to surface

15 16 17 Produces electric field lines from a helical resonator combined with an electrostatic shield to produce electric field lines that are circumferential in response to the RF field 18 19 20 Etch Parameters within control

• Gas composition: types and ratios • Flows: -affecting generation of active species -affect consumption of active species -affect removal rate of reactive by-products -affect residence time • Pressure • Power/Bias • • Magnetic field

21 Ex: Dry etching - Reactive Ion Etching

III-V III-V etch PECVD etch silica etch Mask 200 nm 200 nm 200 nm Gas manifold PMMA SiO2 SiO2

Gases CHF3 SiCl4:O2 SiCl4:O2 Process Corrected Standard 15:0 15:1 chamber flow process vent Plasma and (sccm) wafer monitoring RF forward 250 250 Wafer heating power (W) and cooling Pressure Open Open Pump N 2 (mTorr) valve valve exhaust (5 mT) (5mT) Turbo Etch time 12 17 20 pump (mins) Rf generator Plate silicon silicon carrier Epitaxial 850 nm 1300 nm structure wafer wafer 22 Chamber contamination → micro-masking - grass formation

grass

130 nm Al0.6Ga0.4As grass500 nm GaAs

1800 nm Al0.6Ga0.4As

Dry-etch optimization Side wall passivation

O2

SiCl :O 4 2 500 nm 15:1

SiCl 4 → SiCl 4−x + Cl x SiCl4 +O2 → SiO2 + mCl4 x = 1,2 23 Parameter control in plasma processes

The challenge: to implement a useful and reproducible Excitation frequency Gas flow rate etch-process involves the control of a large number of Excitation power parameters which affect the n , f(e), Geometrical factors e process N, (surface) τ Use of factorial Nature of discharge gas Pumping speed experimental design techniques very useful

Consequences Geometrical factors Nature of surface of plasma- (surface) surface interaction

Temperature of surface Potential of surface

24 25 Etching profiles in physical etching

Ideal result in dry or wet etching is high-fidelity transfer of mask pattern onto substrate, with no distortion of CDs.

Ion etching or ion milling do not lead to undercutting of the mask but the walls of an etched cut are not necessarily vertical.

Faceting due to angle- Trenching dependent sputter rate

Facets in mask

Faceting – sputtering creates angles features. An Trenching – ditching due to glancing incidence of ions angled facet (~60°) in the resist propagates as the mask is eroded away. Sloped walls may be created in the underlying substrate 26 Redeposition Backscattering Angular distribution of incident ions

Redeposited material Back scattering Angular distribution of ions

Redeposition of material sputtered Backscattering is a form of Off-vertical ion trajectories can from bottom of a trench. By tilting redeposition, associated with also be caused by sheath and rotating the substrate during involatile etch products. A fraction scattering and field non- etching, etch profiles can be of sputtered and involatile species uniformities. improved. from the surface is backscattered onto substrate after several collisions with gas species.

27 28 Etch reactor configurations

• Barrel etcher

• Parallel-electrode (planar) reactors

• Hexode etchers (cylindrical batch etch reactors)

• Single wafer etchers

29 Loading effects – Uniformity and Nonuniformity

Dry-etch – number of radicals in the plasma is in same range as the number of atoms to be removed.

Wet-etch – number of etchant molecules might is 105 times higher than the number of atoms to be removed.

Loading effect occurs as the etchant is being depleted by reaction with the substrate material. As a result, the etch rate is inversely proportional to the area of material exposed to the plasma.

Limitation to dry-etch – as the etch rate becomes dependent on wafer loading, etch uniformity is compromised.

In this scenario, the gas flow rate, F is the most important to control.

Utilization factor, U, defined as ratio of rate of formation of etch product to the rate of etch gas flow.

30 U ≥ 0.1 is recommended for uniform etching

U is related to the residence time, τ in secs of the feed gas in dry etch reactor.

The residence time is given by:

τ = PV/F

V= reactor volume in liters P= steady state pressure in Torr F= flow rate of the feed gas in Torr-liters

The residence time represents the average length of time a molecule of gas spends in the chamber irrespective of any chemical reaction that might occur.

Loading effect is a function of the number of wafers in the chamber and also depends on the features being etched (local loading or microloading)

31 32 Wet and dry etching comparison Parameter Dry etching Wet Etching Direconality Highly direconal Only direconal with with most materials single crystal (aspect (aspect rao of >2 5) rao of >100) Masking film Not as crical Very crical adherence Selecvity Poor Very good Radiaon damage Can be severe none CD control Very good (< 0.1µm) Poor Submicron etching applicable Not applicable Typical etch rate slow (0.1 µm/ Fast (1µm/min, anis)) min)

Theory/Modeling Very complex, not Better understood well understood Operating many few parameters Etch rate control Good due to slow difficult

etch 33

Outline

• Introduction

• Silicon growth

• Physical Vapor deposition

• Chemical Vapor deposition

• Atomic Layer Deposition

35 Introduction Deposion processes are addive Adding involves: surface modificaon such as ion implantaon, annealing or deposion. Solid can be deposited from a liquid, a plasma, a gas or the solid state.

Growth of a Si single crystal: no addion is involved; only a phase change takes place.

Growth of a film: as in oxidaon of Si in an atmosphere is due to the consumpon of the substrate to form the film.

Deposion methods, from the gas phase, two major categories can be disnguished: physical vapor deposion (PVD) and chemical vapor deposion (CVD).

PVD techniques : evaporaon, spuering, molecular beam (MBE), laser ablaon deposion, ion-plang, and cluster deposion.

CVD techniques: Plasma enhanced CVD (PECVD), atmospheric pressure (APCVD), low pressure (LPCVD), very low pressure (VLPCVD), metallorganic chemical vapor deposion (MOCVD), Atomic Layer Deposion (ALD). 36 Physical vapor deposition Chemical vapor deposition (PVD) (CVD) — Thermal evaporation — Epitaxy — Sputtering — Electrochemical — Laser sputtering Deposition — Ion Plating — ALD — Cluster-Beam — MBE

37 Key requirements

• Quality of film deposition – composition, contamination levels, defect density mechanical/electrical properties, adhesion

• Uniform thickness across wafer – non-planar topography, step coverage, conformal coverage, void formation

• Important parameter : Aspect ratio = height of feature/width of feature = h/w

Feature could be metal, space such as gap between two metal lines

A deep narrow contact hole would have a large aspect ratio metal

38 Silicon growth

Silicon crystal growth comprises the primary process toward the construction of a Si IC or a micromachined device.

Czochralski crystal pulling method – a Si crystal seed is grown into a Si single crystal by pulling it slowly upwards, at about 2 to 5 cm/hr from a molten and ultra-pure silicon melt.

CZ growth - Polish inventor Jan Czochralski in 1916.

It involves the crystalline solidification of atoms from a liquid phase at an interface.

39 The CZ (Czochralski) crystal growth

Subsystems: • furnace: crucible, susceptor, rotation mechanism, heater and power supply

• crystal pulling mechanism: seed cable, rotation mechanism, seed-chuck crystal handling device

• ambient control: chamber gas source, flow controller, vacuum/exhaust system

• control system: computer based controller and

40 Process in CZ growth:

• Material used is electronic grade polysilicon which has been refined from quartzite (SiO2) until it is 99.999999999 % pure • Polysilicon is loaded into a fused silica crucible, contained in an evacuated chamber • Chamber backfilled with an inert gas and crucible is heated to 1500 °C • A small chemically etched crystal (about 0.5 cm diameter and 10 cm long) is lowered into contact with melt • Crystal must be carefully oriented since it will serve as the template for growth of the much larger crystal, called the “boule” • Modern boules of silicon can reach diameter of over 300 mm and are 1 to 2 m long Balancing energy flow between the liquid and solid

⎛ dT ⎞ ⎛ dT ⎞ dm ⎜− kl A l ⎟ − ⎜− ks A s⎟ = L ⎝ dx ⎠ ⎝ dx ⎠ dt

Where, kl, ks are the thermal conductivities of liquid and solid at melting point. A is the x-section area of boule, T is temperature, L is latent heat of fusion (340cal/gm for silicon)

41 Oxidation of Silicon

Silicon dioxide growth involves the heating of a Si wafer in a stream of steam at 1 atm or wet or dry oxygen/nitrogen mixtures at elevated temp. (between 600 and 1250 º C).

Si + 2H2O SiO2 + H2 (wet)

(dry) Si + O2 SiO2

The ratio of silicon thickness converted, Xs , to resulting oxide thickness Xox is proportional to their respective densities:

Xs = 0.46 Xox e.g. when 10000 Å of oxide has grown, 4600 Å has been consumed

SiO2 formed by of silicon is used as a common insulating layer, as a mask, and as a sacrificial material.

42 All gas phase oxidation processes involve gas phase transport of oxidant to the surface (F1), diffusion through the existing oxide (F2). Balancing the fluxes F1= F2= F3 yields the concentration of oxidant at the interface oxide/silicon, Ci gas oxide silicon

Cgas C 0x

F F1 2

Ci (Xox, ks, D, PG) Ci F Xox : oxide thickness X 3 ks :Si oxidation rate constant (function of temperature, oxidant, crystal orientation and ) D: oxidant diffusivity (function of temperature and oxidant)

PG :partial pressure of oxidant in gas phase

Oxide growth rate is given by dX C k OX = i s dt N N: number of molecules of oxidant per unit volume of oxide (2.2x1022 cm-3) 43 Solution of this differential equation in the Deal-Grove model for oxidation yields: works well for oxide larger than 30 nm 1/ 2 A ⎧ (t +τ ) ⎫ X (t) ⎪⎡1 ⎤ 1⎪ OX = ⎨⎢ + 2 ⎥ − ⎬ 2 ⎩⎪⎣ A / 4B⎦ ⎭⎪

X 2 AX / B Where A = 2D(1/ks + 1/h), B = 2DC*/N, τ = ( i + i ) , h = gas phase mass transport coefficient, C* = equilibrium oxidant concentration in the oxide = HPG , H being Henry’s law constant, Xi = initial oxide thickness

For thin oxides, short oxidation times (t+ τ ) <

For thick oxides (long oxidation times with t >> τ and t>>A2/4B, the process is diffusion-limited: 2 ⎛ C *⎞ X OX = B(t +τ ) = 2D⎜ ⎟(t +τ ) ⎝ N ⎠ 44 Quality of silicon dioxide dependent on its growth method. Dry oxidation at high temp. (900 -1150 ºC) in pure oxygen -better quality oxide than steam oxidation. Oxide is stoichiometric and has a high density and is pinhole free.

Wet oxidation in steam is much faster and produces lower quality of oxide since water causes a loosening effect on the silica, making it more vulnerable to diffusion.

Both types of oxidation are carried out in quartz tubes. Oxide thickness of a few tenths of a micron up to 2 microns can be deposited.

furnace O2 N2 O2 N2 furnace

DI water Heang mantle

Dry oxidaon Wet oxidaon

45 Oxidation rates dependence on orientation

The thermal oxidation rates is influenced by the orientation of the Si substrate. This effect involves the linear oxidation rate constant used in the Deal-Grove model in the regime where the reaction is surface reaction rate limited – constant given as B/A (µm/hr)

Ratio of this constant for a (111) Si plane to that for a (100) plane is given by: B ⎛ − 2.0eV ⎞ (111) C1(111)exp⎜ ⎟ kT A = ⎝ ⎠ =1.7 B ⎛ − 2.0eV ⎞ (100) C1(100)exp⎜ ⎟ A ⎝ kT ⎠

A (100) surface oxidizes about 1.7 times more slowly than a (111) surface.

Lower oxidation rate of (100) surfaces might be due to the fewer silicon bonds with which oxygen can react. The linear oxidation rate for Si follows the sequence (110)>(111)>(311)>(511)>(100) 46 Physical vapor deposition (PVD) — The physical vapor deposition technique is based on the formation of vapor of the material to be deposited as a thin film. The material in solid form is either heated until evaporation (thermal evaporation) or sputtered by ions (sputtering). In the last case, ions are generated by a plasma discharge usually within an inert gas (argon). It is also possible to bombard the sample with an ion beam from an external ion source. This allows to vary the energy and intensity of ions reaching the target surface.

47 Physical Vapor Deposition (PVD) Evaporation and sputtering are different kinds of thin films deposition using different techniques. Other PVD techniques are molecular beam epitaxy, laser ablation deposition. PVD technique is a line-of-site impingement type deposition.

Thermal Evaporation

Thermal evaporation is based on the boiling off (or sublimating) of a heated material onto a substrate in a vacuum. Φe F = N exp− ( ) 0 kT

The number of molecules leaving a unit area of evaporant per sec. is given by the above, flux F

N0 is a slowly varying function of T, Φe is activation energy required to evaporate one molecule of material, The activation energy of evaporation is related to the enthalpy of formation of the evaporant, H, H= Φe x e x N (Avogadro’s number) J/mol

48 Comparison of Heat sources for evaporation

Heat Sources Advantages Disadvantages Resistance No radiation Contamination e-beam Low contamination Radiation RF No radiation Contamination Laser No radiation, low Expensive contamination

Need for good vacuum during evaporation

49 Thin-film Evaporation Set-up B field perpendicular to plane of diagram bell jar under vacuum substrate heater box evaporant holder radiant heater thickness solid molten monitor foil substrate top heat shield

water-cooled hearth tantalum heater thermocouple current lead and support anode

base plate thermionic filament

Typical Evaporation set-up Magnetized deflection electron beam evaporation system

50 • good vacuum required > 10-5 Torr required for low contamination • in lab. settings, metal is evaporated by passing a high current through it, resistive heating • in industrial settings, evaporation is carried out by e-beam or RF, where a high intensity e-beam (3 to 20 keV) is focused on the target material placed in a water-cooled hearth • the e-beam is magnetically directed • the cool hearth is to reduce chemical reactions which could lead to the generation of sources of contamination • e-beam evaporation might generate some X-ray damage and ion damage to substrate • if a high vacuum is established, most atoms/molecules will deposit on the substrate without suffering intervening collisions with other gas molecules Fraction of particles scattered by collisions with atoms of residual gas is proportional to

⎛ − d ⎞ 1− exp⎜ ⎟ ⎝ λ ⎠ 51 Where d: distance between source and substrate λ mean free path of particles Read p. 393-405, Madou Typically, at 10-5 Torr, mean free path is ~ 5 m 10-4 Torr, mean free path is ~ 0.5 m

Source-to-wafer distance << mean free path, typically 25 to 70 cm

Geometries of flux Flux striking surface

Ak θk Revap F p = Wafer holder k Ωr 2 l

p A k h r Revap = evaporation rate (atoms or grams/sec)

r = distance from source to spot on surface θi=θk p A k = projected area of Ak facing source Point source Ω = solid angle spread of evap. Material, 2π for source emitted upward, 4π for a 3-D source 52 Sputtering Sputtering is preferred over evaporation: • wider choice of materials to work with – both metallic and non-metallic • better step coverage • better adhesion to substrate.

Sputtering is used to apply films: compact discs, large area active-matrix liquid crystal displays and magneto-optic disks. Also, bearing gears, saw blades, can be coated with a number of hard, wear-resistant coatings such as TiN, TiC, TiAlN. Process: • the target (material to be deposited), kept at high negative potential, bombarded with positive argon ions created in a plasma • target material is sputtered away mainly as neutral atoms by momentum transfer, ejected surface atoms are deposited onto the substrate placed at the anode • amount of material, W, sputtered from the cathode is inversely proportional to the gas pressure, PT and the anode-cathode distance, d:

kV W = i 53 PT d V: voltage i: discharge current K: proportionality constant

Schematic of a two electrode set-up for RF sputtering deposition

Matching network

Ground shield

cathode 13.56 mHz RF electrode with target RF generator, 1.2 kW anode

Substrate holder for deposition Vacuum chamber wall

54 Typical sputter yield characteristic

• Sputter threshold in the range of 10 to 130 eV, independent of the bombarding ion species • Ion energies in the range 0.5 to 3 kV used for as nuclear collisions predominant • Sputter yields typically range from 0.1 to 20 atoms per ion, and yield of most metals about 1 • only 1 percent of remaining energy goes into sputtering, remainder is dissipated by secondary electrons that bombard and heat the substrate 55 Evaporation Sputtering Rate Thousand atomic layers per second One atomic layer per second (e.g. 0.5 µm/min for Al) Choice of materials Limited Almost unlimited Purity Better (no gas inclusions, very high Possibility of incorporating vacuum) impurities (low-medium vacuum range) Substrate heating Very low Unless magnetron is used substrate heating can be substantial Surface damage Very low, with e-beam x-ray Ionic bombardment damage damage is possible In-situ cleaning Not an option Easily done with a sputter etch Alloy compositions, Little or no control Alloy composition can be tightly stochiometry controlled X-ray damage Only with e-beam evaporation Radiation and particle damage is possible Changes in source Easy Expensive material Decomposition of High Low material Scaling-up Difficult Good Uniformity Difficult Easy over large areas Capital Equipment Low cost More expensive Number of Only one deposition per charge Many depositions can be carried depositions out per target Thickness control Not easy to control Several controls possible Adhesion Often poor Excellent Shadowing effect Large Small Film properties (e. g. Difficult to control Control by bias, pressure, grain size and step substrate heat coverage)

56 Molecular Beam Epitaxy Invented in the 1960s, Bell Telephone Laboratories by J. R. Arthur and Alfred Y. Cho

Epitaxial techniques arrange atoms in single-crystal manner upon a crystalline substrate acting as a seed crystal so that the lattice of the newly grown films duplicates that of the substrate.

Deposition film same as substrate – epitaxy or epi

Epi deposition is one of the cornerstone techniques for building micromachines. Si plates with pre-determined thickness and doping level can be engineered.

Growth rate of an epi layer depends on the substrate crystal orientation Si (111) planes have the highest density of atoms on the surface and film grows most easily on these planes.

If deposition is made on a chemically different substrate, the process is called

Heteroepitaxy, e.g (Si on SiO2), Si on sapphire (Al2O3), Gallium phosphide, gallium arsenide 57 effusion oven rotating gate valve main shutter substrate holder

sample exchange load lock

effusion oven shutters to variable speed motor and substrate heater supply

Schematics of molecular-beam epitaxy growth chamber Molecular Beam - • heated single-crystal sample (400-800 º C) is placed in an ultra-high vacuum (10-11 Torr) in the path of stream of atoms from heated cells containing the material of interests. • these atomic streams impinge, in a line-of-sight manner, on the surface-creating layers with a structure controlled by the crystal structure of the surface, thermodynamics of the constituents and sample temperature. 58 59 • MBE is the most sophisticated form of PVD, deposition rate is very slow, 1µm/hr or 1 monolayer per sec.

• Relatively low growth reduce diffusion and autodoping effects

• Precise control of layer thickness and doping profile is possible

• Ultra-high vacuum requirements make MBE operation very expensive – not a production technique Epitaxy epitaxy = growth of film with a crystallographic relationship between film and substrate homoepitaxy (autoepitaxy, isoepitaxy) = film and substrate are same material heteroepitaxy = film and substrate are different materials

60 Chemical Vapor Deposition

Chemical Vapor Deposition (CVD) method - very versatile and works at low atmospheric pressures and temperatures • constituents of a vapor phase, often diluted with an inert carrier gas, react at a hot surface to deposit a solid film • CVD, a diffusive-convective transport process involves intermolecular collisions • Amorphous, polycrystalline, epitaxial, and uniaxially oriented polycrystalline layers can be deposited with high degree of purity, control, and economy • Most widely used deposition technique in the IC manufacture Reaction Mechanisms: i. Mass transport of reactant and diluent gases in bulk gas flow region from reactor inlet to deposition zone ii. gas-phase reactions (homogeneous) leading to film precursors and by-products iii. mass transport of film precursors and reactants to growth surface iv. adsorption of film precursors and reactants on growth surface v. surface reactions (heterogeneous) of adatoms occurring selectively on heated surface vi. surface migration of film formers to growth sites 61 vii. incorporation of film constituents into the growing film viii. desorption of by-products of the surface reactions ix. mass transport of by-products in bulk gas flow region away from deposition zone towards reactor exit

Main gas flow region

i

Gas phase reactions ii viii

Desorption of volatile surface reaction products Transport to surface iii Redesorption of film precursor Surface diffusion

Adsorption of film precursor iv & v Nucleation and island growth vi & vii

Schematic of transport and reaction processes in CVD

62 Schematic of a Chemical Vapor Deposition system

63 Transport in the gas phase takes place through diffusion which is proportional to the diffusivity of the gas, D, and the concentration gradient across the boundary layer separating the bulk flow (source) and substrate (sink).

Flux of depositing material is given by (Fick’s first law) D: diffusion coefficient δC δC/δx: concentration F = −D gradient δx -ve: decrease in concentration with depth The boundary layer thickness δ(x) as a function of distance along substrate, x is calculated from

1/ 2 ⎛ ηx ⎞ δ (x) = ⎜ ⎟ ⎜ ρU ⎟ η is the gas viscosity ⎝ ⎠

ρ is the gas density U is the gas stream velocity parallel to substrate

64 The average boundary layer thickness over the whole plate is given by

L 1/ 2 2 ⎛ η ⎞ δ =1/ L∫δ (x)dx = L⎜ ⎟ 0 3 ⎝ ρUL ⎠

The Reynolds number for the gas is given by L: length of plate receiving UL the deposit Re = ρ η

Re is a dimensionless number used in fluid dynamics representing the ratio of the magnitude of inertial effects to viscous effects in fluid motion.

For low Re values, the gas flow regime is called laminar, while for larger values the regime is turbulent, 2L δ = Film growth rate in the 3 R mass flow controlled e regime depends on Control of δ and its uniformity ΔC F = −D 3 R square root of gas over the substrate is ⇒ 2L e velocity U an important factor 65 PECVD – an RF-induced plasma transfers energy into the reactant gases, allowing the substrate to remain at lower temperatures than LPCVD and APCVD Gas/RF

insulator

baffle plate

susceptor x collimated light x

Lamp/reflector module

66 PECVD advantages

• uses an rf-induced glow discharge to transfer energy into reactant gases

• lower substrate temperature – suitable for substrates with low thermal stability

• produce thin films of unique compositions and properties – excellent adhesion, low pin-hole density, good step coverage, compatible with fine line pattern transfer processes

67 LPCVD - low pressure CVD below 10 Pa allows large numbers of wafers to be coated simultaneously without detrimental effects to film uniformity. large diffusion coefficient at low pressures. Horizontal tube, hot wall reactors are most widely used LPCVD reactors.

heater To vacuum pump

Gas in

68 Overview of CVD process types

Process advantages disadvantages applicaons notes Pressure/ temperature LPCVD Excellent purity, high temperature, Doped, undoped Surface- 100 Pa/550-600 Uniformity, large low deposion rate highT oxides, SiN, reacon ° C Wafer capacity polysilicon controlled

PECVD Lower substrate Chemical (e.g H2), Low-temp 200-600 Pa/ temperatures, fast, parculate insulators over -300-400 ° C good adhesion, contaminaon metals, good step passivaon coverage, low (nitride) pinhole density APCVD simple, high dep. Poor step coverage, Doped, undoped mass- 100-10 kPa/ Rate, low temp. Parcle low- temperature transport 350-400 ° C contaminaon oxides controlled MOCVD Excellent for epi on safety concerns Compound High large surface areas semiconductors volume, for solar cells, large laser surface photocathodes, area LEDs, quantum producon wells LPCVD – low pressure CVD, PECVD – Plasma enhanced CVD,

APCVD – atmospheric pressure CVD, MOCVD – Metallorganic CVD 69 Atomic Layer Deposition (ALD) Application of thin films to various substrates with atomic scale precision – one of the most “new” fabrication techniques. Dr. Tuomo Suntola and coworkers in Finland introduced ALD in 1974 to improve quality of ZnS films used in electroluminescent displays. ALD: produces outstanding high-k dielectrics, metal electrodes – see p. 435 (Madou) • Objects of almost any size and shape can be coated, including AFM tips • Method: similar to CVD, except ALDFIGURE reaction 7.47 breaks up the CVD reaction into Typicaltwo half-reactions, atomic layer deposition keeping (ALD) setup. the (From precursor Atomic layer reagentsdeposition. Cambridge separate NanoTech during Inc., April their 24, reaction2006. http://www. 231 cambridgenanotech.com.at the surface. ) Heaters

Vapor pulse 2 Heaters

High-speed valve Wafer Precursor 2

Temperature- controlled bath

Vapor pulse 1 Vacuum High-speed valve pumping out Precursor 1

Temperature- controlled bath 70 • As opposed to CVD where there is continuous deposition and concurrent flows of precursors, ALD is based on sequential deposition of individual monolayers at the surface in a well-controlled manner

• Growth surface is alternatively exposed to only one of two complementary chemical environments, i.e. individual precursors are supplied to reactor at a time

• Precursors need to be thermally stable and should chemisorb fast and react aggressively with each other

• Between exposure steps, an inert gas purge or a pump-down step is used to remove any residual chemically active source gas or by-products before another precursor is introduced into reactor

• Overall ALD process consists of repetition of a number of growth cycles. Each cycle is made up of a typical sequence: (i) flow of precursor 1, (ii) purge, (iii) flow of precursor 2 and (iv) purge

• Surface governs film growth rather than a precise control of tool specific process parameters such as precursor flow or partial pressure

Suggested reading: p. 434-438, Madou

71 FIGURE 7.48

The atomic layer deposition (ALD) process illustrated for the Al2O3 process. (From Atomic layer deposition. Cambridge NanoTech Inc., April 24, 2006. http://www.cambridgenanotech.com.231) Atomic Layer Deposition (ALD) process for Al2O3

1. Flow of precursor 1: in air, water vapor is adsorbed on most surfaces, forming a hydroxyl group – Si-OH. Trimethyl aluminium (TMA) is pulsed into chamber

TMA reacts with the adsorbed hydroxyl groups, producing methane as a reaction product

Al(CH3)3(g) + :Si-O-H (s) :Si-O-Al(CH3)2(s) + CH4(g)

2. Purge cycle: TMA reacts with adsorbed hydroxyl groups until surface is passivated. TMA does not react with itself, limiting the reaction to one monolayer – lead to perfect uniformity and conformality of the ALD process

Excess TMA and methane reaction product are pumped away

72 FIGURE 7.48 (continued)

3. Flow of precursor 2: after all TMA and methane have been pumped away, water is pulsed into chamber

Water reacts with the dangling methyl groups on the new surface, forming aluminum-oxygen (Al-O) bridges and OH surface groups waiting for new TMA pulse

2H2O(g) + :Si-O-Al(CH3)(s) :Si-O-Al(OH)2(s) + 2CH4(g)

4. New purge cycle: the reaction product, methane is pumped away. Excess water does not react with the OH surface groups, again causing perfect passivation limited to one monolayer

One TMA pulse, one purge and one water pulse form one cycle. Here 3 cycles are shown, 1 Å/cycle, each cycle including pulsing and purging takes 3 s

73 ALD and CVD comparison

ALD CVD

74 Outline

— Plasma Etching Technologies — Thin-film deposition technologies — Applications

75 Impact of micro/nanofabrication on a wide range of research Applications: electronic, photonic, biomedical, sensing, plasmonic, energy

350 nm wide photonic bragg 250 nm wide photonic nanowires for biosensing nanowires for non-linear optics

Photonic crystal superprism spatial filter Optical directional couplers for Wavelength division multiplexing applications

76 Nanodevices

Interdigitated array of Optical waveguides for glucose Silicon-on-insulator device for communication applications broadband coupling into a plasmonic slot for on-chip interconnects

1-D photonic crystal microcavity filter Array of nano-scale H-shaped apertures in thin gold film Silicon nanowires for the manipulation of coupled single for computing applications electron spins for quantum computing applications 77 Bio-medical applications

78 Nano-Bragg gratings – sensors or optical filters

79

Integration: Nano-Bragg gratings with microfluidic

n1.3 Normalized 0.9 n1.33 1560 nm n1.0 Intensity 0.8 . 0.7 1571 nm

0.6 1569 nm 0.5 0.4 0.3

0.2

0.1 Optical microcavity 0.0 1490 1500 1510 1520 1530 1540 1550 1560 1570 1580 1590 1600 1610 Wavelength (nm)

Figure 2 : Experimental wavelength spectra of the nano-Bragg microcavity filter at different medium refractive indices, with the fabricated device in the inset.

1.3 Bragg-grating optical waveguide A photonic wire Bragg grating (40 periods) structure is created by the periodic modulation of the waveguide core laterally by the insertion of recesses into the wire. The SOI material chosen has a 260 nm silicon guiding core layer and a 1µm thick silica lower cladding layer. The photonic wire width is fixed at 500 nm since this size supports a quasi-TE single transverse mode of propagation and low propagation losses. The description of the grating is given by the parameters such as the grating period (=390 nm), the recess depth (rd = 90 nm), and the tooth length (tl = 195 nm). The grating period is chosen to be 390 nm since the center of the stop-band is spectrally positioned around 1.55 µm at this parameter. The grating period is kept constant while the parameters rd and tl are free parameters. In this particular work, a quick optimization of the rd and tl parameters using 3-D FDTD modeling has been carried out to investigate the device sensitivity (n/). The number of grating periods is set to 40 since this value is a good trade-off between the strength of the reflector for a well-defined stop-band and amount of out-of-band transmission and waveguide loss. Increasing the number of periods further has no significant impact on the sensitivity of the device. The device is designed to operate at wavelengths around 1.52 µm. A 3-D Finite Difference Time Domain (FDTD) technique is used to model the photonic wire Bragg structure. Figure 3 shows the computational stop-band spectra at varying index changes of the surrounding medium with the schematic of the device shown in the inset. The shift of the lower band edge is more sensitive to refractive index changes. A band edge shift of approximately 60 nm is obtained computationally with water that represents an index change of 0.33. The average sensitivity (n/) of the device measured is 5.5 x 10-3 nm-1.

80

Proc. of SPIE Vol. 8627 86270U-3

Downloaded From: http://proceedings.spiedigitallibrary.org/ on 03/27/2014 Terms of Use: http://spiedl.org/terms Lab-on-a-chip, chip implants, DNA sequencers

81 Bio-compatibility of Micro- and Nanofabrication Materials

Highly refined silicon (scalability, flexibility, precision of processes) the second most abundant element on the Earth’s Crust

Its dielectrics – SiO2 and Si3N4

The silanol group – easily activated at surface of oxidized silicon to bind with organosilane molecules - capable of diverse functional groups to mediate large array of bioconjugation strategies

Although silicon-based materials are harmless, they can be degraded by chemical/ionic environment in vivo – nano coatings of these materials are required: CVD, ALD useful for biochip protection schemes

Porous silicon is both biocompatible and biodegradable 82 Applications

Implantable Drug delivery: the nanochannel delivery system (nDs)

Deliver drugs from implants offers the opportunity to precisely control the amount, release rate and timing

Automatic and sustained delivery offers the added benefits of increasing patient compliance and improving quality of life

Ex: microchips possessing arrays of mini-reservoirs micromachined into silicon substrate and capped with gold membranes can be electrically ruptured are capable of telemetrically controlled pulsatile release of a wide range of drug formulations

Active methods of delivery: infusion pumps, osmotic and electro-osmotic pumps Passive methods: diffusion,

83 www.advhealthmat.de www.MaterialsViews.com REVIEW (releases leuprolide acetate for up to one year),[ 47 ] as well as several versions of implantable , including Implanon (birth control, sliastic tubing),[ 59 ] Sandostatin LAR (PLGA),[ 60 ] and Gliadel® (PCPP-SA)[ 61 ] to name a few, are already FDA approved and commercially available. For further reading, good reviews on many of these promising implantable drug release architectures can be found in these references,[ 62 , 63 ] and. [ 64 ]

3.3. The Development of Nanofl uidic Membranes for Biomedical Applications

To date, nanofl uidic membranes have been fabricated using a range of methods[ 65 ] from an array of materials, including silicon, [ 65a , 66 ] silica,[ 65b ] alumina,[ 67 ] silicon nitride,[ 57 ] carbon,[ 68 ] titanium dioxide,[ 69 ] polydimethylsiloxane,[ 70 ] SU-8,[ 71 ] and gold.[ 72 ] Silicon represents one of the more attractive material bases for manufacturing nanochannels because of the scal- ability, fl exibility, precision, and accuracy of the processes and techniques used to machine it. By utilizing these silicon fab- rication processes no structural feature of the nanochannels is left to chance or process randomness, while the nanochannel array’s high degree of order helps to prevent undesirable pro- tein absorption and mineralization that can be a problem for membranes with more chaotic nanostructure.[ 73 ] At least one study demonstrated stable in vivo release rates from silicon nanofl uidic membranes for up to 6 months with little to no effect from fi brotic encapsulation for the molecules tested.[ 58 ] Silicon nanochannels with depths as small as 2 nm have been fabricated using anodically bonded Pyrex to cap 2 nm deep nanotrenches[ 74 ] (see Figure 3 ), while a sacrifi cial metal embedded in silicon nitride has been used to fabricate mas- sively parallel nanochannels of less than 3 nm in depth.[ 75 ] The fi rst examples of nanofl udic membranes produced using silicon micro- and nanofabrication techniques were reported by Kittilsland et al. in 1990[ 76 ] followed by Chu et al. in 1995.[ 65a ] The 1990 report showedDevelopment the fi ltration of particles of Nanofluidic as small as Membranes for Biomed

50 nm while the 1995 work further reduced the threshold,www.advhealthmat.de dem- www.MaterialsViews.com onstrating nanofi lters possessing monodispersed nanochannels REVIEW (releases leuprolide acetate for with up to onecritical year),[ 47 ] dimensionsas well as as small as 20 nm.[ 65a ] These nanofi l- several versions of implantable polymers, including Implanon (birth control, sliastic tubing),[ 59ters ] Sandostatin consisted LAR (PLGA), of [ a 60 ] 9 µ m thick polysilicon layer supported by and Gliadel® (PCPP-SA)[ 61 ] to name a few, are already FDA approved and commercially available.a silicon For further substrate reading, good with nanochannels that were generated by reviews on many of these promising implantable drug release Figure 3. An example of a sequence of process steps for fabricating etching a thin sacrifi cial SiO2 layer embedded within the mem- architectures can be found in these references,[ 62 , 63 ] and. [ 64 ] brane structure. The effective nanochannel size was therefore a nanofl uidic membrane. A) The process begins by using a piranha cleaning solution of H 2 O2 and H2 SO 4 (ratio of 1 to 2) to clean a silicon- 3.3. The Development of Nanofl controlleduidic Membranes by tuning the thickness of the oxide layer. Despite on-insulator substrate (SOI, 30 micron top silicon device layer, 400 nm for Biomedical Applications their thin structure these membranes were shown to be capable buried oxide layer, 500 µ m bottom silicon handle wafer). B) Mixports To date, nanofl uidic membranesof havewithstanding been fabricated usingdifferential pressures of approximately 1.4 atm. are then etched into the device layer with a solution of KOH to adjust a range of methods[ 65 ] from an array of materials, including the fi nal length of the nanochannels. C) or D) Trenches with the desired silicon, [ 65a , 66 ] silica,[ 65b ] alumina,[ 67 ] silicon nitride,[ 57 ] carbon,[ 68 ] titanium dioxide,[ 69 ] polydimethylsiloxane,[ 70 ] SU-8,[ 71 ] and nanometer depth are then machined into the device layer using selec- gold.[ 72 ] Silicon represents one 3.3.1. of the more Immunoisolating attractive material Biocapsules tive oxidation of the surface through a silicon nitride oxidation mask. bases for manufacturing nanochannels because of the scal- ability, fl exibility, precision, and accuracy of the processes and E) Microchannels through the device layer are then etched down to the techniques used to machine it. For By utilizing several these decades, silicon fab- cell transplantation has been investigated buried oxide using a Bosch reactive ion etching process (deep silicon rication processes no structuraland feature used of the nanochannelsin the clinic is for the treatment of a number of patholo- etch). F) Macrochannels are then etched through the handle wafer from left to chance or process randomness, while the nanochannel array’s high degree of order helpsgies. to prevent For undesirableType 1 pro-diabetes, pancreatic islets, microstructures in the other side of the SOI substrate also with a deep silicon etch followed tein absorption and mineralizationthe that pancreas can be a problem that for contain the body’s insulin secreting cells, by removal of the buried oxide between the macro- and microchannels membranes with more chaotic nanostructure.[ 73 ] At least one study demonstrated stable in have vivo release been rates transplanted from silicon into diabetic patients in order to restore using HF. G) and H) A Pyrex wafer is then anodically bonded to nanofl uidic membranes for up to 6 months with little to no the device layer of the SOI substrate to cap the nanotrenches. I) This the mechanisms [58 that] maintain normoglycemia. Frequently effect from fi brotic encapsulation for the molecules tested. is followed by a lapping procedure to thin the Pyrex wafer to 20 µ m or Silicon nanochannels with depthsthese as smalltransplanted as 2 nm have cells are intravenously infused and directly been fabricated using anodically bonded Pyrex to cap 2 nm less. J) Finally, outlet micrchannels are then etched through the Pyrex also deep nanotrenches[ 74 ] (see Figure exposed 3 ), while a to sacrifi the cial metal bloodstream, but can often trigger an innate using a reactive ion etch. Reproduced with permission.[ 75 ] Copyright 2010, embedded in silicon nitride has been used to fabricate mas- [77] sively parallel nanochannels of lessimmune than 3 nm in response depth.[ 75 ] within the host, including complements The Royal Society of Chemistry (RSC). The fi rst examples of nanofl udic membranes produced using silicon micro- and nanofabrication techniques were reported by Kittilsland et al. in 1990[ 76 ] followed by Chu et al. in 1995.[ 65a ] The 1990 report showed the fi ltrationAdv. Healthcare of particles as Mater. small as 2013, 2, 632–666 © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim wileyonlinelibrary.com 637 50 nm while the 1995 work further reduced the threshold, dem- Ref: Adv. Healthcare Mater. 2013, 2, 632-666 onstrating nanofi lters possessing monodispersed nanochannels with critical dimensions as small as 20 nm.[ 65a ] These nanofi l- ters consisted of a 9 µ m thick polysilicon layer supported by 84 a silicon substrate with nanochannels that were generated by Figure 3. An example of a sequence of process steps for fabricating etching a thin sacrifi cial SiO2 layer embedded within the mem- brane structure. The effective nanochannel size was therefore a nanofl uidic membrane. A) The process begins by using a piranha cleaning solution of H 2 O2 and H2 SO4 (ratio of 1 to 2) to clean a silicon- controlled by tuning the thickness of the oxide layer. Despite on-insulator substrate (SOI, 30 micron top silicon device layer, 400 nm their thin structure these membranes were shown to be capable buried oxide layer, 500 µ m bottom silicon handle wafer). B) Mixports of withstanding differential pressures of approximately 1.4 atm. are then etched into the device layer with a solution of KOH to adjust the fi nal length of the nanochannels. C) or D) Trenches with the desired nanometer depth are then machined into the device layer using selec- 3.3.1. Immunoisolating Biocapsules tive oxidation of the surface through a silicon nitride oxidation mask. E) Microchannels through the device layer are then etched down to the For several decades, cell transplantation has been investigated buried oxide using a Bosch reactive ion etching process (deep silicon and used in the clinic for the treatment of a number of patholo- etch). F) Macrochannels are then etched through the handle wafer from gies. For Type 1 diabetes, pancreatic islets, microstructures in the other side of the SOI substrate also with a deep silicon etch followed the pancreas that contain the body’s insulin secreting cells, by removal of the buried oxide between the macro- and microchannels have been transplanted into diabetic patients in order to restore using HF. G) and H) A Pyrex glass wafer is then anodically bonded to the mechanisms that maintain normoglycemia. Frequently the device layer of the SOI substrate to cap the nanotrenches. I) This is followed by a lapping procedure to thin the Pyrex wafer to 20 µ m or these transplanted cells are intravenously infused and directly less. J) Finally, outlet micrchannels are then etched through the Pyrex also exposed to the bloodstream, but can often trigger an innate using a reactive ion etch. Reproduced with permission.[ 75 ] Copyright 2010, immune response within the host, including complements[ 77 ] The Royal Society of Chemistry (RSC).

Adv. Healthcare Mater. 2013, 2, 632–666 © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim wileyonlinelibrary.com 637 Impact of Cell behavior on surface nanotopography

www.advhealthmat.de www.MaterialsViews.com REVIEW production. [ 100 ] In addition, new targets for cell transplanta- tion have emerged with the pluripotent possibilities offered by boneVariable marrow parameters:(mesenchymal) stem cells (MSC) that have been shown to differentiate into a variety of tissues, including cells thatPeriodicity, produce insulin. Aperiodicity,[ 101 ] A promising approach could therefore consistLateral of encapsulatingsize, Etch devices depth, that could effectively house theIsotropic, islets or insulin-producing Anisotropic MSCs profiles to shield them from the body’s natural protective defenses while delivering molecules such as osteocalcin and VEGF to promote long term cellular viability and neovascularization.

3.3.2. Nanofl uidic Membranes for Drug Delivery The potential of nanofl uidic membrane devices for drug delivery was demonstrated in 2004 with a different nano- fl uidic architecture than was reported by Chu et al. that was used to release glucose and then later interferon alpha. These devices had nanochannels that were fabricated with horizontal nanotrenches capped with anodically bonded Pyrex in a sim- ilar fashion to the previously mention 2 nm nanochannels (the 2 nm membranes were produced several years after these pro- Figure 4. A scanning electron micrograph image of a human pancreatic totypes). [ 102 ] Despite the impressive transmembrane pressures islet on the surface of a silicon nanofl uidic membrane developed by Fine, these 1 mm thick devices could sustain (500 µ m silicon sub- Grattoni et al. and NanoMedical Systems, Inc. (Austin, TX). strate bonded to a 500 µ m Pyrex substrate), 85these membranes required horizontal microchannels to interface the nanochan- to support the viability of islets during in vitro experiments.[ 97 ] nels to the inlet and outlet ports resulting in a low nanochannel Separately, Lee et al.[ 98 ] developed a self-assembled porous alu- density and thus extremely low release rates. A year later a third mina array for immunoisolation devices with a fi nal pore size micromachined nanofl uidic membrane prototype for drug of 14.6 nm and coated with polyethylene oxide (PEO) in order delivery was reported that resembled more closely the original to reduce surface protein absorption and prevent the elicitation 1995 nanofi lter design.[ 57 ] This prototype had suffi ciently high of an immune reaction. The fi lter presented high permeability release rates from its vertically integrated nanochannels but was to nutrients, excellent mechanical stability, and the possibility mechanically weak. This weakness resulted from both process for tuning geometrical parameters such as porosity, disk size, specifi c aspect ratio limitations of the nanochannels as well as and thickness. the fundamental relationship between nanochannel length and Other attempts were made with titanium/titanium oxide membrane thickness. As the diffusion time through the nano- composites. TiO2 nanotubular structures fabricated by an ano- channels is the rate limiting step, the membrane needed to be dization process have been developed as controlled drug eluting thin to achieve a high rate of drug release. This inherent lack coatings [ 24 ] for cardiovascular stents and implantable medical of mechanical stability necessitated a fourth design that com- [99] devices. Minjing et al. developed a Ti supported TiO2 mem- bined short horizontally machined nanochannels with vertically brane (600 µ m thick) using a sol gel technique with tetrabutyl integrated micro- and macrochannels.[ 75 ] These devices coupled titanate. The membranes were characterized by quantifying rapid clinically relevant release rates, ∼ 30 µ g/day for interferon the retention rate of IgG, bovine serum albumin, ovalbumin, α -2b [ 41 ] similar to the aforementioned vertical nanochannel trypsin, and glucose. The retention characteristics showed a sig- membranes, [ 57 ] with high mechanical robustness, as demon- nifi cant dependence on the membrane sintering temperature. strated by applied transmembrane pressures that could exceed When sintered at 600 ° C, the membranes could fully retain pro- 400 psi for the initial prototype and 600 psi for the commercial teins presenting a molecular weight larger than 156 kDa, while product without rupturing the membrane. High mechanical allowing for the permeation of smaller molecules. As such, this robustness is an important feature given the high osmotic pres- study represents an interesting attempt that requires further sures the membrane may be subjected to.[ 103 ] Figure 5 shows a developments to be applicable to islet immunoisolation. schematic representation and SEM micrograph of this newest Despite several decades of laboratory work, large human membrane architecture (the process fl ow can be found in ref- trials, and the enormous effort spent on the technological erence [ 75 ] ). Figure 6 demonstrates the linear release of several advancement of pancreatic islet immunoisolation, no immu- analytes in vitro.[ 75 ] Animal experiments to assess the in vivo noisolation approach has been successfully translated to the long-term operation of these new nanofl uidic membrane proto- clinic. The 5 year success rate of islet transplantation is under types are ongoing. 20% and the viability of transplanted islets still requires phar- macology-based immunosuppression. New strategies are 3.3.3. Nanoconfi nement needed to dramatically increase the viability and effi ciency of the encapsulated islets. Recent studies have shown that the Classical diffusion along a concentration gradient can be ana- bone hormone osteocalcin can drive islet growth and insulin lytically described by Fick’s fi rst law:

Adv. Healthcare Mater. 2013, 2, 632–666 © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim wileyonlinelibrary.com 639 Silicon and Porous Silicon Nanowires

Si Nanowires (SiNWs): investigating fundamental Intracellular and intercellular properties and interactions

Si nanowires: employed as f-actin to study the behavior of myosin motor protein

SiNWs can provide insightful information on the optimal architecture to guide cell proliferation, morphology and localization

One-dimensional nature of SiNWs allow for interfacing them with cells, gaining access to the intracellullar compartment with minimal disruption to cellular processes

SiNWs: large availability, high reproducibility, facile bioconjugation, direct electronics integration and advanced micro/nano fabrication tools set –

Made SiNWs – the nanowire of choice for fundamental and applied investigations 86

Silicon and Porous Silicon Nanowires www.advhealthmat.de www.MaterialsViews.com

model proposes that positive charges (holes) injected locally at the metal/semiconductor interface, provided they are in excess of what is necessary to mediate localized oxidation,

REVIEW can migrate within the semiconductor and induce preferential oxidation at defect sites, thus mediating porosifi cation.[ 182 ] While this model provides a more suitable explanation of the preferential porosifi cation at the etch front which is observed in the formation of porous a:nanobarcodes, solid itsilicon is unable to explainnanowire the rapid porosifi cation of substrates without any metalb: deposited porous (i.e. wherein silicon no catalytic nanowire charge transfer occurs) when placed in a solutionc: where thick metal ions porous are present duenanowires to the simultaneous or preceding MACE etch of anotheron substrate. top of porous Si layer We recently proposed an alternative model d: based a onporous our experimental silicon fi nd- layer ings and the independent observation that porosifi cation, in the absence of nanowire formation or any form of etch, can be induced and controlled in many HF/oxi- dant metal salt solutions.[ 184 ] In our model porosifi cation and etch are two independent processes occurring alongside each other. Etch is catalyzed by the metal layer depos- ited on the surface, while porosifi cation is catalyzed by metal ions in solution. Assuming a comparable catalytic activity per unit mass for the ions in solution and metal nanoparticles, the prevalence of etch or porosifi cation is determined by the relative abundance of ions in solution with respect to deposited metal. As ions are constantly formed by oxidation of the metal and get reduced by interaction with the semicon- 87 ductor, it can be assumed that the steady- state concentration of ions in solution is Figure 20 . Cross-sectional scanning electron micrographs of the different silicon morphologies of silicon nanowires obtained by metal-assisted etch. The inset is a magnifi cation of the sample determined by the concentration of oxidant shown in the cross section focusing on the structure of a single nanowire. a) Solid silicon present, as the available local semiconductor nanowires (sNWs). b) Porous silicon nanowires (pNWs). c) Thick porous silicon nanowires surface for interaction can be considered on top of a porous silicon layer (pNWs + PS). d) A porous silicon layer (PS). Adapted with constant. In fact with increasing concen- permission.[ 153 a] tration of H 2 O2 , a shift towards a higher porosifi cation rate occurs, as determined by original Si surface are present that run continuously from the progressive formation of sNWs, pNWs, and pNWs+ PS, as within the tip of the pNWs to the bottom of the PS interface, well as an associated increase in pore size and porosity. Solid indicating that the porous layer was formed fi rst and then part wires are formed at low ion concentration as most of the ions of it was etched into nanowires, suggesting that the two pro- that become solvated interact with the semiconductor in the cesses occur alongside but are independent in nature; (iv) To vicinity of the original metal particles and are quickly neu- further support the independent nature of the two processes, tralized and locally recondensed into metal particles. As the when pNWs or partially porosifi ed nanowires are formed, the concentration of H2 O2 increases the ions can diffuse further pores originate from the sidewalls and grow towards the core away from their site of origin before being neutralized, and of the wire, indicating that the etch occurs fi rst, and porosifi - can be more readily re-ionized to catalyze multiple sequential cation afterwards; (v) The addition of ethanol to the etch solu- reactions. This is refl ected by the migration towards pNWs as tion slows the rate of etch of the nanowires but does not slow H 2 O 2 concentration increases and even further by the forma- signifi cantly the porosifi cation process inducing the formation tion of a porous layer up to several microns away from the of PS or pNWs+ PS instead of pNWs, and further supporting localization sites of the metal particles at very high H 2 O2 c o n - the independent nature of the two processes. An alternative centrations. In principle this model is analogous to the one

652 wileyonlinelibrary.com © 2013 WILEY-VCH Verlag GmbH & Co. KGaA, Weinheim Adv. Healthcare Mater. 2013, 2, 632–666 Alternative nanofabrication: Biomimetics – how does nature work and can current technologies be applied to mimic?

In Biomimetics, one studies how nature, building atom by atom through bottom-up manufacturing, over eons of evolution of life, developed materials, structures processes and intelligence to inspire and improve the and design of artificial materials.

• Nature, building atom by atom through bottom-up manufacturing

• Examples in nature of bottom-up manufactured devices??

• Smaller building blocks, soft, low Young’s modulus materials

• Engineering feats in nature: DNA and RNA

• Miniaturization in Nature

88 89 90 Merging of two approaches: Top-down and bottom- up machining methodologies

— Most human manufacturing methods of small devices involve top- down approaches. Starting from larger blocks of material we make smaller and smaller things. — Nature works the other way, i.e., from the bottom-up. All living things are made atom by atom , molecule by molecule; from the small to the large. As manufacturing of very small things with top- down techniques (NEMS or nano mechanical devices) become too expensive or hit other barriers we are looking at nature for guidance (biomimetics). Merging of two approaches: Top-down and bottom-up machining methodologies — On the nanoscale nature is outperforming us by far (perhaps because nature has had more time working towards biological molecules/ cells than towards making larger organisms such as trees and us). — Further miniaturization might be inspired by biology but will most likely be different again from nature -- the drivers for human and natural manufacturing techniques are very different. UIMF website and Core Scheduler

• UIMF website – www.ostc.uiowa.edu/uimf Updating with info and news: work in progress Information on UIMF tool capabilities, policies, rates Online tool scheduler Research news and Publications

• UIMF Core Scheduler Mandatory online tool booking Booking tools on log book is no longer accepted (except 170) Book prior to usage, tool booking can be cancelled within 24 hrs Your feedback is valuable to improve the booking system

93 Vision: University of Iowa Microfabrication Facility

• Excellent facility-user experience • State-of-the-art tools • Expert Scientific and Technical Staff • Common platform for cross- and multi-disciplinary research • Credible platform for researchers to initiate large-scale grant applications • Education and hands-on training in the micro/nanofab areas • Academic and Industrial collaboration • Micro/nanofab research (a field on its own) within facility • Node on the National Nanotechnology Infrastructure Network (NNIN) – Bridging gap between life sciences, bio/med and nanofab

A world-class, state-of-the-art and sustainable Central Micro- and Nano-Fabrication facility critical to the University research mission, local & regional user communities

94