<<

sscs_NLspring08.qxd 3/26/08 9:42 AM Page 1 SSCSSSSCSSSSCCSS IEEE SOLID-STATE CIRCUITS SOCIETY NEWS Spring 2008 Vol. 13, No. 2 www.ieee.org/sscs-news

Brian Kernighan What Should an Educated Person Know about Computers? sscs_NLspring08.qxd 3/26/08 9:42 AM Page 2

Editor’s Column

elcome to impact on solid-state circuits, com- IEEE President and previous SSCS the Spring puters, and technology during the News Editor Lewis Terman, SSCS 2008 issue past several decades. In this issue, Executive Director Anne O’Neill, W Prof. Brian Kernighan has gracious- SSCS Administrator Katherine of SSCS News! With this issue, ly agreed to write “What Should an Olstein, and SSCS Layout Specialist we would like to Educated Person Know About Com- Paul Doto for their ongoing and tire- present a review of puters?” We are grateful that he has less efforts that have successfully essential topics in contributed this overview for SSCS developed SSCS News during the computing prepared by Prof. Brian News. past year. Each issue has been excit- Kernighan of the Department of I would like to take the opportu- ing, and we are grateful for SSCS at Princeton Uni- nity in this column to thank our Fea- AdCom support! Each issue has also versity. This review essay is the first ture and Technical Authors, SSCS presented many opportunities to since the SSCS News began present- AdCom, SSCS Past-President Prof. communicate much information ing Feature Authors in September, Richard Jaeger, current SSCS Presi- about activities throughout SSCS as 2006, with articles describing their dent Prof. Willy Sansen, current well as technical information about the long-term impact of several of our colleagues’ and Feature Authors’ IEEE Solid-State Circuits Society work. We hope that our readers SSCS News Administrative Committee Elected AdCom Members at enjoy these issues! We continue to Editor-in-Chief: President: Large Mary Y. Lanzerotti Willy Sansen Terms to 31 Dec. 08: be delighted to receive feedback, IBM T. . Watson Research K. U. Leuven, Belgium Wanda K. Gass and we are grateful to reprint corre- Center [email protected] Ali Hajimiri spondence in the Letters to the Edi- myl@us..com Fax: +32 16 321975 Paul J. Hurst Fax: +1 914 945 1358 tor section of the SSCS News. Vice-President: Akira Matsuzawa With this increase in coverage, Technology Editor: Bernhard Boser Ian Young we are delighted to report that Richard . Jaeger University of California Alabama Microelectronics Berkeley, CA Terms to 31 Dec. 09: Katherine Olstein has agreed to join Center Auburn John J. Corcoran the editorial staff and take on the University, AL Secretary: Kevin Kornegay David A. Johns Hae-Seung (Harry) Lee position of News Editor. Please do Tutorials Editor: Thomas H. Lee consider communicating informa- Rakesh Kumar Toronto, Ontario, Canada Jan Van der Spiegel tion about news in your area to Technology Connexions Poway, CA Treasurer: Terms to 31 Dec. 10: Katherine: [email protected]. We Rakesh Kumar Terri S. Fiez are also delighted that Dr. Rakesh Associate Editor for Technology Connexions Tadahiro Kuroda Kumar has agreed to join the edito- Europe/Africa: Poway, CA Bram Nauta Tony Harker Jan Sevenhans rial staff as Tutorials Editor, and Alba Centre Alba Campus Past- President: Mehmet Soyuer Prof. Richard Jaeger has agreed to Livingston Scotland EH54 7EG Richard C. Jaeger [email protected] Alabama Microelectronics Region 8 Representative: join the editorial staff as Technical Center Jan Sevenhans Editor. Both of these roles are News Editor: University, AL extremely important to support the Katherine Olstein Region 10 Representative: IEEE SSCS Other Representatives: C.K. Wang recently-approved vote by the SSCS [email protected] Representative to Sensors AdCom to move the SSCS News to a Council Chairs of Standing refereed magazine with additional Darrin Young Committees: Representative from CAS to Awards John J. Corcoran technical content and technical SSCS Chapters Jan Van der Spiegel review articles. We are very fortu- Domine Leenaerts Education C.K. Ken Yang Representative to CAS from Meetings Bill Bidermann nate that Katherine, Rakesh, and SSCS Membership Bruce Hecht Dick have been supportive of SSCS Un-Ku Moon Nominations Richard C. Jaeger News and that they have agreed to Publications Glenn Gulak provide their guidance and expand For detailed contact informa- their roles as SSCS News continues tion, see the Society e-News: www.ieee.org/portal/site/sscs its development. Please welcome them to the editorial staff! Executive Director: Administrator: Dr. Katherine Olstein joined SSCS Anne O’Neill Katherine Olstein IEEE SSCS-West: IEEE SSCS in 2005 as SSCS Administrator after 1500 SW 11th Avenue #1801 445 Hoes Lane careers in higher education and Portland, OR 97201 Piscataway, NJ 08854 information technology. In 2007, Tel: +1 732 981 3400 Tel: +1 732 981 3410 Fax: +1 732 981 3401 Fax: +1 732 981 3401 she completed a certificate program Email: [email protected] in journalism at NYU’s School of Continuing and Professional Studies. For questions regarding Society business, contact the SSCS Executive Office. Contributions for the She has led the upgrade of SSCS Summer 2008 issue of the Newsletter must be received by 8 May 2008 at the SSCS Executive Office. A complete media kit for advertisers is available at www.spectrum.ieee.org/mc_print. Scroll down to News on the IEEE side and contin- find SSCS. continued on page 50

2 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:43 AM Page 3

Photo of Brian W. Kernighan by .

Spring 2008 Volume 13, Number 2

Editor’s Column ...... 2

President’s Message ...... 4

Letters to the Editor ...... 4 TECHNICAL LITERATURE What Should an Educated Person Know about Computers?, Brian W. Kernighan ...... 5

PEOPLE Abidi Receives IEEE Pederson Award at ISSCC ...... 12 Eight Society Members Congratulated at ISSCC for Elevation to IEEE Fellow Grade .12 JSSC 2006 Best Paper Award, Katherine Olstein ...... 15 Best Student Designs Spark Discussions at ISSCC Poster Sessions, Bruce Hecht & Katherine Olstein ...... 18 Nine Lecturers Join SSCS DL Program ...... 21 10 Chandrakasan Discusses Micro-power Integrated Circuits & Systems in Ottawa, Ram Achar ...... 23 Kuroda Speaks in Seoul on CMOS Proximity Inter-Chip Communications, Sung Min Park ...... 24 Dennard Receives Medal from Benjamin Franklin Institute, Beth Scheraga ...... 25 New Senior Members ...... 25 Tools: How to Write Readable Reports and Winning Proposals, Part 1: The Five-Minute Miracle, Peter and Cheryl Reimold ...... 26

CONFERENCES 12 ISSCC 2008 Plenary Talks Spotlight Futuristic Consumer Electronics ...... 28 ISSCC Replay on Demand, David Pricer ...... 31 Classic Books and New Releases Share Best-Seller Status at ISSCC 2008, Katherine Olstein ...... 31 Family Expectations Spawn Successful Careers, Katherine Olstein ...... 34 ISSCC 2007 Update DVD Needs Foundations Disk ...... 35 SSCS-Seoul Chapter was Local Organizing Committee for A-SSCC 2007 ...... 35 2008 Symposium on VLSI Circuits in Honolulu on 18-20 June ...... 36 RFIC Symposium to Open Microwave Week 2008 in Atlanta, J. Lin, T. Quach, & Y. Deval ...... 38 Hot Chips 2008 Will Meet on 24-26 August, Don Draper ...... 39 18 ISLPED Moves to Asia in 2008, Vojin Oklobdzija ...... 39

CHAPTER NEWS SSCS-Montreal Receives Outstanding Chapter Award for 2007, Anas Hamoui & Mohamad Sawan ...... 40 3rd Annual Austin Conference on Integrated Systems and Circuits, Mike Seningen .41 New York ED/SSCS IEEE Chapter Formed in October 2007, John Kymissis ...... 42 SSCS-Israel Revitalized in Collaboration with IEEE MTT & AP Societies, M. Ruberto, D. Gidony, M. Moyal ...... 43 F. Svelto Presents Short Course on CMOS Wireless Receiver Design in Hsinchu 35 & ...... 44 SSCS-Seoul Hosts DVD Replay of ISSCC 2007 Short Courses, Jeongjin Roh ...... 45 Santa Clara Valley Chapter Sponsors RFIC Course, Dan Oprica ...... 45 SSCS West Ukraine: A Twelve Year Retrospective, Ihor Isayev ...... 46 21 SSCS Chapters Represented at February Meeting in San Francisco, Katherine Olstein ...... 49 Seeking Nominations for IEEE Medals and Recognitions ...... 50

NEWS AdCom Endorses Newsletter Conversion to Magazine, Katherine Olstein ...... 51 40 CEDA Currents ...... 52 Call for Nominations: SSCS Predoctoral Fellowships 2008-2009 ...... 54

Spring 2008 IEEE SSCS NEWS 3 sscs_NLspring08.qxd 3/26/08 9:43 AM Page 4

President’s Message Willy Sansen, K. U. Leuven, [email protected]

t is with great pleasure and a ISSCC, who will be Anantha Chan- I am convinced that all AdCom sense of duty that I have taken up drakasan. I know I will be able to members will join me in thanking the position of President of the count on him for several more Anne O’Neill, Executive Director of I years, and I appreciate it. Other the Society. She has been in contin- IEEE Solid-State Circuits Society for two years. This Society is most recent appointments are Bill Bider- uous support of the past presidents famous for its Journal of Solid-Sate mann for the Meetings committee and me, and all members of the Circuits and its conferences, among and Glenn Gulak for the Publica- AdCom indeed. Whenever a ques- which the International Solid-State tions committee, with Bram Nauta tion arises, she has an answer. Circuits Conference is probably the as General Editor of the Journal. Thanks also go to Katherine Olstein, best-known, not to forget the VLSI- This is a new team, indeed. I am SSCS Administrator, and Mary Circuits Conference, the CICC and the looking forward to working with all Lanzerotti, who is technical Editor of A-SSCC, and ESSCIRC. All of them of them towards a better SSCS. the Newsletter. It is because of this will have to be rethought, however, if I also want to thank the members team that the SSCS Newsletter this Society wants to find an answer of the AdCom, who have helped to expects to be converted into a Mag- to the surge in multimedia tools. Vir- streamline my arrival in this envi- azine available on Xplore. tual journals and virtual conferences ronment. I mention Rakesh Kumar I would call on all of them to con- are being discussed on various levels. specifically, because he is responsi- tinue to support the activities of the Xplore can only be the first step. ble for finances and he also plays a Society to better serve the Solid- Actions have already been taken in prominent role in strategic planning. State Circuits Community in this this respect, and will have to be fol- He has probably attended more TAB world. lowed up. meetings of the IEEE than any of us. First of all, however, I want to In this way he prepares steps to be thank Dick Jaeger for his guidance taken with respect to the IEEE as a and wise suggestions during the whole, and with respect to the other preceding years. He has played a Societies. This relationship between vital role in important issues such as the SSCS and the other Societies is Willy Sansen the succession of the chair of the certainly another point of action. President

Letters to the Editor

Dear Ms. Lanzerotti, References are a treasure, but some gave the 2007 Award in Electrical of the web links don't work, e.g. Engineering to Robert Dennard. You asked for comments so here are those in [6]. It would be helpful if Your publications have been of mine. you could get Mr. Gilbert to send great help to us. Overall the Newsletter design and you updated links and a suggestion I have been in communication production is excellent. But I have as to how to access the several with Ms. Olstein, Administrator of a couple of gripes. Mullard Technical Communications the SSCS and thanked her and told In Winter 2008, the reprint of the references. Then you could publish her of the award to Dr. Dennard. Dennard paper and patent on pp. the info in a future Newsletter. Thank you , 10-25 is unnecessarily difficult to Keep up the good work. Larry Dobbins read. The font was already but Best wishes, you then made things worse by Byron Blanchard Dr. Lanzerotti/Wisniewski: reducing the even smaller in (retired analog circuit designer) order to leave large white margins. 16 Round Hill Rd. Although the Spring 2007 SSCS issue Please treat reprints as you do regu- Lexington, MA 02420 was outstanding, you raised the bar lar editorial content by making mar- even higher with the Fall 2007 issue. gins as small as possible. Your reg- Dear Ms Lanzerotti, The two articles by Lee and and ular page layout and typography is Young (pp. 38-57) were so com- very good. I want to congratulate you for con- pelling that I could hardly put the In Fall 2007, the Barrie Gilbert sistently choosing subjects of great issue down each time I started read- article was a joy to read. I have long interest to present in the SSCS ing it. I was especially intrigued by been an enthusiastic fan of translin- NEWS. the story of the man who started ear BJT circuits. His recounting of I am working with the Franklin continued on page 27 history was most interesting. The Institute in and we just

4 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:43 AM Page 5

TECHNICAL LITERATURE

What Should an Educated Person Know about Computers? Brian W. Kernighan, Department of Computer Science, , [email protected]

Introduction Hardware is the tangible part, the computers that Since the fall of 1999, I have been teaching a course we can see and touch in our homes and offices. The at Princeton called “Computers in Our World”1 that main idea here is that computers are general purpose attempts to convey the important ideas of computers devices that process a universal digital representation and communications, which are such a pervasive part of information: everything they work with, even the of our lives. Some computing is highly visible: every instructions that tell them what to do, is ultimately just student has a computer (each of which is far more , usually expressed as bits -- zeros and ones. powerful than the one that served the whole campus Software -- the instructions that programmers write when I was a graduate student in 1964). Everyone has to control computers -- is by contrast hardly tangible at high speed Internet access, everyone uses email to all, but it's what makes computers do things for us. keep in touch with friends and family, and we all Here, the idea is that programs describe computation shop and search online. in excruciatingly detailed steps, each one of which But this is a tiny part of a computing iceberg, most must be perfect for correct operation. But programmers of which lies hidden below the surface. We don't see, are not perfect, so all software has errors, even soft- and usually don't think about, the computers that lurk ware that must work perfectly. within appliances and cars and airplanes and the Communications means computers talking to each ubiquitous electronic gadgets -- cell phones, cameras, other on our behalf: the Internet and the Web and players, games -- that we take for granted. email and chat and file-sharing. All of our computers, Nor do we think much about the degree to which and increasingly our other gadgets, are connected by infrastructure like the telephone system, air traffic a universal digital network that moves the universal control and the power grid depends on computing. digital representation of information among universal Although we are from time to time reminded of the digital processors. The network hides the differences growth of surveillance systems, invasions of our pri- among myriad different kinds of equipment at all lev- vacy, and the perils of electronic voting, we perhaps els, so almost anything can be connected, and ulti- do not realize the extent to which those are enabled mately everything will be. by computing and communications. Most people will not be directly involved in creat- Hardware ing such systems, but everyone is strongly affected by The structure of computers was more or less them, and some people will be required to make understood by in the 1830s, though he important decisions about them. The students in my was never able to complete one of his mechanical class are for the most part not technical; their primary engines (see Figure 1). ([Swore] is a very good treatment interests are in the humanities and social sciences. But of Babbage’s work.) Figure 2 is a picture of Babbage. I believe that any educated person ought to know at least the rudiments of what computers can do and how they do it; what they can't do at all, and what's merely hard; and how they relate to the world around us. An educated person should be able to read and understand a newspaper article about computing, to learn more from it, and perhaps to spot places where it is not accurate. More broadly, I want my students to be intelligently skeptical about technology, and able to reason about its good and bad aspects. Realistical- ly, many of the important decisions in our world are made by people who are not particularly technical in background. Surely it would be good if everyone had a decent understanding of crucial technologies like computing.

Three Topics, Three Big Ideas Figure 1. Babbage’s Differential Engine (Source: www. What should an educated person know about com- msu.edu/course/lbs/126/lectures/images/babbage.jpg) puting? Everyone will have their own idea; my view focuses on three core pieces: hardware, software, and The clearest modern statement of how a computer communications. works is found in the classic paper “Preliminary discus- 1www.cs.princeton.edu/courses/archive/fall07/cos109 sion of the logical design of an electronic computing

Spring 2008 IEEE SSCS NEWS 5 sscs_NLspring08.qxd 3/26/08 9:43 AM Page 6

TECHNICAL LITERATURE

enough and accurately enough, we will generate a sequence of numbers (a voltage level, perhaps) that can be used to reproduce the original accurately. Nyquist's theorem tells us that sampling a waveform (see Figure 3) at twice the highest frequency it contains is enough to capture all the information in the waveform; thus the sampling rate of 44,100 samples per second used in audio CD's is adequate to capture the roughly 20 KHz range of human hearing. The samples are usually meas- ured to 16 bits of accuracy, that is, 65,536 distinct levels.

Figure 3. Sampling a Waveform (Source: upload.wikime- dia.org/wikipedia/commons/thumb/1/15/Zeroorder- hold.signal.svg/400px- Zeroorderhold.signal.svg.png)

Figure 2. Charles Babbage (Source://commons.wikime- Similarly, if an array of closely spaced photocells dia.org/wiki/Image:Charles_Babbage.jpg) samples the intensity of light at different wavelengths, that too produces numbers that capture an image and instrument” by Burks, Goldstine, and von Neumann that can be used to reproduce it later; this is the basis [BGvN, 1946], which is well worth reading even today. of digital cameras. Movies and TV are just a sequence The so-called has a of pictures with sound, so they too are readily repre- processor (the CPU in today's terminology) that does sented as numbers. Text of any kind is straightforward: logic and computation and controls the rest of the assign a different number to each letter or character. machine, a memory for instructions and data, and And so on: ultimately a digital representation is easy. other devices for storing or communicating informa- The logical structure (the architecture) of a computer as tion. The crucial idea is that instructions that tell the set forth in the von Neumann paper has not changed sig- computer what to do are encoded as numbers and nificantly since 1946, but the physical forms have evolved stored in the same memory as the data being amazingly, from mercury delay lines and vacuum tubes to processed. This is why the computer is a general pur- integrated circuits with billions of transistors on a chip. pose device: change the numbers that tell it what to Most of the progress has come about because compo- do and it does something different. nents are so much smaller, cheaper and faster. In 1956, Computers are digital devices: they deal with num- observed that the number of devices that bers, and nothing else. Though we live in an analog could be placed on an integrated circuit was doubling world, digital is much simpler to work with -- it's just rapidly and predicted that this growth would continue plain easier to make devices that only have two states: (see Figure 4.) Moore's Law, by now a sort of self-fulfill- voltage high or low, current flowing or not, charged ing prophecy, says that every year or two, things will be or uncharged, magnetized up or down, reflectance twice as good. If computing power doubles every 18 high or low, and so on. The abstraction of all these months, that is a factor of a thousand (since 210 is 1024) two-state physical systems is captured in the two in 15 years, and a million (220) in 30 years. Moore's Law binary digits 0 and 1. No matter what the particular has held for 45 years now, so we are indeed a billion physical representation, we can encode and process times better off computationally than we were in 1960. information in combinations of bits. The many differ- In 1967, Gene Amdahl (Figure 5) [Amdahl] explored ent kinds of analog devices of earlier times -- long the relationship between performance and (among playing records, photographic film, VCR tapes, and so other things) multiple processors. One of the most on -- have converged on numeric representations as a recent advances in computer hardware, the develop- common denominator. The modern trend is strongly ment of processors with multiple "cores" or CPUs on a towards converting information from analog to digital single chip, brings Amdahl's work back as a central as early as possible in any system, and converting concern. Multiple cores are standard in consumer lap- back to analog as late as possible. tops today, but it is an open problem how to make the How can information be reduced to numbers? Con- best use of this architecture, both from the hardware sider music: If we sample a sound waveform often standpoint and from a software perspective.

6 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:43 AM Page 7

TECHNICAL LITERATURE

Figure 4. Moore's original graph and 's site about Moore's Law (Source: www.intel.com/pressroom/kits/ events/ moores_law_40th/index.htm)

Figure 6. (Source: www.bletchleypark.org.uk/edu/ lectures/turing.rhtm) tional wisdom is that software is more flexible, easier to change (especially once some device has left the factory), and cheaper. In fact, all of these presumed advantages are somewhat debatable, but the trend is there anyway. For example, if a computer program controls the way that power and brakes are applied to the drive wheels of a car, then apparently different features like anti-lock braking and electronic stability control can be implemented in software, since they are just different ways of controlling the power to the wheels. A popular metaphor for explaining software com- Figure 5. Gene Amdahl (Courtesy of Dr. Amdahl) pares it to recipes for cooking. A recipe spells out the Of course computers can't do everything, nor are ingredients needed to make some dish and the they arbitrarily fast. There are practical and theoretical sequence of operations that the cook has to perform. limits to how fast we can compute. Alan Turing (see By analogy, a program needs certain data to operate Figure 6) showed in the 1930s [Turing] that all com- on and it spells out what to do to the data. Real puters have the same computational power, in the recipes are much more vague and ambiguous than sense that they can all compute the same functions programs could ever be, however, so the analogy is (arguing by simulation: a "universal Turing machine" not good. Tax forms are better: they spell out in that could simulate any other computer) and he also painful detail what to do ("Subtract line 30 from line demonstrated classes of computations that could not 29. If zero or less, enter 0. Multiply line 31 by 25%, be performed in any reasonable amount of time. And ...") The analogy is still imperfect, but tax forms bet- naturally digital computers can't help if the problem ter capture the computational aspects -- performing can't be expressed in terms of numeric computations. arithmetic operations, copying data from one place to Software another, and having values and computational steps By itself, computer hardware doesn’t do much; it depend on earlier ones -- and show more of the need needs something to tell it what to do. “Software” is the to be precise and cover all possible cases. general term for sets of instructions that make a com- An is the computer science version of a puter do something useful. It’s “soft” by comparison careful, precise, unambiguous recipe or tax form, a with “hard” hardware because it's intangible, not easy sequence of steps that is guaranteed to perform some to put your hands on. Hardware is quite tangible: if computation correctly. Each step is expressed in you drop a computer on your foot, you'll notice. Not terms of basic operations whose meaning is com- true for software. pletely specified, for example "add two numbers". There is a strong tendency today to use general There's no ambiguity about what any operation purpose hardware wherever possible -- a processor, a means. The input data is unambiguous. And all pos- memory, and suitable peripherals -- and create spe- sible situations are covered; the algorithm never cific behaviors by means of software. The conven- encounters a situation where it doesn't know what to

Spring 2008 IEEE SSCS NEWS 7 sscs_NLspring08.qxd 3/26/08 9:43 AM Page 8

TECHNICAL LITERATURE

do . (Computer scientists add one more condi- was developed at IBM by a team led by tion: the algorithm has to stop eventually, so the clas- (see Figure 7). Such languages made it easier for pro- sic shampoo instruction to "Lather, Rinse, Repeat" is grammers to describe a computation, and, once writ- not an algorithm.) ten, the program could be translated (by a program!) One crucial aspect of both and programs into specific instructions for a target machine. This is how efficiently they operate -- how long they are made programming accessible to a much wider pop- likely to run in proportion to the amount of data to be ulation, and also greatly reduced the need to rewrite processed. Algorithm analysis is an active research area code to make it work on different kinds of machines. in computer science, but most algorithms in day to day All of the sequences above would be written in For- life are "linear" -- the amount of work is directly or lin- tran as the single statement early proportional to the amount of data. Others are M = M + 1 faster. For instance, searching for a specific item in a which the would translate into the sorted list of n items can be done in time proportional right instructions for whatever machine was being used. to log n, by a divide and conquer strategy that mimics how we look up names in a phone book. Sorting itself takes n log n time to sort n items into order. Although in general the goal is to find the fastest algorithm, some crucial processes depend on the apparent impossibility of finding a fast algorithm. For example, public-key cryptography, which is the basis of the security of electronic commerce, digital signa- tures, and the like, is based most often on the diffi- culty of factoring large (hundreds of digits) composite integers. So far as we know, the time to factor such numbers grows exponentially with their lengths, thus making it computationally infeasible to crack encryp- tion schemes by brute force computation. But if some advance in mathematics or quantum computing ren- ders factoring easy, this whole edifice will collapse. Algorithms capture the abstract notion of how to perform a task, but real computers are not abstract, and they need detailed concrete instructions to pro- ceed. Programming languages are artificial languages that (to varying degrees) make it easy to express the steps of a computation in a way that people can understand that can also be converted into a form that Figure 7. John Backus (Source: //blog.hundhausen.com/ computers understand. files/johnbackus.jpg) Early programming was done in so-called assembly languages. Assembly languages are closely tied to Programming languages continue to evolve; today's specific machines: each language expresses computa- languages are more expressive and closer to the way tion in terms of the instruction repertoire that the that people think about computing processes com- machine itself understands. For example, in one kind pared to early Fortran, though they are still not "nat- of machine, incrementing the value stored in a mem- ural" in any sense. (Steve Lohr's Go To:... [Lohr] is an ory location M might be accomplished by three excellent discussion of programming languages.) The assembly language instructions like this: higher level the language, that is, the closer to our LOAD M level, the more translation is needed, and perhaps the ADD 1 more machine resources are "wasted," but as comput- STORE M ers have gotten faster thanks to Moore's Law, this It is very hard to write programs at this level, and overhead has become less and less relevant. By the programs are tied forever to the specific architec- today's standards, programmers are very expensive, tures. Moving to another machine means rewriting the but computers are free. programs; the same increment operation on a differ- What do we build with programming languages? ent machine might be expressed as Operating systems like Windows or Mac OS X or ADD M, 1, M /Linux control the hardware, managing its and as resources and providing a platform on which appli- INCR M cation programs like browsers and office suites and on a third. games and music players can run. Operating systems Arguably the most important step in software was are complex and expensive to produce; Vista, taken during the late 1950s and early 1960s, with the Microsoft's most recent version, took at least five development of "high level" programming languages years and many thousands of people to create. Previ- like Fortran ("Formula Translation", [Backus]), which ous versions of Windows have been tens of millions were independent of any specific CPU type. Fortran of lines of ; Vista is presumably bigger.

8 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:43 AM Page 9

TECHNICAL LITERATURE

Other systems are large as well; for instance, recent Communications distributions of the Linux kernel of the Linux are well Communications means computers talking to each over 7 million lines. Such systems are among the most other, usually to do something useful for us, but complicated artifacts that we create. sometimes up to no good at all. Most interesting sys- Applications need some kind of platform that pro- tems now combine hardware, software, and commu- vides services, like a file system and network connec- nications, with the Internet serving as a universal tions, and coordinates activities so that independent "common carrier" that conveys the universal digital processes do not interfere with each other. Historical- representation of information among universal digital ly, that platform has been a conventional operating processors. Communicating systems also give rise to system, but one of the most interesting recent trends most of computing's societal issues: difficult problems has been towards "middleware," most commonly a of privacy, security, and the conflicting rights of indi- browser, that acts as a platform for applications while viduals, businesses and governments. insulating them from the details of a specific operat- The Internet began [ISOC] with research into surviv- ing system. Google Maps is a good example, as are able networks in the 1960s, sponsored by the US Depart- web-based mail systems and the nascent area of web- ment of Defense; arguably this was one of the most pro- based office tools. Naturally Microsoft is concerned ductive uses of military money ever. The Internet about this trend, which is a threat to its commanding remained the province of scientists and engineers at uni- presence as the operating system supplier for the vast versities and research labs until the combination of ubiq- majority of home and office computers. uitous personal computers, decent bandwidth, and the Sadly, no program works the first time, so a big World Wide Web invented by Tim Berners-Lee (see Fig- part of the job of real-world programming is to test ure 8) in the early 1990s caused an explosion of use. code as it's being written and certainly before it is shipped to its users, with the hope of getting rid of as many bugs as possible. A rule of thumb says that there is at least one bug for every thousand lines of code, so even if this is too pessimistic by an order of magnitude, large systems have thousands of residual bugs. Another complexity in real-world software is that things change continuously, and programs have to be adapted. New hardware is developed; it needs new drivers and those may require changes in systems before they work properly. New laws and other requirements change the logic of programs -- think about what has to happen every time the tax code changes, for example. Machines and tools and lan- guages become obsolete and have to be replaced. Expertise disappears too, as people retire, lose inter- est, or get fired in some corporate down-sizing. (Stu- Figure 8. Sir Tim Berners-Lee, inventor of the World Wide Web dent-created systems at universities suffer in the same (Source://blogs.zdnet.com/images/bernerslee400.jpg) way when the expertise graduates.) No matter what, software is hard to write, at least to the standards of correctness and reliability neces- The role of the Internet is to connect a large num- sary for critical systems like avionics, medical equip- ber of local area networks, so that information origi- ment, military systems, automobile control, and so on. nating on one network can find its way to any other It is possible to create reliable software, but only at local network no matter where it is. The genius of the very high cost, and even then no system is perfect. Internet is that a comparative handful of protocols -- How to write robust software economically is the rules for how systems interact -- developed in the biggest open problem in computing. early 1970s have made it possible to connect a wide Software also raises some interesting legal issues. variety of different networking technologies, from Historically, patents could be obtained only for phone lines to fiber optic cables, while hiding the mechanical devices and processes, but in the 1970s it specific properties of individual devices and net- became possible to obtain patent protection for soft- works. ware, and in the 1990s this was pushed much further There are only a handful of basic ideas behind the by "business method" patents like Amazon's One-click Internet. First, it is a packet network: information is technique for making an online purchase. To pro- sent in individual independent packets that are rout- grammers, such "inventions" often seem utterly obvi- ed through a large and changing collection of net- ous, but that has not slowed the rate of patents or works. Each packet consists of a header that contains, patent litigation. Liability for defective software is in addition to the data itself, information like the another area that is likely to become more important, source and destination, the packet length, the proto- though so far most software vendors have managed col version, and a very limited amount of checking. to sidestep this issue. This is a different model from the telephone system's

Spring 2008 IEEE SSCS NEWS 9 sscs_NLspring08.qxd 3/26/08 9:43 AM Page 10

TECHNICAL LITERATURE

circuit network, where each conversation has a dedi- ware works, or even that such hardware is involved, cated circuit, conceptually a private wire, between the are not visible at the IP level or above. two talking parties. The protocols divide the software into layers, each Each packet travels through multiple routers that of which provides services to the next higher level connect networks; each router passes the packet to a while calling on the services of the next lower level network that is closer to the packet's ultimate desti- (see Figure 10). At each level of the protocol hierar- nation (see Figure 9). Routers continuously exchange chy, software behaves as if it is talking to a peer at the routing information, so they always know how to same level at the other end, independent of lower lay- move a packet closer to its destination, even as topol- ers. This strict layering is fundamental to the operation ogy changes and network connections come and go. of the Internet, a way to organize and control com- As a packet travels from here to there, it might easily plexity and hide irrelevant details of implementation. pass through 20 routers, owned and operated by a dozen different companies or institutions.

Figure 9. Internet Cloud (Source: www.cs.princeton.edu/~bwk/cloud.jpg)

Each computer currently connected to the Internet is assigned a unique 32-bit Internet Protocol ("IP") address; hosts on the same network share a common Figure 10. Protocol Hierarchy Diagrams IP address prefix. The Domain Name System is a large (Source: www.cs.princeton.edu/~bwk) distributed data base that converts names like google.com or ieee.org to IP addresses. A central The basic TCP/IP mechanism is an amazingly authority (ICANN, the Internet Corporation for robust design; although it was developed in the early Assigned Names and Numbers) allocates a of IP 1970s, it has stood up to many orders of magnitude of addresses to a network managed by some organiza- growth in computers, networks and traffic, with only tion. Each host address on that network is then minor tweaking. assigned locally by the organization. Thus, for exam- ple, IEEE has been allocated blocks of IP addresses that it can in turn allocate to subnetworks and com- puters within IEEE. ICANN is also ultimately respon- sible for allocating other resources that must neces- sarily be unique, like top-level domain names them- selves. The IP packet mechanism is an unreliable “best effort” network. The Transmission Control Protocol (TCP) uses redundancy, sequence numbers, acknowl- edgements and timeouts to synthesize a reliable two- way stream from the unreliable IP packets: TCP pack- ets are wrapped up in a sequence of IP packets that Figure 11. (left) and , inventors of TCP/IP. can be used to achieve very high reliability. Most of (Source: www.google.nl/intl/nl/press/images/vint_cerf_lg.jpg) the higher-level services that we associate with the and (//isandtcolloq.gsfc.nasa.gov/spring2006/images/ Internet -- the Web itself, email, chat, file-sharing, kahn.jpg) telephony, and so on -- use TCP. IP itself uses whatever networking technology gets the information from the current router to the next The Internet presents some very difficult social, one on the path. Specific hardware technologies like political and legal issues. Privacy and security are hard. Ethernet encapsulate IP packets as they move around, Data passes through shared, unregulated, and diverse but the details of how any particular piece of hard- media and sites scattered over the whole world. It's

10 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:43 AM Page 11

TECHNICAL LITERATURE

hard to control access and to protect information along All of these are changing rapidly; we are in a time the way. Many networking technologies use broadcast of accelerating change. Change is always disruptive, media, which are vulnerable to eavesdropping. and we are clearly in for much disruption as far as we Although attacks on Ethernets are now much reduced, can extrapolate current trends. We are totally depend- attacks on wireless are on the rise since many wireless ent on digital technology, and there is no way to slow networks do not enable encryption. its evolution while we figure out how to handle the The Internet was not designed with security in problems it presents. Although in almost every way, mind, so it is not hard to lie about identity and loca- computing and communications technologies have tion; this makes it possible to mount a variety of greatly improved our lives, they will continue to pres- attacks on the unsuspecting. People are remarkably ent difficult challenges along with great rewards. naive and all too willing to trust a web page that claims to come from their bank or an online mer- References chant, so phishing attacks that attempt to steal identi- • [BGvN] "Preliminary discussion of the logical design of ties are more successful than one could believe. an electronic computing instrument", 1946 [available The Internet has no geography and carries bits online at research.microsoft.com/~gbell/computer everywhere almost independent of national bound- _structures__ readings_and_examples/00000112.htm]. aries. Some countries have tried to limit Internet • [Turing] "On computable numbers with an applica- access by their citizens by forcing all Internet traffic to tion to the Entscheidnungsproblem", Proc. London pass through a small set of routers that filter content. Math Soc. ser. 2, 42 (1936-7), 230-265. [available Others have claimed legal rights over Internet activi- online at /www.abelard.org/turpap2/tp2-ie.asp] ties that occur largely or entirely outside their bound- • [Amdahl] Gene Amdahl, "Validity of the Single aries, for instance violation of laws on libel or gam- Processor Approach to Achieving Large-Scale Com- bling or pornography. puting Capabilities", AFIPS Conference Proceedings, Of course, the Internet has enabled dissemination (30), pp. 483-485, 1967. of copyrighted material, whether legally or not, at an • [Backus] "The FORTRAN Automatic Coding Sys- unimaginable scale, largely through peer to peer net- tem", J. W. Backus, et al, Proc. Western Joint Com- works, and it seems likely that this will continue puting Conference, Feb 1957, 188-198. [available regardless of attempts by content providers to restrict online at /web.mit.edu/6.035/www/papers/Backus it with ever more Draconian laws and ever more EtAl-FortranAutomaticCodingSystem-1957.pdf] onerous so-called digital rights management systems. • [Lohr] Go To: The Story of the Math Majors, Bridge The Internet has only been in existence since about Players, Engineers, Chess Wizards, Scientists and 1969. The core TCP/IP protocols date from about Iconoclasts who were the Hero Programmers of the 1973, and have remained largely the same since then, Software Revolution, Basic Books, 2001. in the face of exponential growth of size and traffic, a • [ISOC] "A brief history of the Internet", Vint Cerf, et remarkable achievement. We are running low on 32- al, Internet Society, Dec 2003. [available online at bit IP addresses, since 32 bits allows for at most 232, www.isoc.org/internet/history/brief.shtml] or about 4.3 billion, IP addresses. Mechanisms like • [Swore] Charles Babbage and the Quest to Build network address translation and dynamic host config- the First Computer, Doron Swore, Penguin USA, uration have pushed this off for a while and eventu- 2002 ally version 6 of the IP protocol with its 128-bit addresses will eliminate the problem. About the Author Brian Kernighan received his BASc Conclusions from the University of Toronto in 1964 Although there are of course many, many technical and a Ph.D. in electrical engineering details, and everything related to computing and com- from Princeton in 1969. He was in the munications is evolving rapidly, there are some fun- Computing Science Research center at damental notions that will remain central and that until 2000, and is now in the should be understood by any educated person, Computer Science Department at whether of a technical bent or not. Princeton. First, information is universally represented in digi- He is the author of 8 books and some technical tal form. Second, information is universally processed papers, and holds 4 patents. He was elected to the in digital form. Third, information is stored and trans- National Academy of Engineering in 2002. His mitted in digital form. Finally, technology has research areas include programming languages, advanced so far that these digital mechanisms are uni- tools and interfaces that make computers easier to versally available for very little cost. Taken together, use, often for non-specialist users. He is also inter- these explain the pervasive nature of computers and ested in technology education for non-technical computing in our world. audiences.

Spring 2008 IEEE SSCS NEWS 11 sscs_NLspring08.qxd 3/26/08 9:44 AM Page 12

PEOPLE Abidi Receives IEEE Pederson Award at ISSCC 2008

SSCS President Willy Sansen presented the IEEE Donald O. Pederson Award in Solid-State Circuits to Asad A. Abidi at the ISSCC on 4 February 2008. Dr. Abidi briefly addressed the Plenary audience after receiving the award, which is sponsored by the IEEE Solid-State Circuits Society.

sad Abidi was presented with try with his contributions to CMOS many others. He is indeed a vision- the IEEE Donald O. Pederson RF technology. Approximately 15 ary who often heralds activities in a Award in Solid-State Circuits years after Professor Abidi’s pio- totally new research area or field.” A neering CMOS RF ideas, one would IEEE field awards recognize during the Plenary Session of the ISSCC on 4 February, 2008 by SSCS be hard pressed to find any com- individuals who have contributed President Willy Sansen. mercial RF products in the Blue- extraordinarily to the mission of In his remarks, Sansen reported tooth and Wireless LAN space that the IEEE to promote the creation that a nominator said, “Professor use anything other than CMOS of new technologies for the bene- Abidi is one of the eminent analog technology!” According to another fit of humanity and the profession. IC researchers in the world today, endorser, Abidi “has stood out as and he has made a truly significant someone who has insight into Katherine Olstein, SSCS mark on the semiconductor indus- technical problems that baffle Adminstrator, [email protected]

Eight Society Members Congratulated at ISSCC for Elevation to IEEE Fellow Grade In a ceremony during the ISSCC Plenary Session on 4 February, 2008, SSCS President Willy Sansen congratu- lated eight Society members who were selected for the IEEE Fellow Class of 2008. They are among a total of 295 Senior Members elevated to Fellow Grade, effective 1 January 2008. Conferred by the IEEE Board of Directors, the distinction of IEEE Fellow recognizes extraordinary contribu- tions to one or more fields of IEEE interest. No more than one-tenth of one percent of the Institute member- ship may be elevated to Fellow in a given year.

Kenji Anami •for invention of the divided word line structure for high-speed, low-power logic and memory Patrick Gelsinger • for leadership in design and industry standards Rakesh Kumar • for entrepreneurial leadership in the field of integrated circuits Bram Nauta • for contributions to integrated analog circuit design Jyuo-Min Shyu • for leadership in the microelectronics industry Stewart Taylor • for contributions to analog, frequency and mixed-signal integrated circuit design C. K. Wang • for contributions to communications circuit design and for leadership in promoting the profession Hoi-Jun Yoo • for contributions to low-power and high-speed VLSI design

Additional information about the IEEE Fellows Program may be found at: www.ieee.org/web/membership/fel- lows/index.html.

12 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:44 AM Page 13

PEOPLE

Before his appointment as the company's first CTO, Gelsinger was the chief technology officer of the Intel Architecture Group. In this position, he led the organ- ization that researches, develops and designs next- generation hardware and software technologies for all Intel Architecture platforms for business and con- sumer market segments. Previously, Gelsinger led the Desktop Products Group, where he was responsible for Intel’s desktop processors, chipsets and motherboards for consumer technology initiatives and the Intel Developer Forum. From 1992 to 1996, Gelsinger was instrumental in SSCS President Willy Sansen congratulated Kenji Anami defining and delivering the Intel® ProShare® video (above) and Rakesh Kumar at ISSCC for their elevation to conferencing and Internet communications product IEEE Fellow Grade, Class of 2008. line. Prior to 1992, he was general manager of the Kenji Anami received B.S. and Ph.D. degrees in division responsible for the ® Pro, IntelDX2™ Electronic Engineering from Kyushu University, and Intel486™ microprocessor families. Other posi- Fukuoka, Japan in 1973 and 1986, respectively. He tions Gelsinger has held during his Intel career joined Mitsubishi Electric Corporation in 1973. include director of the Platform Architecture Group, Since then he has worked on memory and logic LSI design manager and chief architect of the original design. Until 1992, he was Manager, SRAM Group, LSI ™ microprocessor, manager of CAD methodolo- Device Development Department A in the LSI Labo- gies, and key contributor on the original ™ and ratory of Mitsubishi Electric Corporation, where he i286 chip design teams. supervised many projects including 16K NMOS SRAM, Gelsinger holds six patents and six applications in 64K - 4M CMOS SRAMs, Bipolar 1K-16K ECL RAMs, the areas of VLSI design, computer architecture and and 64K-256K BiCOS SRAMs. communications. He has more than 20 publications in He is currently Executive Manager of Corporate these technical fields, including "Programming the Strategy Planning for the Renesas Technology Cor- 80386,” published in 1987 by Sybex Inc. He has poration. received numerous Intel and industry recognition From 1992 to 1995, he was Manager of the Fast awards, and his promotion to group vice president at SRAM section, Memory Department, Kita-Itami Works, age 32 made him the youngest vice president in the Mitsubishi Electric Corporation, working on 4Mbit history of the company. SRAM development and marketing. From 1995 to 1999, Gelsinger received an associate's degree from Lin- he supervised DINOR Flash Memory, SRAM, and com- coln Technical Institute in 1979, a bachelor's degree bination Multi-Chip- Packages (MCP). From 1999 to from Santa Clara University in 1983, Magna Cum 2003, he was Senior Manager, Technology Group, Laude, and a master's degree from Stanford Universi- Planning & Administration Division, SEMICONDUC- ty in 1985. All degrees are in electrical engineering. TOR GROUP, Mitsubishi Electric Corporation, working Gelsinger is married and the father of four children. on semiconductor R&D strategy planning. Beginning 2003, he was General Manager of the Corporate Strategic Technology Office, Renesas Tech- nology Corporation, and worked on corporate R&D strategy planning. Since 2005 he has been engaged in a project enti- tled "The Grand Design of Japanese Semiconductor Industry" at Semiconductor Industry Research Institute Japan (SIRIJ).

Pat Gelsinger is senior vice president and general manager of Intel Corporation's Digital Enterprise Group. Gelsinger joined Intel in 1979, and has more than 26 years of experience in general management and product development positions. Gelsinger led Intel's Corporate Technology Group, which encompasses Dr. Rakesh Kumar is President of TCX, a consulting many Intel research activities, including leading Intel services company. He is also CEO of ei2, a fabless prod- Labs and Intel Research, and driving industry align- uct integration company. Previously he was VP & GM ment with these technologies and initiatives. As CTO, of the worldwide Silicon Technology business unit at he coordinated Intel's longer-term research efforts Cadence Design Systems and Tality. During his 32 years and helped ensure consistency among Intel's emerg- of industry experience Rakesh has also been at Unisys ing computing, networking and communications and Motorola where he held various technical and man- products and technologies. agement positions with increasing responsibility. He

Spring 2008 IEEE SSCS NEWS 13 sscs_NLspring08.qxd 3/26/08 9:44 AM Page 14

PEOPLE

has numerous publications and patents to his credit. Dr. Kumar is on the AdCom of the IEEE Solid-State Circuits Society and serves as its Treasurer. He has chaired and served on the Steering committee of the IEEE Custom IC Conference for fourteen years. Rakesh received his Ph.D. and M.S. in Electrical Engineering from the Uni- versity of Rochester in 1974 and 1971 respectively. He received his B. Tech. in Electrical Engineering from the Indian Institute of Technology, New Delhi in 1969.

architecture and circuit design that leverages the strengths and compensates for the weaknesses of CMOS technology. He received a Ph.D. in electrical engineering from the University of California at Berkeley in 1978. Before joining Intel, he was with Tektronix, TriQuint, and Maxim. Stewart has developed high- speed analog, data converter, and wireless/RF inte- grated circuits. He has 41 issued patents, and twenty- Clockwise from left, newly elected IEEE Fellows Bram three pending. He is the author of more than fifty Nauta, Stewart Taylor, C. K. Wang and Joi-Jun Yoo (page 15) technical papers. at the ISSCC Plenary Awards ceremony, 3 February, 2008. Stewart served on the program committee of the International Solid-State Circuits Conference for ten Bram Nauta (M'91-SM'03-F'08) was born in Hengelo, years, chairing the Analog Subcommittee for four The Netherlands in 1964. In 1987 he received the M.Sc. years. He was the Conference Program Chair in 1999. degree (cum laude) in electrical engineering from the He was an Associate Editor of the IEEE Journal of University of Twente, Enschede, The Netherlands. In Solid-State Circuits, and the recipient of the IEEE Third 1991, he received the Ph.D. degree from the same uni- Millennium Medal for Outstanding Achievements and versity on the subject of analog CMOS filters for very Contributions from the Solid-State Circuits Society. high frequencies and joined the Mixed-Signal Circuits Stewart has taught part-time at Portland State Uni- and Systems Department of Philips Research, Eindhoven versity, Oregon State University, and the Oregon the Netherlands, where he worked on high speed AD Graduate Institute for twenty nine years. He is an converters and analog key modules. His Ph.D. thesis IEEE Fellow. was published as a book: Analog CMOS Filters for Very High Frequencies (Springer, 1993) and he received the "Shell Study Tour Award" for his Ph.D. work. In 1998 he returned to the University of Twente as full professor heading the IC Design group, which is part of the CTIT Research Institute. His current research interest is high-speed analog CMOS circuits. He is also a part-time consultant in industry and co- founded Chip Design Works in 2001. From 1997 until 1999 he served as Associate Editor of IEEE Transactions on Circuits and Systems -II; Ana- log and Digital Signal Processing. After this, he served as Guest Editor, Associate Editor (2001-2006) - and from 2007 as Editor-in-Chief for the IEEE Journal of Solid-State Circuits. He is also member of the techni- cal program committees of the International Solid Chorng-Kuang Wang was born in Taiwan in 1947. State Circuits Conference (ISSCC), the European Solid He received the B.S. degree in Electronic Engineering State Circuit Conference (ESSCIRC), and the Sympo- from National Chiao-Tung University and the M.S. sium on VLSI circuits. He was a co-recipient of the degree in Geophysics from National Central Universi- ISSCC 2002 "Van Vessem Outstanding Paper Award" ty, Taiwan in 1970 and 1973, respectively. He and is a distinguished lecturer of the IEEE and an received the M.S. and Ph.D. degrees in Electrical elected member of IEEE-SSCS AdCom. Engineering and Computer Science from the Univer- sity of California, Berkeley in 1979 and 1986, respec- Stewart S. Taylor is a Senior Principal Design Engi- tively, where he worked on MOS analog integrated neer at Intel, where he has been employed since Jan- circuits using scaled technologies. He has held indus- uary 2003. His current research focus is on radio trial positions with Itron in Taiwan (1973-1977),

14 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:44 AM Page 15

PEOPLE

National Semiconductor, Rockwell and IBM in Cali- GaAs vertical optoelectronic integrated circuits. fornia (1979-1991), where he was involved in the From 1988 to 1990, he was with Bell Communica- development of CMOS memory, data modems and tions Research, Red Bank, NJ, where he invented the disk-drive integrated circuits. He acted as a consultant two-dimensional phase-locked VCSEL array, the front- to the Computer & Communication Research Lab of surface-emitting laser, and the high-speed lateral HBT. the Industrial Technology Research Institute (1991-2000) In 1991, he became Manager of a DRAM design group and as advisor to the Ministry of Education Advisory at Hyundai Electronics and designed DRAM families Office (1997-2001) in Taiwan. From 1991 to 1998, he was from fast-1M DRAMs to 256M synchronous DRAMs. In with the Department of Electrical Engineering, National 1998 he joined the faculty of the Department of Elec- Central University in Taiwan, where he was a Professor. trical Engineering at KAIST and now is a full profes- Thereafter, he has been a professor in the Department of sor. From 2001 to 2005, he was the director of System Electrical Engineering of National Taiwan University. His Integration and IP Authoring Research Center (SIPAC), research interests are in the areas of wireless transceiver funded by the Korean government to promote world- system and circuit design, high-speed data link circuits, wide IP authoring and its SOC application. From 2003 mm-wave CMOS development, and flexible electronics. to 2005, he was a full time Advisor to the Minister of He has been involved in chairing and launching pro- Korea Ministry of Information and Communication, grams for technical and executive committees of AP- and National Project Manager for SoC and Computer. ASIC, A-SSCC and ISSCC. He currently serves as an ex- In 2007, he founded SDIA (System Design Innovation officio member of the SSCS AdCom, representing Asia. & Application Research Center) at KAIST to research and develop SoCs for intelligent robots, wearable com- puters and bio systems. His current interests are high- speed and low-power Network on Chips, 3D graphics, Body Area Networks, biomedical devices and circuits, and memory circuits and systems. He is the author of the books DRAM Design (Seoul, Korea: Hongleung, 1996; in Korean), High Performance DRAM (Seoul, Korea: Sigma, 1999; in Korean), and chapters of Net- works on Chips (New York, Morgan Kaufmann, 2006). Dr. Yoo received the Electronic Industrial Associa- tion of Korea Award for his contribution to DRAM tech- nology in 1994, Hynix Development Award in 1995; the Korea Semiconductor Industry Association Award in 2002; Best Research of KAIST Award in 2007; Design Hoi-Jun Yoo (M’95 – SM’04) graduated from the Elec- Award of 2001 ASP-DAC; and Outstanding Design tronics Department of Seoul National University, Awards 2005, 2006, 2007 A-SSCC. He is a member of Seoul, Korea, in 1983 and received the M.S. and Ph.D the executive committee of ISSCC, Symposium on degrees in electrical engineering from the Korea VLSI, and A-SSCC. He is the TPC chair of A-SSCC 2008. Advanced Institute of Science and Technology (KAIST), Daejeon, in 1985 and 1988, respectively. His Katherine Olstein, SSCS Administrator, Ph.D. work concerned the fabrication process for [email protected] JSSC 2006 Best Paper Award Presented to J.B. Ashbrook, H.-M. Bae, S. Chopra, J. Park, N. R. Shanbhag and A. C. Singer Katherine Olstein, SSCS Administrator, [email protected]

onathan B. Ashbrook, Hyeon-Min Bae, Sanjiv Chopra, Jinki Park, Naresh R. Shanbhag and JAndrew C. Singer were honored at the Plenary Session of the ISSCC in San Francisco on 4 Febru- ary, 2007 for the selection of their paper, “An MLSE Receiver for Electronic Dispersion Compensation of OC- 192 Fiber Links” as the best in the JSSC for 2006. It appeared in November (Volume 41, Issue 11) on pages 2541-2554. Their paper describes the results of research and development done at Intersymbol Communications, Inc., Champaign, IL, a fabless semiconductor start-up co- From left: SSCS President Willy Sansen, Sanjiv Chopra, founded by Naresh Shanbhag and Andrew Singer in Andrew Singer, Naresh R. Shanbhag, Jinki Park, Jonathan 2000 and first published at ISSCC 2006, said Shanbhag. Ashbrook, and Hyeon-min Bae.

Spring 2008 IEEE SSCS NEWS 15 sscs_NLspring08.qxd 3/26/08 9:44 AM Page 16

PEOPLE

km of single-mode fiber. Optical dispersion techniques Abstract that were proposed and found to be too expensive led A maximum-likelihood sequence estimation (MLSE) to the demise of many start-ups,” he said. receiver is fabricated to combat dispersion/intersymbol Therefore, he and Singer came up with the notion of interference (chromatic and polarization mode), noise combining advanced signal processing techniques with (optical and electrical), and nonlinearities (e.g., fiber, mixed-signal design to combat dispersion in the elec- receiver photodiode, or laser) in OC-192 metro and tronic domain. According to Singer, this was a clear long-haul links. The MLSE receiver includes a variable case of Moore’s Law coming to the rescue of Snell’s law gain amplifier with 40-dB gain range and 7.5-GHz 3-dB in the sense that electronic solutions tend to be cheap- bandwidth, a 12.5-Gb/s 4-bit analog-to-digital converter, er than most other implementation technologies. a dispersion-tolerant phase-locked loop, a 1:8 demulti- Today, this mass producible technology is referred to plexer, and a digital equalizer implementing the MLSE as electronic dispersion compensation (EDC). algorithm. The MLSE receiver achieves more than 50% “Implementation of an EDC-based CDR at 10Gb/s reach extension at signal-to-noise levels of interest as required us to overcome numerous technical chal- compared to conventional clock data recovery systems lenges,” said Shanbhag. “These included the implemen- tation of a 4b, 12.5GS/s analog-to-digital converter (ADC), a PLL that recovers clock in the presence of a closed eye and low-OSNR, a variable gain amplifier with 40dB gain range and a 12.5 Gb/s Viterbi equalizer. Hyeon-min Bae, Jonathan Ashbrook, and other alumni of the ECE Department at the University of Illinois at Urbana-Champaign played a critical role in the develop- ment of EDC technology at Intersymbol,” said Shanbhag. Today, EDC is a well-defined product category in the optical industry and EDC will be central to the deploy- ment of 40Gb/s and 100 Gb/s optical links,” he added. EDC technology is currently being incorporated into next generation transponders at Finisar Corpora- Figure 1. EDC-based CDR architecture. tion, which acquired Intersymbol in 2007. The 2006 ISSCC and JSSC papers alerted the circuit design com- munity to the potential of EDC in extending reach of optical links and identified new challenges for design- ers everywhere. The authors feel honored and grate- ful for the recognition of their technical contributions by the IEEE Solid-State Circuits Society and its mem- bers, Shanbhag said.

Jonathan B. Ashbrook received the B.S. and M.S. degrees in electrical engineering from the University of Illinois at Urbana-Champaign in 1998 and 2000, respectively. From 2000 to 2002, he was with IBM, Essex Junction, VT, designing high-performance semi- custom ASICs. In 2002, he joined Intersymbol Com- munications Inc., Champaign, IL, where he is the Lead Figure 2. EDC-based CDR multi-chip module. Digital Architect responsible for digital and mixed-sig- nal optical receiver chips. He holds two patents in the According to K. Nagaraj, who was Editor of the area of high-performance memory design. JSSC through 2007, “The paper heralds a new era in the design of integrated circuits for current and future Hyeon-Min Bae received the B.S. degree in electri- generations of optical fiber communications by cal engineering from Seoul National University, demonstrating the feasibility of implementing sophis- Seoul, Korea, in 1998 and the M.S. and Ph.D. degrees ticated communication techniques employed in wire- in Electrical and Computer Engineering from the Uni- less and other wireline channels, at optical line rates. versity of Illinois at Urbana-Champaign in 2001 and Such techniques are critical for the future deployment 2004, respectively. From 2001-2007, he was the lead of 40 Gb/s and 100 Gb/s links and thus represents a analog and mixed-signal architect of OC-192 EDC- new opportunity for circuit designers.” based CDRs at Intersymbol Communications, Inc, In an email statement, Shanbhag described the cir- Champaign, IL. Since 2007, he has been with Finisar cumstances that initiated the group’s work. “In early Corporation after its acquisition of Intersymbol. Dr. 2000, dispersion in optical fiber appeared as a factor Bae is also a visiting assistant professor at the Uni- limiting migration of 2.5G links to 10G links in ultra versity of Illinois at Urbana-Champaign, where he is long-haul, and metro networks and conventional engaged in teaching and research projects related to clock-data recovery (CDR) circuits failed beyond 80 high-speed clock-data recovery systems. Dr. Bae

16 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:44 AM Page 17

PEOPLE

received a Silver Medal for the Samsung Humantech developing signal processing enhanced chips for ultra- Thesis Prize in 1998. high-speed optical communications systems. Intersym- bol was acquired by Finisar Corporation in 2007. Sanjiv Chopra received the B.S. degree in electrical Dr. Shanbhag was elevated to the grade of an IEEE Fel- engineering from the Birla Institute of Technology, low in 2006, was the recipient of the 2001 IEEE TRANS- India, the M.S. degree in electrical engineering from ACTIONS ON VERY LARGE SCALE INTEGRATED (VLSI) Iowa State University, Ames, and the M.B.A. degree SYSTEMS Best Paper Award, the 1999 IEEE Leon K. Kirch- from Northwestern University, Evanston, IL. He has mayer Best Paper Award, the 1999 Xerox Faculty Award, worked in the technology industry in various engi- the Distinguished Lecturership from the IEEE Circuits and neering and management roles for over 13 years. He Systems Society in 1997, the National Science Foundation has cofounded two technology startups and has served CAREER Award in 1996, and the 1994 Darlington Best as a consultant to various others. Since 2001, he has Paper Award from the IEEE Circuits and Systems Society. been a Chief Operating Officer with Intersymbol Com- From 1997 to 1999 and from 1999 to 2002, he served as munications Inc., Champaign, IL. Intersymbol is a ven- an Associate Editor for the IEEE TRANSACTIONS ON CIR- ture-backed technology company developing disrup- CUITS AND SYSTEMS II: ANALOG AND DIGITAL SIG- tive, mixed-signal integrated circuits for optical com- NAL PROCESSING and the IEEE TRANSACTIONS ON munications industry. Prior to joining Intersymbol, he VLSI, respectively. He is currently serving on the techni- was the cofounder and Executive Vice President of cal program committees of major international confer- CapacityWeb Inc., a venture-backed supply chain tech- ences such as the International Solid-State Circuits Con- nology company. From 1991 to 1997 he was with Inte- ference (ISSCC), the International Conference on Com- grated Device Technology, Silicon Valley, CA, where puter-Aided Design (ICCAD), the International Sympo- he developed semiconductor integrated circuit prod- sium on Low-Power Design (ISLPED), and others. ucts for the personal computer and communications industry. He has also been a management consultant Andrew C. Singer (M’95–SM’05) received the S.B., S.M., with Booz, Allen and Hamilton in Chicago, IL. As a Vis- and Ph.D. degrees, all in electrical engineering and com- iting Lecturer with the College of Engineering, Univer- puter science, from the Massachusetts Institute of Tech- sity of Illinois, he teaches a popular graduate level nology (MIT), Cambridge, in 1990, 1992, and 1996, course titled “Technology Innovation and Strategy.” respectively. Since 1998, he has been on the faculty of the Department of Electrical and Computer Engineering Jinki Park received the B.S. degree from Seoul (ECE), University of Illinois at Urbana-Champaign, National University, Seoul, Korea, in 1999, and the where he is currently an Associate Professor with the M.S. degree from TexasA&MUniversity, College Sta- ECE Department, a Research Associate Professor with tion, in 2002, both in electrical engineering. In 2003, the Coordinated Science Laboratory, and a Willett Facul- he joined Intersymbol Communication Inc., Cham- ty Scholar. During the academic year 1996, he was a paign, IL, where he was involved in designing ADC Postdoctoral Research Affiliate with the Research Labo- and CDR for MLSE-based electronic dispersion com- ratory of Electronics at MIT. From 1996 to 1998, he was pensation receivers for SONET applications. Current- a Research Scientist with Sanders, A Lockheed Martin ly, he is with Texas Instruments, Dallas, TX, develop- Company, Manchester, NH, where he designed - ing mixed-signal power management ICs. rithms, architectures, and systems for a variety of DOD applications. His research spans statistical signal pro- Naresh R. Shanbhag (F’06) received the Ph.D. degree cessing and communication systems and machine learn- in electrical engineering from the University of Min- ing. In 2005, he was appointed as the Director of the nesota, Minneapolis, in 1993. From 1993 to 1995, he was Technology Entrepreneur Center (TEC) in the College of with AT&T Bell Laboratories, Murray Hill, NJ, where he Engineering and has started several successful initiatives was the Lead Chip Architect for AT&T’s 51.84-Mb/s in the Center. He also cofounded Intersymbol Commu- transceiver chips over twisted-pair wiring for asynchro- nications Inc., Champaign, IL, a venture-funded fabless nous transfer mode (ATM)-LAN and very high-speed semiconductor IC company. Intersymbol develops sig- digital subscriber line (VDSL) chip-sets. Since August nal processing enhanced chips for ultrahigh-speed opti- 1995, he has been with the Department of Electrical and cal communications systems. Intersymbol Communica- Computer Engineering and the Coordinated Science tions, Inc., was acquired by Finisar Corporation in 2007. Laboratory, University of Illinois at Urbana-Champaign, Prof. Singer is a member of of Eta Kappa Nu and where he is presently a Professor. His research interests Tau Beta Pi. He was a Hughes Aircraft Masters Fellow are in the design of integrated circuits and systems for and was the recipient of the Harold L. Hazen Memo- broadband communications including low-power/high- rial Award for excellence in teaching in 1991. He performance VLSI architectures for error-control coding, received the National Science Foundation CAREER equalization, as well as digital integrated circuit design. Award in 2000, the Xerox Faculty Research Award in He has authored or coauthored numerous publications 2001, and was named a Willett Faculty Scholar in in this area and holds four U.S. patents. He is also a 2002. He serves as an Associate Editor for the IEEE coauthor of the research monograph Pipelined Adaptive TRANSACTIONS ON SIGNAL PROCESSING and is a Digital Filters (Kluwer, 1994). Dr. Shanbhag cofounded member of the MIT Educational Council. Intersymbol Communications Inc., Champaign, IL, a Of the six, Drs. Park, Shanbhag, and Singer are venture-funded fabless semiconductor IC company members of IEEE.

Spring 2008 IEEE SSCS NEWS 17 sscs_NLspring08.qxd 3/26/08 9:44 AM Page 18

PEOPLE Best Student Designs Spark Discussions at ISSCC 2008 Poster Sessions

From left, Thomas Barr, Nathaniel Pinckney, and Michael Dayringer of Harvey Mudd College. Winners of the latest DAC-ISSCC and A-SSCC Student Design Contests discussed their work in two evening poster sessions on 4- 5 February at ISSCC in San Francisco. The DAC awardees will be formally rec- ognized at the 45th Design Automation Conference in Anaheim, California in June, 2008. A-SSCC prize winners were honored at the conference in Novem- ber 2007.

2008 DAC/ISSCC Student Design Contest DAC Student Design Contest co-chair Bill Bowhill said that 48 papers were submitted, with participa- tion from over 35 academic institutions, spanning more than 12 countries around the world. “This year's entries were all very high-quality work, demonstrating innovation and sound engineering practice,” he said. “The designs spanned a wide Michael Dayringer and Nathaniel Pinckney (at left) look on range of technologies: wireless, , as Thomas Barr presents the group’s work. sensors, analog circuits, data converters, media pro- cessing, and clock synthesis. It was impressive to see the creativity and technical competence of the stu- Recounting how the project got started, Mr. dents and gave an exciting vision of the future of the Dayringer said, “more people than expected had electronics industry. The nine winners demonstrated signed up for Prof. Harris’s class. So he decided it excellence in their design solutions and methodolo- might be an interesting learning experience for gy,” he said. everyone to build one big chip instead of multiple small ones.” The group was broken up into spe- “A MIPS 200 Implementation” cialized cross-college teams - the memory team, Nathaniel Pinckney, Thomas Barr, Michael Dayringer, for instance, had four people in the US and four in Matthew McKnett, Nan Jiang, Carl Nygaard, David Australia. “We actually visited them over spring Money Harris, - Harvey Mudd College break,” Mr. Dayringer said. “We didn’t get to see Joel Stanley, Braden Phillips - The University of anything, but it was a lot of fun.” Adelaide How did they decide to submit to the Confer- ence? “We had a pretty sizable project report,” said Undergraduate Project a First Exposure to VLSI Design Mr. Pinckney. “I started writing the paper and Thirty students from Harvey Mudd College and everyone else joined in. The students led the four from the University of Adelaide, Australia way.” cooperatively developed a 32-bit mips CPU for an undergraduate course last year. They built all the “XCXO: An Ultra-low Cost Ultra-high Accuracy components of their 160,000 transistor chip set Clock System for Wireless Sensor Networks in entirely by hand in teams of four and five stu- Harsh Remote Outdoor Environments” dents, communicating mostly in video chats via IM Thomas Schmid, Jonathan Friedman, Zainul Char- and SKYPE, said Michael Dayringer, a project biwala, Young H. Cho, Mani B. Srivastava - Uni- spokesman. versity of California

18 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:44 AM Page 19

PEOPLE

Mike Seeman (right), a Ph.D. candidate at UC Berkeley specializing in switched capacitor power converters, said the objective of his project was to devise a wireless sen- sor node that runs off scavenged energy collected from the environment. As proof of concept, he explained how the rotation of a car wheel may generate enough energy to power a wireless sensor node residing inside the rim of the tire. The sensor’s targeted size, he said, is a one-cen- timeter cube designed to use very lower power -- on aver- age 6 microwatts, transmitting a packet every six seconds. “There is a lot of fancy technology in here, including a cus- Ph.D. students Thomas Schmid (left) and Jonathan Fried- tom Pico Radio -- probably the lowest power transmitter man explained that this UCLA project was supervised by to date - and a custom integrated circuit that rectifies the Prof. Mani B. Srivastava, building on an idea proposed by scavenger energy at about 93% efficiency to charge a bat- Dr. Young H. Cho, a post-doc in their laboratory. In the area tery, and also converts voltage for the loads at approxi- of sensor networks, the goal is to have very cheap but accu- mately 85% efficiency,” he said. rate time, Mr. Schmid said. To achieve this, you can exploit the manufacturing differences of two AT-cut crystals by “A 3Gbps/30K-Rule Virus-Detection Processor measuring the drift between the two of them. What you get Embedded with Adaptively Dividable Dual-Port is a calibration curve which works as a look-up table at run BiTCAM for Mobile Devices” time. "Temperature is indirectly measured through the drift Chieh-Jen Cheng, Chao-Ching Wang, Kuan-Ching and therefore, calibrating a temperature sensor is not nec- Chuang, Tai-An Chen, Tien-Fu Chen, Jinn-Shyan essary anymore," he said. "The advantage is that you can Wang - National Chung-Cheng University evaluate it all in digital with just a little timer unit, which makes the whole system very cheap."

“iVisual: An Intelligent Visual Sensor SoC with 2790fps CMOS Image Sensor and 205GOPS/W Vision Processor” Chih-Chi Cheng, Chia-Hua Lin, Chung-Te Li, Samuel C. Chang, Liang-Gee Chen - National Tai- wan University

In the two or three months it took to put this sin- gle-chip sensor together, researcher Chi-Chi Cheng said he “ate and slept” the project. The device combines multiple parts on one circuit board to achieve better security and better performance. Waiting for the results from the chip implementa- tion center, Mr. Cheng said, “I couldn’t work for three months, I was so nervous. But luckily it worked.” Chieh-Jen Cheng and Chao-Ching Wang (left) represented “PicoCube: A 1cm3 Sensor Node Powered by Har- a team from National Chung-Cheng University that devel- vested Energy” oped a chip dedicated to low-power virus detection in Yuen-Hui Chee, Mike Koplow, Michael Mark, wireless devices at the request of a local company. Their Nathan Pletcher, Mike Seeman, Fred Burghardt, device is distinguished by the inclusion of an on-chip Dan Steingart, Jan Rabaey, Paul Wright, Seth database of virus signatures for fast filtering, with an off- Sanders - UC at Berkeley chip database for exact matching, said Mr. Cheng.

Spring 2008 IEEE SSCS NEWS 19 sscs_NLspring08.qxd 3/26/08 9:44 AM Page 20

PEOPLE

“A Low Power Carbon Nanotube Chemical Sensor “A 242mW, 10mm2 1080p H.264/AVC High Profile System” Encoder Chip” Taeg Sang Cho, Kyeong-jae Lee, Jing Kong, Anan- Yu-Kun Lin, De-Wei Li, Chia-Chun Lin, Tzu-Yun tha P. Chandrakasan - Massachusetts Institute of Kuo, Sian-Jin Wu, Wei-Cheng Tai, Wei-Cheng Technology Chang, Tian-Sheuan Chang - Institute of Electron- ics, National Chiao-Tung University

Award Winning A-SSCC Student Designs “A High S/N Ratio and High Full Well Capacity CMOS Image Sensor with Active Pixel Readout Feedback Operation” Woonghee Lee, Nana Akahane, Satoru Adachi, Koichi Mizobuchi and Shigetoshi Sugawa.

Affiliation: Graduate School of Engineering, Tohoku University, 6-6-11 Aza-Aoba, Aramaki, Aoba, Sendai 980-8579, Japan; DISP Development, MIT students Kyeong-jae Lee (left) and Taeg Sang (Tim) Texas Instruments Japan, 2350 Kihara, Miho, Cho at the ISSCC 2008 poster session. Inashiki, Ibaraki 300-0496, Japan

“Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits” Tae-Hyoung Kim, Randy Persaud, Chris H. Kim - University of Minnesota

From left, Woonghee Lee and Nana Akahane with Prof. Shigetoshi Sugawa of Tohoku University. “A 195mW, 9.1M Vertices/s Fully Programmable 3D Graphics Processor for Low Power Mobile A crowd discusses the silicon odometer devised by a team Devices” from the University of Minnesota. Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Jongcheol Jeong, Euljoo Jeong, Suk-Joong Lee and Hoi-Jun Yoo “Vision Platform for Mobile Intelligent Robot Based on 81.6 GOPS Object Recognition Affiliation: Dept. EECS, KAIST, 373-1, Guseong- Processor” dong, Yuseong-gu, Daejeon, 373-1, Daejeon, Donghyun Kim, Kwanho Kim, Joo-Young Kim, KOREA; Corelogic, Inc., 6th FL., City Air Tower, Seungjin Lee, Hoi-Jun Yoo - KAIST 159-9, Samsung-dong, Gangnam-gu, Seoul, 135- 973, KOREA

Jeong-Ho Woo was spokesman for a joint university- Donghyn Kim with KAIST’s mobile intelligent robot. industry 3D graphics processor project from Seoul.

20 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:45 AM Page 21

PEOPLE

“A Linearization Technique for RF Receiver & Technology, Clear Water Bay, Kowloon, Hong Front-End Using Second-Order-Intermodulation Kong Injection” Shuzuo Lou, and Howard C. Luong Bruce Hecht, SSCS Membership Chair, [email protected] Affiliation: Department of Electronic and Comput- Katherine Olstein, SSCS Administrator, er Engineering, Hong Kong University of Science [email protected] Nine Lecturers Join SSCS DL Program Roster Totals 30 Speakers

he Distinguished Lecturer Program is pleased to component for technology directions. We are excited announce the election of 14 Distinguished Lec- by the new additions and we encourage the local turers for the 2008-2009 term, welcoming nine chapters to take advantage of their availability and T expertise,” he said. new speakers and five carried over from the previous term due to their active participation: Kerry Bernstein is a Senior Techni- • Kerry Bernstein cal Staff Member at the IBM T. J. • Takayuki Kawahara Watson Research Center, Yorktown • Rudolph Koch Hts, NY. He currently is Principal • Kofi Makinwa Investigator for 3D integration tech- • K. Nagaraj nology at IBM Research, exploring • David Su 3D microprocessor and memory • Werner Weber architectures, and 3D circuits. Mr. • S. Simon Wong Bernstein received the B.S. degree in electrical engi- • Bruce Wooley neering from Washington University in St. Louis, and joined IBM in 1978. • Thomas Lee Mr. Bernstein’s work has bridged technology and • Vojin G. Oklobdzija circuit design, exploring the technology sensitivities of • Betty Prince high performance CMOS circuit topologies; the miti- • Behzad Razavi gation of delay variability in design; and the circuit • Jan Van der Spiegel responses to single-event upsets. He served as lead technologist for IBM’s POWER Server series and for Lecturers whose terms will continue are: IBM’s PowerPC microprocessor family. He also super- • Dennis Fischettte vised technology application for IBM’s highest per- • Ian Galton formance external foundry customers. Mr. Bernstein • Ali Hajimiri has had the privilege of participating in the teams • Kiyoo Itoh developing and introducing fundamental device and • Takahiro Kuroda interconnect technologies to the industry throughout • John R. Long his career, including NMOS, CMOS, Partially-Depleted • Toshiaki Masuhara Silicon-On-Insulator devices, and copper/Low-K inter- • Akira Matsuzawa connects. • Sreedhar Natarajan Mr. Bernstein holds 50 U.S. patents in the areas of • Bram Nauta high performance circuits and technology. He co- • Mehmet Soyuer authored two college textbooks with colleague and • Mircea Stan friend Norman Rohrer, and approximately 100 • Albert J. P. Theuwissen papers or book chapters on high speed/low power • Roland Thewes CMOS. He attributes any success he has enjoyed in • Ken Uchida large part to working with wonderful, talented peo- • Ian Young ple. Mr. Bernstein has served on the program com- mittees for IEEE ISSCC and the Symposium on VLSI According to DL Program Chair C. K. Ken Yang, the Design. He derives fulfillment as an industrial men- incoming group represents internationally renowned tor for students and research at SEMATECH, SRC/ expertise in a wide range of topics. MARCO, DARPA, and for high schoolers interested “Our coverage of RF and Analog is enhanced with in math/science/engineering careers. Mr. Bernstein the addition of Bruce Wooley, Rudolph Koch, K. is a staff instructor on Computational Neuroscience Nagaraj and David Su, while Kofi Makinwa and at RUNN/Marine Biological Laboratories, Woods Takayuki Kawahara contribute their expertise in sen- Hole, MA, and a commanding officer in the HQ Bat- sors, and memories. The participation of Simon talion of the Vermont State Guard. He and his fam- Wong, Werner Weber, and Kerry Bernstein adds a ily live in Northern Vermont. Mr. Bernstein is an IEEE Fellow.

Spring 2008 IEEE SSCS NEWS 21 sscs_NLspring08.qxd 3/26/08 9:45 AM Page 22

PEOPLE

Rudolf Koch, Innovations Manager in the Wireless ON, Canada, where he was engaged in research and Division of Infineon in , Germany. teaching in analog circuits. From 1986 to 1996, he was Among his current research interests are applica- with Bell Laboratories in Murray Hill, NJ, and Allen- tions of data converters in communication systems town, PA, where he was engaged in the design of and system and design aspects of wireless trans- mixed-signal integrated circuits for telecommunica- ceivers. tions and data storage. He has been an Adjunct Asso- Dr. Koch started his career at the Corporate ciate Professor of Electrical Engineering at the Uni- Research Group of Siemens, Munich, working on versity of Pennsylvania, Philadelphia, since 1996. He solid-state area imagers. In parallel, he pursued his received the Ph.D. degree from the Indian Institute of Ph.D. thesis on the same topics. Later he joined the Science, , in 1983. Semiconductor Division of Siemens where he built up Dr. Nagaraj was the Editor-in-Chief of the Journal of and headed the Analog Design Group. With the spin- Solid-State Circuits from 2004 to 2007. off of the Semiconductor Division (under the name of He was an Associate Editor of the IEEE Journal of Infineon) he started RF CMOS design activities in the Solid-State Circuits during 1998-2003, an Associate Wireless Division. Editor of the IEEE Transactions on Circuits and Sys- He has authored or coauthored more than 25 tems, Part II, during 1993-1995, and a member of the papers and holds over 40 patents. He has been and ISSCC Technical Program Committee during 2002- is the member of numerous program committees 2004. including ISSCC, which he currently serves a Euro- pean Chair. He has repeatedly been a speaker or pan- David Su (S'81 – M’94 - SM'03 – elist, as well as an organizer of panels, and forums or F’06) was born in Kuching, Malaysia. workshops at various events. He received the BSEE and M.E. degrees from the University of Ten- Kofi A.A. Makinwa is an Associate nessee, Knoxville and the Ph.D. EE Professor at Delft University of Tech- from Stanford University. From 1985 nology, The Netherlands, where he to 1989 and 1994 to 1999, he leads a group that designs precision worked for Hewlett-Packard Compa- analog circuits, pick up modulators, ny in Corvallis, Oregon, Singapore, and Palo Alto, Cal- and smart sensors. ifornia, where he designed CMOS mixed-signal, ana- He holds B.Sc. (1st Class Hons.) log, and RF ICs. He has been with Atheros Commu- and M.Sc. degrees from Obafemi nications since February 1999, where he is the VP of Awolowo University, Nigeria, an M.E.E. (cum laude) Analog/RF Engineering at Atheros Communications, degree from the Philips International Institute, The engaging in the design of CMOS transceivers for wire- Netherlands, and a Ph.D. degree from Delft Universi- less communications. ty of Technology. Dr. Su is a technical program subcommittee mem- From 1989 to 1999 he was a research scientist at ber of the International Solid-State Circuits Confer- Philips Research Laboratories, after which he joined ence and served as an associate editor of the IEEE Delft University of Technology. He holds nine U.S. Journal of Solid-State Circuits from 2004-2007. He was patents, has (co)-authored some 70 technical papers, a co-recipient of the IEEE Journal of Solid-State Cir- and has given tutorials at several conferences, includ- cuits 2002 Best Paper Award and the 2004 ISSCC Beat- ing two at the ISSCC. Dr. Makinwa is a co-recipient rice Winner Editorial Award. He is also a consulting of JSSC, ISSCC and ESSCIRC best paper awards, and professor at Stanford University. is a recipient of the Simon Stevin Gezel award from the Dutch Technology Foundation. He is a senior Werner Weber received a Master’s member of the IEEE and a member of the Young degree in physics from TU München Academy of the Royal Netherlands Academy of Arts in 1976 and a Ph.D. from LMU and Sciences. München in 1981. 1981-1982 he worked in the field Dr. Krishnaswamy Nagaraj is of semiconductor thin films at IBM's presently a Distinguished Member of T. J. Watson Research Center, York- Technical Staff with the Wireless Ter- town Heights, NY. From 1983 minal Business Unit of Texas Instru- through 1998 he was engaged in MOS device physics ments, Inc., Dallas, TX. From 1996 to and basic circuit design and managed projects on tech- 2003, he was with the Texas Instru- nology-related circuits in advanced memories at the ments Design Center in Warren, NJ, Research Labs of Siemens AG. From 1998-2005 he was where he led the design of several responsible for the Laboratory on Emerging Technolo- mixed-signal and RF integrated circuits for hard-disk gies at Infineon's Corporate Research, which drives, wireless LANs and wireless base stations. Dur- addressed various topics in the field of ambient intel- ing 1974-1985, he was with Indian Telephone Indus- ligence such as wearable electronics, smart textiles, tries, Bangalore, where he was involved with the ubiquitous sensor networks, and distributed low-cost design of Digital Communication Systems. During electronics. Since 2005 he has managed various 1985-1986, he was with the University of Waterloo, research projects on the development and system inte-

22 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:45 AM Page 23

PEOPLE

gration of logic chips and MEMS for the Automotive, 1980, and he joined Stanford in 1984. His research is Industrial and Multimarket business group of Infineon. in the field of integrated circuit design, where his Werner Weber is a member of the ISSCC and IEDM interests include oversampling A/D and D/A conver- program committees and a Fellow of the IEEE. sion, low-power mixed-signal circuit design, circuit design techniques for video and image data acquisi- S. Simon Wong of Stanford Univer- tion, high-speed embedded memory, high-perform- sity studies the fabrication and ance packaging and test systems, noise in mixed-sig- design of high performance integrat- nal integrated circuits, and circuits for wireless and ed systems. His group focuses on wireline communications. He has published more understanding and overcoming the than 140 technical articles and is a coauthor of The limitations of circuit performance Design of Low-Voltage, Low-Power Sigma-Delta Modu- imposed by device, interconnection lators and Design and Control of RF Power Amplifiers. and on-chip components. He is a coeditor of Analog MOS Integrated Circuits, II. Wong received the BEE and BME degrees from the Prof. Wooley is a Fellow of the IEEE and a past University of Minnesota at Minneapolis in 1975 and President of the IEEE Solid-State Circuits Society. 1976 respectively, and the M.S. and Ph.D. degrees He has served as the Editor of the IEEE Journal of from UC Berkeley in 1978 and 1983 respectively. Solid-State Circuits and as the Chairman of both the From 1978 to 1980, he was with National Semicon- International Solid-State Circuits Conference ductor Corporation designing MOS dynamic memo- (ISSCC) and the Symposium on VLSI Circuits. He is ries. From 1980 to 1985, he was with Hewlett Packard also a past Chairman of the IEEE Solid-State Circuits Laboratories working on advanced MOS technologies. and Technology Committee. He was awarded the From 1985 to 1988, he was an Assistant Professor in University Medal by the University of California, the School of Electrical Engineering at Cornell Uni- Berkeley, and he was an IEEE Fortescue Fellow. He versity. In 1988, he joined Stanford University where received the Winner Editorial Award for papers he is now Professor of Electrical Engineering. He is a published in the 1991, 1997 and 2004 ISSCC fellow of IEEE. Digests. He was a recipient of the IEEE Third Mil- lennium Medal, and he was recognized for his Out- Bruce Wooley is Chairman of the standing Contributions to the Technical Papers of Department of Electrical Engineering the International Solid-State Circuits Conference on at Stanford University. He received the occasion of the conference's fiftieth anniver- the B.S., M.S. and Ph.D. degrees in sary. He is also a recipient of the Outstanding Electrical Engineering from the Uni- Alumnus Award from the EECS Department at the versity of California, Berkeley in University of California, Berkeley. 1966, 1968, and 1970, respectively. From 1970 to 1984 he was a member Katherine Olstein, SSCS Administrator, of the research staff at Bell Laboratories in Holmdel, [email protected] N.J. He was a Visiting Lecturer at UC Berkeley in Chandrakasan Discusses Micro-power Integrated Circuits and Systems in Ottawa SSCS Chapter Hosts DL Talk at Carleton University in December Ram Achar, Chair, Ottawa SSCS/CAS/ED Joint Chapter

Prof. Anantha Chandrakasan of MIT visited “Silicon ranging from devices and circuits to architectures and Valley North,” a Canadian technical-innovation hub, algorithms. The design of micro-power systems will speaking at Carleton University in Ottawa on 20 enable operation using energy scavenging. A major December, 2007. His lecture on micro-powered inte- opportunity to reduce the power dissipation of digital grated circuits, design methodologies and their appli- circuits is to scale the power supply voltage below the cations was organized by the joint Ottawa device thresholds (i.e., sub-threshold operation). The SSCS/CAS/ED chapter as one of a series of three DL opportunities and challenges associated with sub- events last year. threshold design include variation-aware design for An audience of about 45 academics and represen- logic and SRAM circuits, efficient DC-DC converters tatives from local industry attended the highly interac- for ultra-low-voltage delivery, and algorithm structur- tive session. ing to support extreme parallelism. Other power man- agement techniques are ultra-dynamic-voltage scaling, Abstract: fine-grained power gating and 3-D integration. The Energy efficient system design requires systematic use of highly digital architectures for wireless com- optimization at all levels of the design abstraction munication circuits can also significantly reduce sys-

Spring 2008 IEEE SSCS NEWS 23 sscs_NLspring08.qxd 3/26/08 9:45 AM Page 24

PEOPLE

tem energy dissipation. Specific examples of power nologies. He is a co-author of Low Power Digital management focus on wireless sensor networks and CMOS Design (Kluwer Academic Publishers, impulse based ultra-wideband communications as 1995), Digital Integrated Circuits (Pearson Pren- drivers. tice-Hall, 2003, 2nd edition), and Sub-threshold Design for Ultra-Low Power Systems (Springer Anantha P. Chandrakasan received 2006). He is also a co-editor of Low Power CMOS the B.S, M.S. and Ph.D. degrees in Design (IEEE Press, 1998), Design of High-Per- Electrical Engineering and Computer formance Microprocessor Circuits (IEEE Press, Sciences from the University of Cali- 2000), and Leakage in Nanometer CMOS Tech- fornia, Berkeley in 1989, 1990, and nologies (Springer, 2005). 1994 respectively. Since September He has served as a technical program co-chair 1994, he has been with the Massa- for the 1997 International Symposium on Low chusetts Institute of Technology, Power Electronics and Design (ISLPED), VLSI Cambridge, where he is currently the Joseph F. and Design '98, and the 1998 IEEE Workshop on Signal Nancy P. Keithley Professor of Electrical Engineering. Processing Systems. He was the Signal Processing He was a co-recipient of several awards including Sub-committee Chair for ISSCC 1999-2001, the Pro- the 1993 IEEE Communications Society's Best Tutori- gram Vice-Chair for ISSCC 2002, the Program Chair al Paper Award, the IEEE Electron Devices Society's for ISSCC 2003, and the Technology Directions 1997 Paul Rappaport Award for the Best Paper in an Sub-committee Chair for ISSCC 2004-2007. He was EDS publication during 1997, the 1999 DAC Design an Associate Editor for the IEEE Journal of Solid- Contest Award, the 2004 DAC/ISSCC Student Design State Circuits from 1998 to 2001. He served on Contest Award, and the ISSCC 2007 Beatrice Winner SSCS AdCom from 2000 to 2007 and he was the Award for Editorial Excellence. meetings committee chair from 2004 to 2007. He is His research interests include low-power digi- the Technology Directions Chair for ISSCC 2008, tal integrated circuit design, wireless microsen- and the Director of the MIT Microsystems Technol- sors, ultra-wideband , and emerging tech- ogy Laboratories. Kuroda Speaks in Seoul on CMOS Proximity Inter-Chip Communications IEEE SSCS Seoul Chapter, Prof. Sung Min Park, [email protected]

SSCS Distinguished Lecturer Tadahiro Kuroda of Keio conventional device scaling and “More than Moore” University presented a talk to 120 attendees at Ewha through System-in-Package (SiP). This lecture presents Womans University on 15 November, 2007. Prof. some of the recent research achievements on 3D sys- Kuroda is an IEEE Fellow and an elected member of tem integration by SiP, with special focus placed on the Society’s AdCom. CMOS proximity inter-chip communications. Capaci- tive and inductive coupling I/Os are emerging non- contact parallel links for chips that are stacked in a package. They are implemented by digital circuits in a standard CMOS. Since no new wafer process or mechanical process is required, they are inexpensive. Because there is no pad exposed for contact, ESD protection structure can be removed. Chips under dif- ferent supply voltages can be directly connected because they provide an AC-coupling interface. In this lecture, fundamental differences between inductive coupling and capacitive coupling are discussed. Advantages of the inductive coupling over Through- Silicon-Vias and micro-bumps are referred to. Circuit techniques to raise the aggregated data rate to 1Tb/s, lower energy dissipation to 0.1pJ/b, and extend com- From left: Prof. Chulwoo Kim, Prof. Seungjun Lee, Prof. Jin- munication ranges over 1mm are presented. Lastly, wook Burm, Prof. Kwang-Sub Yoon, Prof. Tadahiro Kuroda, future challenges and opportunities such as a 3D scal- Prof. Jinyong Chung, Prof. Sung Min Park. ing scenario are described. Prof. Kuroda’s audience was comprised of about 80 Abstract: Scaling of CMOS integrated circuits is undergraduates, 30 graduate students, and ten profes- becoming difficult due to increased power dissipation sors. His subject was very much related to the inter- and device variations. Two future directions in IC ests of the audience. Most of the professors who technology are in prospect: “More Moore” through attended work in the areas of analog, RF, and inter-

24 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:45 AM Page 25

PEOPLE

face circuits and so were very much satisfied with the able Supply-voltage scheme in 1996. In 2000, he talk. The undergraduate students were enrolled in a moved to Keio University, and has been a professor course in "microelectronics" and therefore were very there since 2002. Currently a Visiting MacKay Profes- much aware of what the talk was about. sor at UC Berkeley, his research interests include low-power, high-speed CMOS design for wireless Tadahiro Kuroda received the Ph.D. degree in EE and wireline communications, human computer from the University of . From 1982 to 2000 he interactions, and ubiquitous electronics. He has pub- was with Toshiba Corporation, where he designed lished more than 200 technical publications including CMOS/BiCMOS/ECL SRAMs, ASICs, ASSPs. From 50 invited papers and 18 books/chapters, and filed 1988 to 1990, he was a Visiting Scholar at the Uni- more than 100 patents. He served as a versity of California, Berkeley, where he conducted conference/TPC chair/member of IEEE conferences research in the field of VLSI CAD. He invented a Vari- such as the Symposium on VLSI Circuits, CICC, A- able Threshold-voltage CMOS technology and a Vari- SSCC, DAC, ASP-DAC, ICCAD, and ISLPED.

Dennard Receives Medal from Benjamin Franklin Institute

r. Robert Dennard (from left, to his left) and (center) received by Professor Charles A. the 2007 Benjamin Kapps, Laureate Sponsor D and Mr. Lawrence W. Dob- Franklin Medal in Electrical Engineering from the bins, Laureate Sponsor Franklin Institute, Philadel- (from left, to his right). phia, PA for “inventing the In addition to The 1-transistor/1-capacitor Franklin Institute Awards Dynamic Random Access Ceremony and Dinner, Memory that significantly each medalist traditionally reduced the cost of memo- participates in a sympo- ry, and for contributing to sium in his or her honor at the development of the a local university. Bob metal oxide semiconductor Dennard’s symposium was scaling principle that guides held at Temple University the design of increasingly in Philadelphia, PA and small and complex integrat- featured presentations by ed circuits.” Dr. Dennard Dr. R. Jacob Baker, Dr. was congratulated in a din- Kiyoo Itoh, and Dr. Bijan ner ceremony at the Institute on 27 April by Dr. Peter Davari, in addition to a talk by Dr. Dennard himself. J. Collings, Past Chairman of The Franklin Institute Committee on Science and the Arts and Dr. Dennis Beth Scheraga, Awards Program Director M. Wint, President and CEO, The Franklin Institute The Franklin Institute

Congratulations New Senior Members 24 Elected in October and December 2007

Rui Aguiar Portugal Section Patrick Garda France Section Thomas Amberg Santa Clara Valley Section Andrea Gerosa Italy Section Mel Bazes Israel Section David Gulbransen Buenaventura Section Peter Beerel Metropolitan Los Angeles Section Suhwan Kim Seoul Section Marc Belleville France Section Guido Masera Italy Section Didier Belot France Section Ian O'Connor France Section Yut Chow Malaysia Section Richard Perdriau France Section Jan Craninckx Benelux Section Moises Robinson Central Texas Section John Ebner Baltimore Section Zhenhua Shao Metropolitan Los Angeles Section Hans Eichel Germany Section W Sung Seoul Section Dennis Ferguson Central Texas Section Chih-Kong Yang Coastal Los Angeles Section Richard Ferrant France Section Alireza Zolfaghari Orange County Section

Spring 2008 IEEE SSCS NEWS 25 sscs_NLspring08.qxd 3/26/08 9:45 AM Page 26

PEOPLE TOOLS: Tips for Making Writing Easier Part 1: The Five-Minute Miracle Peter and Cheryl Reimold, www.allaboutcommuncation.com

This article is reprinted with permission from the authors and the IEEE Professional Communication Society from the March/April 2003 issue, Volume 47, Number 2, pages 13-14 of the IEEE PCS Newsletter.

Have you noticed lately that you seem to be will soon realize that those readers will be deeply required to do more work but with no more time in underwhelmed. Tell them first what your analysis which to do it? The load steadily increased, but some- found and why it matters to the work and success of one forgot to add another hour to the day to make it the company. Save the technical details for technical possible. “Too much work—not enough time!” is the readers. cry we hear everywhere we go these days, and we In our example you might say the following to start have decided to do something about it. your report: “Eighty percent of our customers are No, we have not found a way to give you 25 hours ‘very pleased’ with the performance of our new prod- in a day, but we may be able to shave off some pre- uct A, according to our latest customer satisfaction cious minutes that you could use for a valuable pur- survey. The biggest areas for improvement are after- suit (such as sleeping). In this series we will offer tips sale service and product reliability under extremely for making the communication part of your workday heavy use.” a little easier and less time-consuming. We start with Then imagine your reader looking at his or her writing. watch and deciding that your message is worth pur- In the rush-to-win world of business today, written suing a bit more. Let the reader ask you two critical documents have generally become shorter, for the questions that he or she would want clarified after simple reason that no one seems to have the time to thinking over your main message. Answer these two read anything long. Quick emails have replaced two- questions in a couple of sentences. The questions and page memos and even sometimes progress reports your answers should take four minutes or less. (occasionally with disastrous results, as they can so In our example two critical questions might be easily disappear). Reports and proposals have shrunk these: Why did you do this survey? What is the prac- in size, if not importance. The challenge, in this envi- tical significance of these results? ronment, is to get a lot of information into a very It is a good idea to ask and answer those questions short space. This is not an easy task. It usually feels orally and record what you say. If you think you will like trying to squeeze a size 10 foot into a size 6 shoe. not take the time or the trouble to do that, just run the How can we do it easily and—more important— questions through your head and answer them on quickly? The answer is the Five-Minute Miracle. paper. Writing down your main message (and being sure The Five-Minute Miracle of its significance to the reader) and your answers to The Five-Minute Miracle is just a simple conversation. the reader’s most pressing questions will give you a It takes place between you and your reader. solid, short missive. You can always append tables In your mind you say to your reader, “I want to tell and analyses to back up your claims. The Five- you about…(the subject of your e-mail or short Minute Miracle will work for an e-mail, a short report).” Doing this immediately clarifies for you the report, an executive summary, or a short introducto- subject of your report. ry proposal. Let’s say you are writing a short report, primarily to Just imagine being able to draft those in five minutes. top executive readers, on a customer survey you have The actual document will probably take you 10 or just completed. You would begin, “I want to tell you 15 minutes, as you will want to edit the language and about the results of our customer satisfaction survey.” perhaps refine the thought. You imagine your reader responding, “I have only one minute. What do you want to tell me about it?” Why Does It Work? Then you set the timer for five minutes. The Five-Minute Miracle works because it enables Use the first minute to tell your reader your main you to cut through all the information you have and message: what you have accomplished, determined, pull out only that which your reader wants to know. or discovered and why it matters to the reader (if this It puts the focus on the reader and makes you answer is not obvious). Please note an important point here: the questions he or she would have when faced with If you have to struggle to find the significance of your a new piece of information, namely: information for that reader, you are probably choos- • What is this? ing the wrong main message. For instance, if you start • Why does it matter? a report to executives with a description of a new • How can we use it? technical method you used for your latest survey, you • What else do I need to know about it?

26 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:45 AM Page 27

PEOPLE

This method saves both you and your reader a munication skills to engineers, scientists, and busi- great deal of time and aggravation spent either writ- nesspeople for 20 years. Their firm, PERC Communi- ing or reading facts that confuse or do not matter to cations (+1 914 725 1024, [email protected]), offers that reader. Try it and let us know how it works for businesses consulting and writing services, as well as you; we’d love to hear from you. customized in-house courses on writing, presentation skills, and on-the-job communication skills. Visit their Cheryl and Peter Reimold have been teaching com- Web site at http:// www.allaboutcommunication.com.

Letters to the Editor continued from page 4

analog integrated circuits: Robert ory system. This was the first work- Wahlstrom who added some data Widlar. These two issues of the SSCS ing DRAM. It is possible that Texas missing from that piece. It was his are going to stay on my bookshelf Instruments had some prototype patent, US 3,678,473 on a pioneer- indefinitely. working at the time, but they were ing DRAM that was in due course quick to the Shell patents, as owned by Shell Oil. Its date sug- Sincerely, were all other DRAM manufacturers. gests that his work was close to, but Bruce J. Tesch It is interesting how easily one’s independent of, the idea published Senior Member of Technical contribution to the technology is by Karl Stein. So credit for the use Staff forgotten unless you blow your own of a balanced divided bit line Maxim Integrated Products horn. Case in point, my Programma- should probably be divided ble Logic Array US Patent #3,473,160 between them. issued Oct. 14, 1969 entitled “Elec- He also noted his pioneering To the Editor tronically Controlled Microelectronic work on programmable logic arrays. Cellular Logic Array.” At the time This inspired me to remember that Dear Sirs, (December 11, 1967), my concept very early work in the mid – 1960’s was published in Electronics Maga- by Peter Noble also likely anticipat- In R. C. Foss’s article in the SSCS zine under the title “Programmable ed subsequent patents on PLA’s. News, winter 2008, he refers to an logic arrays- Cheaper by the mil- article by Stein of Siemens regarding lions.” Peter’s work was done as a Post Divided Bit Lines of a DRAM ampli- In the late 1980’s, Ross H. Graduate student at Imperial Col- fier. This article apparently was Freeman (Co-founder of Xilinx) lege (University of London), and his referring to my patent US 3,678,473 applied for a patent on Programma- design was built by Plessey in P- filed in June 4, 1970 and issued in ble Logic Arrays. Referring to more Channel metal gate and published July 18, 1972. Mr. Stein’s paper was than 20 previously issued patents in an obscure journal, whose name presented in 1972, apparently based from 1965 to 1977, he neglected to I do not remember! He was a on my patent. It is important to note mention my patent, which would in remarkable contributor. Chiefly I that practically all DRAM’s to date all probability have stopped recall that he headed up an opto- have used the concept of my patent. issuance of the Xilinx patent at the electronic group in the late ‘60’s that As a curiosity, I would like to time. produced a 72 x 5 array of photo- mention that Stanford Research Yours truly diode cells with PMOS access Institute acquired a contract from Sven E. Wahlstrom devices. The chip used double Shell Oil Develop Company for 2251 Mill Creek Rd metal and was 0.4 inches long. As developing a special computer Ukiah, CA 95482 our wafer size was 1.5” diameter, based on my presumed invention of Tel. 707-463-5500. yield was extraordinarily low. In a the DRAM memory. I actually pre- [email protected] good month, we made one! I recall sented the idea in negotiations with 2/24/2008 the production total needed was 15 Shell. When the patent was applied {!} as it replaced a vidicon and a rack for, it was discovered that R. H. full of electronics. Happy days! Dennard’s patent had just been To the Editor issued. Yours truly, The development contract was Dear Sirs, R.C. Foss signed and my development team, 1286 Rideau Cove Court which included members of Shell, Following my piece (DRAM: A per- Ottawa SRI and American Micro Systems sonal View) in the IEEE SSCS News, K1V1R8 Canada Inc., proceeded to design the mem- I received an e-mail from Sven 15 February, 2007

Spring 2008 IEEE SSCS NEWS 27 sscs_NLspring08.qxd 3/26/08 9:45 AM Page 28

CONFERENCES ISSCC 2008 Plenary Talks Spotlight Futuristic Consumer Electronics Buxton and Muller Presentations Recapped and Illustrated

Bill Buxton - Surface Computing Surface computing is characterized by large flat displays, typically that are touch sensitive. They can be mounted on the wall, but I spoke about them as interactive tables, and used some of my earlier work at the University of Toronto and Microsoft's Surface Computer.

Images from a mobile phone on the surface. ground for understanding amongst both people and digital devices, with the net result that there is a signif- icant decrease in complexity and increase in usefulness. Bill Buxton at work at the University of Toronto. This is illustrated in the images from a mobile phone. “The real voyage of discovery consists not in seeking new landscapes but in having new eyes.” Bill Buxton, quoting Marcel Proust at the ISSCC Plenary Session, 2008.

Key to all of this is the ability of the presence, posi- tion, identity and functionality of the tangible devices to be sensed by each other and especially by the sur- face. What this means is a whole new layer of pro- tocol, with special needs, amongst the “society of devices” that will make up the technological eco-sys- tem of tomorrow. Microsoft’s Surface Computer. In getting this right, there are a lot of challenges. As there are all kinds of technological issues that will accel- This emerging class of computational device is erated or impede the realization of this potential, the goal being enabled by new developments in large inex- of my talk was to introduce these issues of usage to the pensive display technologies. They will typically be ISSCC, and hopefully encourage the ISSC community to integrated into our work and living environments, consider them in thinking about what to do next. much like furniture or art on the wall. Tangible or graspable computing has to do with graspable devices, whose form factor (shape, feel, Mike Muller - Embedded Processing at the Heart of etc.) tells us both what they are and how to use them. Life and Style Cameras and mobile phones could be examples, but ARM CTO Mike Muller commented on the challenges a degenerate case might be your mouse (in interact- facing embedded processor designers as technology ing with your computer, you don't expect sound to penetrates every day life. The following summarizes come out of it, or to speak into it, for example, even some of the issues identified; his full paper includes though you know that it is for controlling your PC). clear direction to the solutions. What I discussed is what happens when these two Introduction technologies meet - when the tangible device can be From pacemakers through mobile phones to passen- placed on the interactive surface, and in so doing, ger jets, most people deal with electronic devices become a kind of control device. And, where the sur- empowered by embedded processor cores, every day, face can make visible the operation and interactions without a second thought. This penetration into with and among the various tangible devices on its sur- everyday lives across such a broad range of applica- face. In a way, a kind of figure-ground relationship tions requires the embedded core developer to work emerges, where the Surface establishes a common in close partnership with many of the world’s leading

28 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:45 AM Page 29

CONFERENCES

semiconductor companies and OEMs, demanding Among other things, intelligent control will be used close attention to technology trends, from fundamen- to lower the power consumption of consumer devices. tal technology up to application requirements. New-generation washing machines will consume 70%[2] less energy than today’s appliances, through the rotor Diverse Products and Markets control of permanent-magnet synchronous motors. Three-phase brushless motors are 10 times more effi- cient than conventional single-phase induction motors,

Archos AV400 Nintendo DS-Lite JVC Camcoder Alfa Romeo Brera &149 but they are infrequently used, partly because they PMP ARM9 ARM7TDMI® ARM9 & ARM7 Sharp IT-32X2 ARM9™ & ARM7™ LCD Television require a complex field-oriented control algorithm to manage them. Intelligent consumer appliances will automatically control their own standby operations. Nokia N95 Ford Sync ARM11 ARM1136 Samsung Camcorder The world’s 2.3 billion mobile phones can be kept LEGO ARM9 ™ Sony PEG-Vz90 Clie charged with approximately 100 megawatts of elec- ARM7 Personal Digital Assistant Netgear Wireless-N Router ARM9 tricity, which is equivalent to the output of two large Apple iPhone wind-farms. In contrast, the world’s one billion per- Toshiba Gigabeat Samsung Blu-Ray DVD Toshiba 52HM84 ARM1136J-S™ [3] ARM926EJ-S™ + JTEK S/W 52” DLP Television sonal computers are on for nine hours per day ,

M Muller ARM ISSCC 2008 requiring 95,000 megawatts of electricity or the approximate output of 114 large coal-fired (835- In the trade-off between performance, power, and megawatt) power plants. die area, there is no right answer, as each application has its own requirements. A diagnostic device that The necessity of Low Power passes through the intestines may require 7 to 10 hours of battery life, while a pacemaker may require years; power consumption for an airbag deployment circuit, on the other hand, is not so critical, but fail- ure-free performance is literally lifesaving.

Consumers Mature with the Market Consumers are becoming more concerned about the ƒ World’s 2.3Bn mobile phones ƒ World’s 1Bn PCs are on for can be kept charged with 9 hours* per day requiring environment as they mature and this is changing leg- 100 Mega-Watts 95,000 Mega-Watts islation and behavior. It is expected that green legis- ƒ Equivalent to 2 large wind-farms ƒ Equivalent to 114 large coal-fired (835MW) power plants

lation will increase the cost of energy, and the OECD * US PCs are on for 9.2 hours per day - www.itfacts.biz

estimates that we will need to invest up to 150 billion M Muller ARM ISSCC 2008 dollars each year[1] if we are to be successful in tack- ling environmental problems. This will happen through a combination of measures, such as carbon Manufacturing Processes Evolve, but Challenges pricing taxation and trading, mandated energy ratings Increase on electrical goods, and the banning of energy-ineffi- Manufacturing issues are increasingly influencing con- cient conventional technologies such as incandescent verter architectural choices. Previously, in porting light bulbs, as has been done in Australia. The appli- semiconductor processes to a new node, the new cation of existing technologies, and the development implementation would consume less power and be of new technologies to address these constraints, will cheaper, smaller, and faster. Currently, in the case of fuel growth in the semiconductor market. a design optimized for a general 90nm process being ported to a new 65nm process, there is a choice between a generic (65G) and a low-power (65LP) Low Power Through Intelligent Control process. Both scale from the 90nm process, and the 65G process shows the traditional improvements in ƒ Intelligent washing machines will save 70% energy over today’s appliances energy per operation of 30% and an increase in fre- ƒ Rotor control of permanent magnet synchronous motors quency of 60%, but leakage increases by 25%. The ƒ Intelligent consumer appliances 65LP process can improve leakage by 98% with a ƒ UK DTV/STB are being re-programmed to turn themselves off at night modest power saving of 7%, but is 10% slower than ƒ Reduce UK electricity bills by $15 million and 2 the older 90nm process. This means that it is possible reduce CO emissions by 32,000 tonnes a year to retain the original design and suffer the increased ƒ Intelligent light control systems in offices use 40% less power than conventional light switches leakage when porting to 65G; but porting to 65LP ƒ Three-phase brushless motors are 10x more almost certainly requires a new design, as the process efficient than AC induction motors is slower. In this case, the micro-architecture might Require complex field-oriented control algorithm ƒ need to be redesigned, or the design replicated to

M Muller ARM ISSCC 2008 recover the lost operational performance while enjoy- ing the improved leakage performance. The top image is an intelligent washing machine; Geometric scaling will continue beyond 65nm, but the 2nd image from the top is an intelligent set top box; scaling of performance and power are slowing. In the the third image down is a light switch. past, standard voltage scaling relied on reducing

Spring 2008 IEEE SSCS NEWS 29 sscs_NLspring08.qxd 3/26/08 9:45 AM Page 30

CONFERENCES

Memory gets Larger; SoC solutions become Com- plex Heterogenous Systems An inconvenient choice While the process roadmap may be faltering in deliv- Processes come in flavors ering improvements in both active and static power and performance, the good news is that area improve- ments will continue to deliver larger memories, although at a slowing rate, and with memory being the primary enabler for software. Product differentiation has now switched from hardware to software. However, system complexity is heterogeneous, and high K? dual gate? high K? dual gate? distinctions can be drawn between control-plane and data-plane computation. Control-plane problems in Either higher performance or higher energy efficiency: not both many consumer devices are associated with large operating systems – such as those developed by M Muller ARM ISSCC 2008 Microsoft, Symbian, and the Linux community – and the applications they run – from Web browsers to operating voltage (and inherently Vt) as oxide thick- voice recognition and 3D games. Data-plane prob- ness was also scaled. The thinner oxide leads to lems encompass 3D- graphics rendering, high-defini- increased leakage. In order to compensate, Vt is kept tion video encode and decode, and high-speed wire- higher than the scaled value, and the devices are opti- less modems. SoC solutions are now complex hetero- mised to achieve better performance. The high Vt geneous systems comprising many sub-systems. A keeps VDD from being lowered as much as would be high-end mobile phone now contains up to five desirable for optimal power. processors [4], and even a hard-disc drive contains The combination of all of these effects with ever- two. increasing transistor densities will be a challenge for low-power systems that may only be solved with sys- Multicore becoming Many-Core tem-level solutions. As multicore solutions scale, the programming para- digms and hardware efficiencies for maintaining a coherent memory system begin to fail, and we move The system challenge from today’s 2 to 4 multicore systems to 32 to 64 mul- ticores and on to many-core. Many-core is not about Design solving small problems faster, but solving big prob- The right system, with the right ARM ƒ Cortex A8 components, efficiency, flexibility, and lems, such as natural language comprehension, with Application performance speech I/O, natural language translation, graphics ARM ARM Implementation Cortex R4 Cortex R4 indistinguishable from reality, unstructured search, Protocol ƒ Within acceptable energy and yield envelopes and indexing. If we have had solutions for these Controller Debug Sys Ctrl ƒ Without breaking the verification budget Radio PE Task AR1DE core

support applications, we have lacked the necessary imagina- Interrupt Controller Programming L1 Mem DMA tion to propose them; but others will not. I/O

AMBA 3 AMBA To preserve the software investment Debug Sys Ctrl ƒ DMA Radio PE Without overly constraining platform Task AR1DE core

support ƒ L2 Mem choice L1 Mem ...DMA Physical layer signal processing layer Physical ... Now repeat at the Chip Level M Muller ARM ISSCC 2008

5-10K Lines 250-500K Lines of F/W of Control 50-100K Code Lines of Video Protocol F/W Whatever transistor is used, leakage management is TV Display PC MPEG Decode a significant challenge that must be addressed. While Processing Core Over 2M Lines >100K Lines of Application there are modest global optimisations to minimise of Appl S/W leakage using dynamic voltage scaling, completely S/W 20-50K Lines 250-300K of Protocol Lines of DSP turning off power to a circuit with power gating is the F/W F/W Wireless OFDM xDSL best way to control leakage. However, state retention Modem Baseband Signal Processor Up to 2M 5-10K Processor requires special flip flops with either an area penalty Lines of Lines of needed by the retention flip flop or a reload-energy Microcode Network S/W cost for external memory. The question then becomes Nearly five million lines of code to enable Media gateway

when to power up and down, and how to do this M Muller ARM ISSCC 2008 quickly. Power gating is always a tradeoff between sleep/wake-up times and leakage savings. State save- and-restore may cost more energy than is saved dur- ing sleep, and the latency introduced may violate real- Many-core will not be without costs. We accept a time constraints. The in-rush current at switch-on may four-times slowdown to write in high-level (HL) lan- corrupt storage. Balancing these is clearly a system guages, using sequential paradigms that are not sym- level problem combining software, micro-architec- pathetic to the hardware, and perhaps a factor of 10 ture, and circuit solutions. or more for Java, .NET, Perl, and similar languages.

30 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:45 AM Page 31

CONFERENCES

Many people have built clusters of clusters of con- computing revolution, CMOS may need to cede its ventional multicore processors with limited success, dominance to new materials if we are to achieve the and it appears that building thread-rich software will next revolution in intelligent consumer devices. cost another factor of four, again, mostly because of a paradigm gap between the software and the hard- References: ware. To close this paradigm gap may need architec- [1] Stern Review: Economics for Climate Change 2007, tures that could be slower than existing processors. www.hmtreasury.gov.uk/independent_reviews/ste This leads us to need as many as eight new proces- rn_review_economics_climate_change/stern_ sors to replace one old processor. Systems beyond review_report.cfm 1,000 cores would then yield the benefits that a new [2] EDN Europe 6th June 2007 Issy-les-Moulineaux programming paradigm could offer. cedex Paris, France. [3] www.itfacts.biz Alternative to CMOS in Future Consumer Devices? [4] www.businessweek.com/technology/content/ Just as vacuum tubes gave way to CMOS to enable the jul2007/tc2007072_9 57316_page_2.htm

ISSCC REPLAY ON DEMAND Too Tight A Personal Schedule? Recalcitrant Management? So you missed ISSCC this year!

Now ISSCC offers the second best thing to being personal subscription by going to www.isscc.org. there: ISSCC REPLAY ON DEMAND. All regular The 2008 version also includes access to similar papers from the 2008 Conference have been record- recordings for the 2007 Conference. The cost for a ed, including all presented visuals, the cursor overlay personal subscription is $200. The ISSCC web site and movement; and the speaker audio. includes a demo which you can view before pur- The audio quality is excellent. You may view and chasing a subscription. listen to any regular paper as many times as you wish The International Solid-State Circuits Conference is for up to two years. This is particularly advantageous recognized as the foremost global forum for presen- where English is a second language for either the lis- tations on advances in solid-state circuits and systems- tener or the speaker. ISSCC REPLAY ON DEMAND on-a-chip. The conference offers a unique opportuni- also greatly augments the information available ty for engineers working at the cutting edge of IC through Xplore and the Conference Digest of Techni- design to review in one setting approximately 250 of cal Papers. the highest quality technical papers available. The 2008 ISSCC REPLAY ON DEMAND will be available in June of this year. You may purchase your David Pricer, Executive Director ISSCC

Classic Books and New Releases Share Best-Seller Status at ISSCC 2008 Niknejad’s “Electromagnetics for High-Speed Analog and Digital Communication Cir- cuits” and Books by Best, Pan-Samaddar and Maloberti are “Two-Year Phenomena” Katherine Olstein, SSCS Administrator, [email protected]

According to the publishers offering ISSCC browsers a Dr. Julie Lancashire, Engineering Publisher, recalled, “I relaxing diversion from the hectic tempo of the con- spent the rest of the exhibition in 2007 taking orders for ference, classic books and new titles captured equal the book and fending off people who desperately want- attention in 2008, while a volume by Ali Niknejad and ed my last copy.” She attributes its popularity to the fact three other books continued to be run-away success- that electromagnetics is becoming increasingly important es for a second year. as circuits get smaller, faster and more efficient. “The Niknejad book meets a clear need, and it is written by a “Two-Year Phenomena” very well known authority in high-speed circuit design,” An instant attraction that sold out within hours in 2007, Elec- she said. “The book helps circuit engineers understand tromagnetics for High-Speed Analog and Digital Com- electromagnetics in the context of circuits (whereas most munication Circuits, by Ali M. Niknejad remained the of them will probably have learned it in a more abstract, number-one seller in 2008 for Cambridge University Press. less-practically relevant fashion back at university).”

Spring 2008 IEEE SSCS NEWS 31 sscs_NLspring08.qxd 3/26/08 9:45 AM Page 32

CONFERENCES

Electromagnetics for High- Charge Pump Circuit Design, Speed Analog and Digital by Feng Pan & Tapan Samaddar Communication Circuits, by (McGraw-Hill Professional, 2006) Ali M. Niknejad (Cambridge USD 99.95, ISBN: 007147045X / University Press, 2007) USD 9780071470452, Hardcover, 247 85.00, ISBN-13:9780521853507, pages. Hardcover, 464 pages. www.cambridge.org

Three other books that sold briskly for a second year Charge Pump Circuit Design “explains the differ- at ISSCC were: ent architectures and requirements for an efficient charge pump design and explaining each step in detail” Phase Locked Loops 6/e, by with abudant hands-on design information, potential Roland E. Best (McGraw-Hill pitfalls to avoid, and practical ideas harnessed from the Professional, 2007) USD 89.95, authors' extensive experience designing charge pumps. ISBN-0071493751 / 9780071493758, Hardcover, Data Converters, by Franco 448 pages. Maloberti (Springer, 2007), www.books.mcgraw-hill.com XVI, USD 99.00, ISBN: 978-0- 387-32485-2, Hardcover, 440 pages. www.springer.com

McGraw-Hill characterizes Phase Locked Loops, 6th edition as “an essential tool for achieving cutting- edge PLL design, filled with the latest PLL advances,” including new chapters on frequency synthesis, PLLs, According to Springer, Maloberti’s Data Converters is and a new collection of sample communications a comprehensive, graduate-level textbook meant to sup- applications. port teaching and self-learning analog-digital interfaces at many levels: the specification, the conversion methods and architectures, the circuit design and the testing. Examples and end-of-chapter problems are included.

Top Selling New Titles Focus on Switch-Mode Power Supplies, mm-Wave Silicon, and Memory

Switch Mode Power Sup- Nonvolatile Memory Tech- plies: SPICE Simulations and nologies with Emphasis on Practical Designs, Flash: A Comprehensive Christophe P. Basso (McGraw- Guide to Understanding and Hill, 2008) USD 99.95, Using Flash Memory Devices, ISBN0071508589 Joe Brewer and Manzur Gill, /9780071508582, Hardcover. Eds., (Wiley, 2008) USD 135.00 www.books.mcgraw-hill.com ISBN: 978-0-471-77002-2 Hardcover, 759 pages. www.wiley.com

mm-Wave Silicon Technolo- Memory Systems - Cache, gy-60 GHz and Beyond, DRAM, Disk, by Bruce Jacob, Ali M. Niknejad, Hossein Hashe- Spencer Ng and David Wang mi, Eds., (Springer, 2008) USD (Elsevier, 2008) USD 99.95, 129.00, ISBN: 978-0-387-76558-7. ISBN: 978-0-12-379751-3900, XII, Hardcover, 303 pages. Hardcover, 900 pages. www.springer.com www.elsevierdirect.com

32 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:45 AM Page 33

CONFERENCES

Switch-Mode Power Supplies: SPICE Simulations and applications. and Practical Designs, by Christophe P. Basso, was Elsevier’s Memory Systems - Cache, DRAM, Disk-- McGraw-Hill’s top seller this year. The volume, as intended for practicing engineers in microarchitecture described on the publisher’s website, is a “compre- and computer system design, embedded system design, hensive resource on using SPICE as a power conver- low power design as well as graduate students in sion design companion. Invaluable to both the gradu- advanced computer architecture -- “tells you everything ating student and the experienced design engineer, this you need to know about the logical design and opera- guide explains how to derive founding equations of tion, physical design and operation, performance char- the most popular converters, design safe, reliable con- acteristics and resulting design trade-offs, and the ener- verters through numerous practical examples and uti- gy consumption of modern memory hierarchies, and lize SPICE simulations to virtually breadboard a con- tackles the challenging optimization problems that result verter on the PC before using the soldering iron.” The from the side-effects that can appear at any point in the book “uniquely bridges analysis and market reality to entire hierarchy.” teach the development and marketing of state-of-the art switching converters.” Classics by Baker and Sansen Also published in 2008, mm-Wave Silicon Technol- Analog Design Essentials by Willy Sansen was ogy – 6OGHz and Beyond by Ali M. Nikejad sold sec- Springer’s number one best seller for a third straight ond-best for Springer at the Conference. According to year, while CMOS: Circuit Design, Layout, and the publisher’s representative, people came to look for Simulation, 2nd edition by R. Jacob Baker was a this book due to the several sessions and tutorial on the fourth-year top seller for Wiley at the IEEE Registra- subject that were offered in the program. tion desk and in the conference book room. Com- Written for RF/Micowave engineers and graduate mentary on Sansen’s book in the SSCS News of March students, Springer states that it “provides in depth cov- 2006, and a review of Baker’s in the SSCS News of erage of advanced silicon processing technologies October 2004, may be accessed from the SSCS including CMOS and SiGe as well as modeling of Newsletter Archive. active and passive devices on silicon at millimeter waves. It also provides coverage of mm-wave circuit Analog Design Essentials, The building blocks such as low noise amplifiers, mixers, Springer International Series in voltage controlled oscillators, frequency dividers, and Engineering and Computer Sci- power amplifiers that are suitable for integration in sil- ence, Vol. 859, by Willy Sansen icon, with additional information on highly integrated (Springer, 2nd printing, 2006) mm-wave transceiver architectures, including several USD 99.00, ISBN: 978-0-387- silicon-based case studies and advanced topics such as 25746-4, Hardcover, 780 pages. antenna arrays and beam-forming on silicon.” www.springer.com Beginning with fundamental technology scaling and device-level changes that have allowed mm-wave silicon performance, the text then covers critical front- end mm-wave building blocks that achieve high gain, low noise, high power, and good dynamic range in low voltage silicon technology. The book culminates with the discussion of phased-array systems capable CMOS: Circuit Design, Lay- of beam forming and exploiting spatial diversity for out, and Simulation, 2nd increased throughput or range. Edition, by R. Jacob Baker Written as a general reference, Nonvolatile Mem- (Wiley-IEEE Press, 2004) USD ory Technologies with Emphasis on Flash is 99.95, ISBN: 978-0-471-70055- meant to serve as a supplemental text for undergrad- 5, Hardcover, 1080 pages. uate and graduate courses on nonvolatile memory www.wiley.com and as a resource for engineers, technical managers, and other sophisticated practitioners. Wiley describes this guide to flash memory as an all-inclusive treatment of Flash technology, includ- ing Flash memory chips, Flash embedded in logic, binary cell Flash, and multilevel cell Flash. Com- Other top selling books at ISSCC 2008 were: prised of thirteen succinct chapters authored by pio- neers in the field, the book begins with a brief tuto- Cambridge University Press www.cambridge.org rial of elementary concepts to orient readers who Integrated Frequency Synthesizers for Wireless are less familiar with the subject. Next, it builds Systems, by Andrea Leonardo Lacaita, Salvatore Lev- upon this foundation to cover all aspects and varia- antino and Carlo Samori (2007) USD 120.00, ISBN-13: tions of Flash technology at a mature engineering 9780521863155,Hardback, 238 Pages. level: basic device structures, principles of opera- tion, related process technologies, circuit design, The Design of CMOS Radio-Frequency Integrated overall design tradeoffs, device testing, reliability, Circuits, 2nd Edition, by Thomas H. Lee (2003) USD

Spring 2008 IEEE SSCS NEWS 33 sscs_NLspring08.qxd 3/26/08 9:46 AM Page 34

CONFERENCES

85.00, ISBN-13: 9780521835398 | ISBN-10: 0521835399, An Introduction to Mixed-Signal IC Test and Mea- Hardback, 816 pages. surement, by Mark Burns and Gordon W. Roberts (2000) ISBN13: 9780195140163ISBN10: 0195140168 Elsevier www.books.elsevier.com USD 139.00, Hardback, 704 pages. Sketching User Experiences: Getting the Design Right and the Right Design, by Bill Buxton (2007) SPICE Second Edition, by Gordon Roberts and Adel ISBN: 978-0-12-374037-3, USD 49.95, 448 pages. Sedra (1996) ISBN13: 9780195108422ISBN10: 0195108426, USD 46.95, Paper, 464 pages. VLSI Test Principles and Architectures - Design for Testability, by Laung-Terng Wang, Cheng-Wen Wiley www.wiley.com Wu and Xiaoqing Wen (2006) ISBN: 978-0-12- All-Digital Frequency Synthesizer in Deep-Submi- 370597-6 USD 67.95, Hardcover, 808 pages. cron CMOS, by Robert B. Staszewski and Poras T. Balsara (2006) ISBN: 978-0-471-77255-2, USD 116.95, Oxford www.oup.com Hardcover, 261 pages. Design of Analog Filters, by Rolf Schaumann and Mac E. Van Valkenburg (2001) Wireless LAN Radios: System Definition to Tran- ISBN13: 9780195118773ISBN10: 0195118774 USD sistor Design, by Arya Behzad (2007) ISBN: 978-0- 132.00, Hardback, 752 pages. 471-70964-0, USD 79.95, Hardcover, 241 pages.

Family Expectations Spawn Successful Careers Arati Prabhakar Keynotes 2nd ISSCC Luncheon For Women In Solid-State Circuits Katherine Olstein, SSCS Administrator, [email protected]

“When I was growing up, my mom regularly started sentences with ‘When you get your Ph.D.’ It wasn’t a joke but a literal expectation and, for me, a very pos- itive thing.” The personal comments and outstanding creden- tials of Arati Prabhakar, a General Partner at US Ven- ture Partners in Menlo Park, CA, held audience atten- tion at the 2008 ISSCC Luncheon for Women in Solid- State Circuits. Terri Fiez, the organizer and moderator of the event said, “Arati Prabhakar provides an extraordinary example of what women can be. We are trying to bring the younger generation up to her level. That is our whole goal.” As an Indian woman growing up in Texas, Arati learned to celebrate, rather than dislike, the fact that she was different, said Fiez. “She knew herself well, she recognized what she could bring, and she figured The ISSCC-sponsored luncheon for women in solid-state out how to marshal her strengths to be successful.” circuits aims to create a community for career support and Audiences at events like the ISSCC women’s luncheon a network for expanding the employment of women and are always interested in how to have a family and a minorities in industry. career, Fiez said. About work-personal life balance, Dr. Prabhakar imagined staying there for the rest of my life,” she remarked, ”The only useful advice I’ve ever heard is said. “My mother had picked up in her early 30’s that you have to marry the right person – someone and moved her family from India. There was very who shares your perspective about building your life little I was going to do that could be more chal- together, someone who believes in you and wants to lenging than that.” see your professional success take the shape you Dr. Fiez, who is Director of the School of Electrical want it to take. Every professional couple deals with Engineering and Computer Science at Oregon State the challenges that this brings. But unless it starts with University, described the inspiration and purpose of some basic shared view, it doesn’t converge. It’s pret- the women’s luncheon in a telephone interview. ty obvious, but nothing else matters,” not only for “You go into a talk; there are several hundred atten- women but also for men, she said. dees, and maybe one woman other than yourself. At Prabhakar also noted “a sense of boundlessness” this gathering, you find almost 70 women.” Many are in her perspective as a young person. “Although we amazed to find that there are so many women at the had moved to Texas when I was a child, I never conference, she said. The ISSCC luncheon for women

34 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:46 AM Page 35

CONFERENCES

“It’s important for women in solid-state circuits to connect and recognize that they are not alone in their profession.” Terri Fiez, Women in Solid-State Circuits Luncheon Coordinator

in solid-state circuits supports women in pursuing conductor manufacturing opportunities. She also serves their careers and going out into the work force, while on the boards of Kilopass, Kleer, Lightspeed Logic, Piv- simultaneously serving the goal of increasing groups otal Systems, and SiBeam, and served previously on the under-represented in industry – women and minori- board of Leadis Technology. ties, said Fiez. From 1986 – 1993, Dr. Prabhakar was a Program “Last year, it was a little awkward,” she recalled. Manager and then a Director of the Microelectron- “We had to work to get the attendees to talk to each ics Technology Office of DARPA (the US Defense other because there wasn’t a really a network in place. Advanced Research Projects Agency), where she This year it had a different feel. Women came in; they supported R&D in company and university labs in might have recognized someone from before; they semiconductor manufacturing, imaging, optoelec- were re-acquainting.” The ISSCC-sponsored luncheon tronics and nanoelectronics. In 1993, President for women in solid-state circuits attracted a multi- Clinton appointed her Director of the National national group predominately of employed profes- Institute of Standards and Technology, where she sionals, with the remaining quarter graduate students. led a 3,000 person staff until 1997, subsequently joining Raychem as Senior Vice President and Chief Arati Prabhakar is a General Technology Officer. Afterward, she became Vice Partner at US Venture Partners President and then President of Interval Research of Menlo Park, CA, which she Corporation. joined in 2001 after 15 years of Dr. Prabhakar began her career as a Congres- working with world-class engi- sional fellow at the Office of Technology Assess- neers and scientists across many ment. She received a B.S. E. E. from Texas Tech fields to brew new technolo- University and M. S. and Ph.D. degrees from the gies. Her focus at USVP is fab- California Institute of Technology. She is a Fellow less semiconductor and semi- of the IEEE. 2007 Update DVD Needs Foundations Disk Reminder for SSCS Members Who Attended ISSCC 2008 Are you an SSCS member who picked up the Society b) VLSI Symposium on Circuits Archive DVD Update for 2007 included in your regis- c) CICC Custom Integrated Circuits Conference tration at February’s ISSCC? d) ESSCIRC European Solid-State Circuits Conference The Solid-State Circuits Digital Archive Update 2007 e) A-SSCC Asian Solid-State Circuits Conference was bundled with ISSCC 2008 registration fees for SSCS members only. The 2007 Update disk includes Didn’t attend the ISSCC? articles from 2001 to 2007. The Foundation articles Others members interested in the DVD 2-disk set are from 1955 through 2000 are on a Foundation DVD advised to consult the IEEE store [www.ieee.org/ that was issued last year. go/shop_search] and search on the IEEE Product Numbers listed below: How to Get the Foundations Disk Title IEEE No. If you need a Foundation disk please send an email Foundations (1955-2000) JD28106 requesting the Foundation Disk to Katherine Olstein Solid-State Circuits Digital Archive 2006 [email protected] with your member number and complete postal address. The Foundation Disks will Update 2007 (2001-2007) JD28107 be mailed to requesters in May. Solid-State Circuits Digital Archive Update 2007 The DVD pair includes all the articles of the IEEE Journal of Solid-State Circuits, plus five conferences: If you subscribed to the 2007 Update at renewal, the a) ISSCC International Solid-State Circuits Conference DVD was mailed in February. SSCS-Seoul Chapter was Local Organizing Committee for A-SSCC 2007 IEEE SSCS/EDS Seoul hosted the third Asian Solid- Jeju, Korea. Professor Chong-Min Kyung, the General State Circuits Conference (A-SSCC 2007) on Novem- Chair of A-SSCC 2007, gave the welcoming address. ber 12th to 14th on the beautiful island seashore of Professor Kwang Sub Yoon, the Local Organizing

Spring 2008 IEEE SSCS NEWS 35 sscs_NLspring08.qxd 3/26/08 9:46 AM Page 36

CONFERENCES

Committee Chair and SSCS/EDS Seoul Chapter Chair, served as master of ceremonies. Held in Taiwan in 2005, in 2006, and Korea in 2007, the conference takes place each year in a region with rapidly growing IC research, production and sales to provide unique opportunities for design experts and leaders in technology and business to gather in Asia to exchange ideas and information. Some technical papers from the A-SSCC will be printed in a special edition of JSSC. The best three Student Design Contest papers were presented at ISSCC 2008. Prof. Kyung Chong-Min gave the welcoming address at A-SSCC 2007. Prof. Kwang Sub Yoon (at left) was master of ceremonies.

Members of the A-SSCC 2007 steering committee gathered in Jeju island. From top left, Prof. Jerry Jou, Prof. SungHwan Cho, Dr. Koichiro Mashiko, Prof. Sung Min Park, Prof. Kwang Sub Yoon, Prof. Andy Chung, Prof. Ikeda, Prof. Un-Ku Moon, anonymous attendee, Prof. Van der Spiegel, Dr. Hirata. From bottom left, Prof. Chen, Prof. Asada, Dr. Shimohigashi, Prof. Chong-Min Kyung, Prof. Sansen, Prof. C-K Wang, Prof. Hoi-Jun Yoo, Prof. Z. Wang, Prof. Sakurai, Prof. Kuroda, anony- mous attendee. 2008 Symposium on VLSI Circuits in Honolulu on 18-20 June

From left to right: Masayuki Mizuno, Program Co Chair, Stephen Kosonocky, General Chair, Kazuo Yano, General Co-Chair, Katsu Nakamura, Program Chair.

The 22nd Annual International Symposium on VLSI the Symposium will offer three days of technical pre- Circuits will be held on June 18-20th, 2008 at the sentations and informal evening rump sessions on Hilton Hawaiian Village, Honolulu, Hawaii. This year VLSI circuit design. New for this year, the VLSI Circuit

36 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:46 AM Page 37

CONFERENCES

Symposium will overlap the VLSI Technology Sympo- making inroads into the enterprise sector of stor- sium by two full days. The two overlap days between age and into niche applications, will the continued technology and circuits symposia will allow registrants scaling of SSD cost and capacity enable silicon to to attend papers from either symposium, providing a take center stage in storage? unique opportunity to learn about the latest advances in both VLSI circuits and technology, and to interact (2) Photons vs. Electrons – Which will Win and and exchange ideas with people from both symposia. When? (the ongoing race for short distance high The International Symposium on VLSI Circuits has speed data rate connectivity) established itself as a major international forum for presenting and exchanging important ideas and new This session discusses the utilization of copper and developments in VLSI circuit design. The scope of the optical interconnects for high-speed chip-to-chip inter- Symposium covers all aspects of VLSI circuits, includ- faces, along with the emergence of new disruptive ing digital, processors, signal processing, analog & technologies. The panel focuses on high-speed short- mixed signal RF circuits, volatile and non-volatile range and medium-range data connectivity and issues memory circuits as well as circuits for novel technolo- regarding the design of transceivers for these systems, gies. The circuit innovations to be presented at this as well as the roadmap of IOs for future high-per- Symposium will form the foundation for future devel- formance/low-power/ small-form-factor systems. opments and advances in the semiconductor industry. Contributions to the Symposium come from both industry and academia from around the world. Both Special Feature: Joint Rump Session (Technology the Circuit and Technology symposiums attract well and Circuits) over 1000 combined attendees from all over the world. There is also a joint rump session sponsored by mem- This year, the technical program committee reviewed bers of both the Circuits and Technology committees. 410 submissions to the Circuits Symposium and chose This year’s topic is “Ten Years After – Has SOI finally 84 papers for presentation and publication at the Circuits Arrived?” organized by Gunther Lehman, Infineon and Symposium in addition to 78 papers to be presented at Kazutami Arimoto, Renesas. the Technology Symposium. Paper selections were Two Short Courses based on technical quality and impact to the design The first, organized by John Barth of IBM and Masao community, with representation from industrial and aca- Ito of Renesas, is entitled “Embedded Memory demic institutions from around the world, and represent Design.” This Short Course includes talks by experts the latest circuit design concepts for digital, memory, in the field. analog, wireless, and wireline applications. Considering The second Short Course, organized by Tom Kwan the changing technological demands, we hope you will of Broadcom and Koichi Nose of NEC, is “Embedded attend and participate in the technical sessions, rump Power Management Circuits and Systems.” Both short sessions and short courses promising lively and courses will be held on Wednesday, June 17. informative discussions of leading-edge concepts. They are a condensed one-day course intended to give attendees an excellent overview of the topic as well as to Invited Speakers provide the latest developments in the area. This is a rare Invited papers are always the pinnacle of the Sympo- opportunity to hear timely presentations given by recog- sium, focusing on both technical and business impli- nized leading practitioners and researchers who teach oth- cations of technological changes, presented by aca- ers to do what they do best. demic and industry leaders. This year we plan to have two distinguished invited speakers; the first presenta- About the Venue tion by Anantha Chandrakasan of MIT on “Next Gen- The Hilton Hawaiian Village Beach Resort & Spa, eration Micro-power Systems” and the second by located on Waikiki’s widest stretch of beach, features Kunio Uchiyama of Hitachi, Ltd, on “Power-Efficient lush tropical gardens, waterfalls and exotic wildlife. Heterogeneous Parallelism for Digital Convergence.” For general information on the hotel, please go to Hilton Hawaiian Village. For travel planning, visit the Rump Sessions Evening rump sessions are organized around contro- Hawaii Visitors and Convention Bureau. You can also versial topics and experts are invited to present diver- find useful information for planning your travel by vis- gent views. All aspects of the controversy are iting the Honolulu International Airport. explored, and a spirited discussion ensues; active audience participation is encouraged! This year the For questions about hotel reservations contact: Circuits Symposium rump session topics are: HILTON HAWAIIAN VILLAGE 2005 Kalia Road, Honolulu, Hawaii 96815, USA (1) The Future of Silicon Storage – Can Solid State Telephone: 808-949-4321 Technologies Take Center Stage? Fax: 1-808-947-7898

With the market for storage class memories pro- For registration and other information, visit the VLSI jected to exceed 500 Exabytes* by 2012, and flash Symposia home page at: www.vlsisymposium.org or see and DDR based solid-state drives (SSD) already more contact information in the SSCS Events Calendar.

Spring 2008 IEEE SSCS NEWS 37 sscs_NLspring08.qxd 3/26/08 9:46 AM Page 38

CONFERENCES IEEE Radio Frequency Integrated Circuits Symposium (RFIC) to Open Microwave Week 2008 in Atlanta Jenshan Lin, Tina Quach & Yann Deval RFIC General Chair RFIC Technical Program Chairs

The IEEE Radio Frequency Integrated Circuits (RFIC) talk entitled “First Pass System Success - When First Symposium will return to Atlanta, Georgia on June 15- Pass Silicon Success is Not Enough.“ 17, 2008 to open Microwave Week 2008 -- the largest Abstract: Advances in the performance and world-wide RF/Microwave meeting of the year. Held in accuracy of design automation software and elec- conjunction with the IEEE MTT-S International tromagnetic modeling have enabled RFIC design- Microwave Symposium and the International ers to apply their skills to achieve first pass silicon Microwave Symposium and Exhibit, RFIC adds to the success for complex mixed-signal radio circuits. excitement of Microwave Week with three days focused Now, coupling between circuit simulation and exclusively on RFIC technology and innovation. parameterized electromagnetics allows them to As activity in RFIC technologies has grown, the include detailed analysis of packaging and printed number of papers submitted to the Symposium has circuit board parasitic coupling to track system reached an all-time high. Our Technical Program Com- performance. A silicon vendor may produce a mittee carefully reviewed and selected excellent wireless SoC that performs flawlessly at the pack- papers for 27 oral sessions, an Interactive Forum, and aged part level. Once that part is placed on a sys- two panel sessions covering nearly all facets of RFIC tem PCB, the complex interactions among traces technology on Monday and Tuesday, June 16th and on the board, the coupled impedances between 17th. The symposium will also offer 12 workshops and package pins and the PCB, and nonlinear effects in tutorials on Sunday, June 15th. the circuit itself can combine to generate spurious On Sunday evening, a Plenary Session will be held radiation and corrupt signal/power integrity. These with keynote addresses given by two renowned undesired effects can be predicted by applying full industry leaders: electromagnetic simulation of the package and The first speaker, Robert Van board in concert with a top-level transient or har- Buskirk (President, Multi-market monic balance simulation at the circuit level. We Products Group, RFMD, Inc.), will present an overview of key simulation technolo- present a talk entitled “Best of Both gies and discuss how they can be applied to Worlds: Multi-market Diversity achieve first pass system success for complex elec- Embedded in a Scale RF Semicon- tronic products. ductor Business.” The three best student paper awards will be Abstract: Many RF semiconduc- presented in the Plenary Session. Immediately tor companies seek a balance between scale-driven, after the Plenary Session, the highly anticipated high volume business demands and more diverse, RFIC Reception will provide a relaxing time for all lower volume business requirements. Successful RF to mingle with old friends and catch up on the lat- companies can manage these potentially conflicting est news. business goals and realize significant advantages With an exciting technical program focusing on through the balance of scale and multi-market technical accomplishments in RF systems, circuit, diversity. Using the acquisition of Sirenza Microde- device and packaging technologies for mobile vices by RFMD in November 2007 as a platform to phones, wireless communication systems, broadband discuss this balance, the significant competitive access modems, radar systems and intelligent trans- advantages for a multi-market business embedded port systems, the RFIC Symposium continues to build in a scale RF business will be addressed. This talk upon its heritage as one of the foremost IEEE techni- will explore the benefits of these cal conferences dedicated to the latest innovations in unique competitive advantages and RFIC development of wireless and wire line commu- give concrete examples of how nication IC’s. growth can be accelerated by lever- On behalf of the RFIC Technical Program Commit- aging the “Best of Both Worlds.” tee, we look forward to seeing you at the 2008 RFIC The second speaker, Dr. Zoltan J. Symposium in Atlanta. Cendes (Founder, Chairman and More information may be found at www. CTO, Ansoft Corporation), will give a rfic2008.org/.

38 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:46 AM Page 39

CONFERENCES Hot Chips 2008 Will Meet on 24-26 August 20th Annual Conference on the Stanford University Campus

Since it started in 1989, HOT CHIPS has been known - FPGAs and FPGA Based Systems as one of the semiconductor industry's leading confer- - Display Technology ences, highlighting high-performance microprocessors * Application-Specific / Embedded Processors and related integrated circuits. It is perceived by lead- - Systems-on-Chip ing manufacturers as a major venue for the unveiling - Mobile Phone of new architectures and advanced digital products. - Digital Signal Processing Held once a year in August at Stanford University - Network/Security in the center of Silicon Valley, the world's capital of - Graphics/Multimedia/Game electronics activity, the conference emphasizes real * Software products and realizable technology. Topics of special - Compiler technology interest this year include: - Operating System/Chip Interaction * General Purpose Processor Chips - Performance Evaluation - Low-Power * Other Technologies - High-Performance - Advanced Packaging Technology - Multi-Core, Multi-Processor Technologies: - Reliability and Design for Test interconnect, programming models, - Advanced Semiconductor Process Tech- , runtime systems nology * Other Chips Presentation slides from the conference will be pub- - Novel Technology: Quantum Computing, lished in the HOT CHIPS Proceedings. A select group Nano-Structures, Micro-Arrays of authors will be invited to submit their papers for - Low-power chips/Dynamic Power Management inclusion in a special issue of IEEE Micro. - Communication/Networking - Chipsets Don Draper, General Chair, - Wireless LAN/Wireless WAN Hot Chips 20 ISLPED Moves to Asia in 2008 International Symposium on Low Power Electronics to Meet in Bangalore on 11-13 August

Located in Asia for the first time this year, the Inter- The International Symposium on Low Power Elec- national Symposium on Low Power Electronics tronics and Design (ISLPED) is the premier forum for (ISLPED) will take place in Bangalore -- India's Silicon recent advances in all aspects of low power design Valley -- on the campus of one of the country’s pre- and technologies, ranging from process and circuit mier graduate schools, the Indian Institute of Science. technologies, simulation and synthesis tools, to sys- The program, comprised of two concurrent tracks on tem level design and optimization. ISLPED is co- circuit and system aspects, will offer a unique oppor- sponsored by the IEEE Solid-State Circuits Society. tunity for identifying synergies between circuit, sys- The three day event from 11-13 August is one not tem, and application designers in meeting power to miss. More information is available at budgets. There will also be invited keynote addresses www.islped.org/. by leaders from industry and academia, as well as panel sessions. Vojin Oklobdzija, Technical Program Chair

Spring 2008 IEEE SSCS NEWS 39 sscs_NLspring08.qxd 3/26/08 9:46 AM Page 40

CHAPTERS SSCS-Montreal Receives Outstanding Chapter Award for 2007

he Montreal Chapter of SSCS was honored to be the win- Tner of the 2007 Outstanding Chapter Award announced at ISSCC in February, 2008. Founded in 1999, SSCS-Montreal includes over forty SSCS members and hun- dreds of students, and spans sever- al industrial companies and univer- sities in the greater Montreal area. The Montreal Chapter credits its success to the interest of its mem- bers in remaining up-to-date with the latest technological achieve- ments and breakthroughs in the design of solid-state circuits.

Invaluable Support from the Microsystems Research Alliance of Quebec The co-sponsorship of Montreal SSCS President Willy Sansen (right) presented SSCS-Montreal Chapter Co-Chair, chapter activities by the Microsys- Anas Hamoui with the Society’s Outstanding Chapter of the Year award for tems Research Alliance of Quebec 2007 during the Plenary session of the ISSCC on Monday, 4 February 2008. The (ReSMiQ) has been key in enabling annual award recognizes an outstanding record of consistent leadership and initiative in organizing activities that have contributed to the growth and vital- the organization to enjoy a broad ity of the Solid-State Circuits Society. range of activities. ReSMIQ com- prises 39 researchers from seven Quebec universities working in the rial to SSCS members who are unable annual financial support and for area of integrated microsystems. to attend SSCS conferences. In addi- giving us the opportunity to estab- ReSMIQ has provided financial and tion, the Montreal Chapter and lish an SSCS Chapter in Montreal. logistic support to the Montreal ReSMIQ have co-sponsored several Chapter. More importantly, ReSMIQ conferences in Montreal, including members have supported the Mon- the international IEEE NEWCAS Con- treal Chapter by helping to organize ference (held annually in the and participating in the Chapter’s province of Quebec) and, most activities. The achievements of the recently, the 2007 IEEE MWCAS and Montreal Chapter are the result of the 2007 IEEE BioCAS Conferences. the support of ReSMiQ members. We believe that the key for man- We feel very indebted to all of them aging an effective SSCS Chapter is for their help and support. to create and sustain interest and Prof. Mohamad Sawan (left) with Prof. enthusiasm for custom solid-state Activities Focus on Networking Anas Hamoui. circuits, through serving the learn- and Education ing needs of current Chapter mem- SSCS-Montreal aims at raising the bers and attracting new members, Prof. Anas Hamoui profile of SSC engineers within the especially students and engineers. Dept. of Electrical & Computer local industrial and academic com- Montreal Chapter members have Engineering munities. Towards this aim, the Chap- expressed increasing interest in the McGill University ter has striven to organize at least one seminars and short-courses co- [email protected] monthly activity for its members. The organized by the Montreal Chapter diligence of Chapter officers and the and ReSMIQ. Therefore, this will be Prof. Mohamad Sawan “charm” of the city of Montreal have an area of increasing chapter focus. Dept. of Electrical Engineering helped to attract a large number of We would like to thank all the Ecole Polytechnique de Montréal SSCS distinguished lecturers and SSCS distinguished lecturers for [email protected] many other delegates from both SSCS their generosity and time to visit the and CASS. Moreover, the Montreal Montreal Chapter. Finally, we Co-Chairs of the SSCS Montreal Chapter organizes meetings to pro- would like to thank SSCS for its Chapter vide the latest information and mate-

40 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:46 AM Page 41

CHAPTERS 3rd Annual Austin Conference on Integrated Systems & Circuits (ACISC) Meeting in “The Silicon Hills of the USA” on May 7-9, 2008

he 3rd Annual Austin Con- ties on the UT campus for our utive officer and is a member of ference on Integrated Sys- monthly Chapter functions. The the board of directors. Necip tems & Circuits (ACISC) will Chapter also benefits by collabo- joined Silicon Laboratories in Sep- T rating with the UT Engineering tember 2005, and he brings to the bring together people with a mutual interest in presenting, Department, with IEEE SSC and company a strong mix of deep learning, and debating the hot CAS Distinguished Lecturers, and technical expertise in mixed-signal issues facing the semiconductor with the University's VLSI Sympo- technologies and a proven capabil- industry. The event, to be held sium Series. ity to manage and grow large, this year at the UT-Austin Com- As the University of Texas Engi- diversified businesses. mons Conference Center on the J. neering Department has reached J. Jake Pickle Research Campus of out to the Austin technical com- Dr. Jason Rhode, The University of Texas at Austin, munity, it finds a young, but eager President and CEO, on 7-9 May 2008 will serve as a SSC & CAS Chapter committed to Cirrus Logic. local forum for the dissemination the same. Our Chapter strives to Dr. Jason Rhode of technical information and pull the Austin semiconductor received Ph.D. and advances in electronic technology community out of its cubicles to M.S. degrees in elec- at chip, board and system levels, come together to enlighten, edu- trical engineering covering design, CAD methodolo- cate, and maybe even collaborate. from North Carolina gy, and testing. The Chapter is a clearinghouse for State University and holds a B.S. ACISC strives to combine the the achievements, progress, and degree in electrical engineering natural blend of The University of research of academia and industry from San Diego State University. A Texas' excellence in education throughout Central Texas. The member of IEEE, Rhode has been with the thriving semiconductor ACISC conference is a key element issued 19 U.S. patents in the area industry in Texas, especially in this endeavor. of mixed-signal technologies. In around Austin. This past year, Michael Senin- 2007 he was named Cirrus Logic's While Austin may be better gen, Chapter Chair of the SSC&CAS President and Chief Executive Offi- known as “The Live Music Capital Central Texas Section of the IEEE, cer. Dr. Rhode took the top posi- of the World,” the “Silicon Hills” of was honored to be invited to tion at Cirrus at a particularly chal- the USA is a hotbed of electronic become a member of the ACISC lenging time. He will discuss his design with most of the world's Steering Committee and will Chair vision for the future of the semi- major semiconductor companies the Digital Section for the 2008 conductor industry. situated in or around the immedi- ACISC conference. Former Chapter The Tutorials will include: ate area. Vice-Chair, Matt Felder, has served “Out of Order Super- Consistently ranking high on on the ACISC’s Program Committee scalar Architecture,” lists of best places to live, work, since the conference’s inception. Dr. Derek Chiou, and play, Austin has been named The ACISC Conference will be University of Texas one of America’s best vacation buoyed by two excellent Keynote at Austin. destinations, most wireless, envi- Speakers as well as several com- Dr. Derek Chiou ronmentally-aware, pet-friendly, plementary tutorial sessions pre- received his Ph.D., hi-tech city, and a top place for sented by leading experts in their S.M. and S.B. degrees businesses. fields. from the Electrical Engineering and The Central Texas Section's Joint The Keynote Speakers will be: Computer Science Department at Chapter of the Solid-State Circuits the Massachusetts Institute of Tech- & Circuits and Systems Societies Dr. Necip Sayiner, nology. There he was a member of has been affiliated with ACISC President, CEO, and the Computation Structures Group. since the conference’s inception. Board Member, He was an architect of the StarT-Voy- Thanks to the relationships formed Silicon Laboratories. ager machine as well as the StarT-NG between our Chapter Officers and Dr. Necip Sayiner machine. After completing his Ph.D., the UT Engineering Faculty, the serves as Silicon Dr. Chiou became a system architect Chapter enjoys access to state of Laboratories’ presi- at Avici Systems. Prof. Chiou is now the art multi-media meeting facili- dent and chief exec- a professor at the University of

Spring 2008 IEEE SSCS NEWS 41 sscs_NLspring08.qxd 3/26/08 9:46 AM Page 42

CHAPTERS

Texas at Austin. He is interested in worst case analysis, design opti- he was a Design computer architecture, parallel mization and circuit simulation. Engineer/Design computer architecture, Internet He joined the IBM Austin Manager/Principal router architecture and computer Research Laboratory in January Engineer at Cirrus engineering. 1996, where he is presently man- Logic. Dr. Thomsen aging the tools and technology was also an Assis- “Holistic Coupling of Manufac- department, which is focused on tant Professor at the turing and Design,” design/technology coupling and University of Alaba- Sani Nassif, includes activities in model to ma, Huntsville. Dr. Thomsen IBM. hardware matching, simulation received his Ph.D. in Electrical Dr. Sani R. Nassif and modeling, physical design, Engineering from Georgia Tech. received his Ph.D. statistical modeling, statistical Andreas Kuhlmann, Cadence from Carnegie-Mel- technology characterization and Berkeley Lab, will also present a lon University in similar areas. tutorial. the 1980’s. He More information about ACISC worked for ten “Fractional-N PLL,” may be obtained at www.acisc.org/. years at Bell Laboratories on vari- Axel Thomsen, ous aspects of design and tech- Silicon Labs. Mike Seningen, SSCS-Central nology coupling including device Dr. Axel Thomsen is a Design Texas Chapter Chair, modeling, parameter extraction, Manager at Silicon Labs. Previously [email protected]

New York EDS/SSCS IEEE Chapter Formed in October 2007 Aims to Link Academics and Industrial Researchers in the NY Metropolitan Area

discussion among several contiguous section affiliation or selected for broad appeal to both colleagues at Columbia Uni- moved their mailing addresses to the device and circuits communities, versity and the IBM T. J. Wat- change their section to New York. and the event concluded with a A The chapter was promptly reception. In addition to inviting son Research Center earlier this year led to the conclusion that a joint approved in the fall of 2007 by the New York section members and EDS/SSCS chapter would be the IEEE EDS and SSCS societies and student chapters, we also advertised best formula for developing a regional activity board. At our first the event in neighboring sections stronger connection between the organizational meeting, John that do not have SSCS chapters. Kymissis () academic and industrial research Kick-Off Seminars to Comple- communities in the New York met- was elected as chapter Chair, Gen ment Local Technical Events ropolitan area. Joint chapter activi- Pei (AMD) as EDS Vice-Chair and Initial chapter activities will focus on ties would offer an opportunity to Peter Kinget (Columbia University) a series of seminars with overlap- foster collaborations and cross fertil- as SSCS Vice-Chair. ping appeal to the local SSCS and ization of ideas among the large ED community that will comple- number of researchers there in Inaugural Gathering at Columbia ment technical events held by other these closely linked fields. University in March societies and IEEE units in the New After an organizational meeting Our inaugural event on March 3, York metropolitan area. These including Durga Misra of NJIT, the 2008 featured two IEEE distin- events will provide technical enrich- IEEE chapter petition process guished lectures: Jan Rabaey (UC ment for members, opportunities for received support from academic Berkeley) who spoke on “Scaling the chapter’s organizers to learn and industrial researchers, as well the Power Wall” and Juin Liou (Uni- more about the specific interests of as from engineers in several small- versity of Central Florida) whose local members, and networking er local companies. The resources talk was entitled “Advanced Electro- opportunities for all attendees. provided by IEEE through the local static Discharge (ESD) Protection in Section, and national SSCS chapter BiCMOS/CMOS Technologies.” Jan support, were key enablers in Van der Spiegel (Univesity of Penn- Chapter Meetings to Migrate achieving this goal. sylvania & IEEE SSCS Chapters within the Greater New York Area A helpful strategy was to insure Committee Chair) offered the IEEE Because the New York section cov- that members working in the SSCS welcome, while David Weiss ers a large geographical area region but commuting from neigh- ( Transit Authority & including Westchester County, boring areas were qualified to sign IEEE New York Section Chair) pre- Rockland County, and the five bor- the chapter formation petition. sented the New York section wel- oughs of New York City, the chap- Several members either applied for come. The lecture topics were ter plans to hold half of its meetings in Westchester and half in New

42 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:47 AM Page 43

CHAPTERS

John Kymissis Peter Kinget Gen Pei (AMD), (Columbia University), (Columbia University), New York Chapter, New York Chapter New York Chapter ED Vice-Chair Chair SSCS Vice-Chair

York City. This will allow more tions of our members. Our web- they wish to sponsor, and also to cross-fertilization for the two com- page is at edssscs.googlepages.org. coordinating events with members munities, which tend only to meet You can send us email at edsss- and chapters from neighboring sec- at national conventions despite [email protected] with requests to join tions with similar interests. their close geographical proximity. our mailing list or with any sugges- We hope to maintain a vibrant tions or questions you might have. John Kymissis, Chapter Chair, New York chapter that is respon- We look forward to hearing from March 2008 sive to the interests and sugges- more members who have events SSCS-Israel Revitalized in Collaboration with IEEE MTT and AP Societies Joint Conference on Microwave, Communication, Antenna and Electronic Systems (COMCAS) Will Debut in May Dr. Mark Ruberto, IEEE-SSCS Chapter Chair, [email protected]; David Gidony, [email protected]; Dr. Miki Moyal, [email protected]

ue to the many large multina- annual SSCS-Israel chapter event at tionals with R&D centers in May 13-14, 2008 COMCAS. We also plan to organize Israel like Intel, Freescale, several SSCS chapter-sponsored lec- D tures at local universities in Israel Motorola, and IBM, as well as a large number of startups and fabless design during the coming year. centers, a lot of work in solid-state cir- Mark Ruberto received cuits is going on. his Ph.D. degree in Electri- In parallel, the activities of the cal Engineering from IEEE COMCAS 2008 Columbia University in Israel Chapter of the IEEE MTT The International IEEE Conference on Microwaves, Communications, Antennas and Electronic Systems (Microwave Theory and Tech- New York in 1991 and Hilton Tel-Aviv, Israel, May 13-14, 2008 worked as a postdoctoral niques) Society and the IEEE AP nical areas together in one venue, (Antennas and Propagation) Soci- research scientist at the Technion in but also to capitalize on the confer- Haifa, Israel in the area of microwave ety have been particularly success- ence infrastructure achievable with ful due to the wireless boom. Last optoelectronics. After 10 years as an the cooperation of the six IEEE RF and Microwave design engineer in year, their joint annual meeting in societies to organize a world-class, Israel drew over 500 participants. various Israeli companies, he joined mega-event in Tel Aviv. This is the Intel in 2003 where he designed RF first year that the IEEE conference New Multidisciplinary COMCAS CMOS circuits deployed in four gen- in Israel is “international,” with the erations of Wi-Fi radio transceiver Conference in Tel Aviv to be official language being in English. World-Class Mega Event chips for Intel’s Centrino product plat- To revitalize the IEEE SSCS-Israel Majority of COMCAS Papers Submit- forms. Currently, he leads the product development team in millimeterwave chapter, which has been compara- ted for the SSCS Technical Session CMOS circuit/package design for tively inactive for the past few years, After a Call for Papers was issued last WPAN applications. His areas of inter- its members recently “joined forces” December, the number of contribu- est include MMWAVE CMOS circuit with the IEEE MTT and AP societies tors to COMCAS for the SSCS techni- design, RF CMOS reliability, and RF to create the new multidisciplinary cal session was overwhelming, both radio sub-system architectures. He conference called COMCAS (Confer- from industry and academia, with authored over 40 technical papers, ence on Microwave, Communication, 80% of the papers from Israel and holds two patents, and is a Senior Antenna and Electronic Systems), to 20% from Europe, the U.S., and Brazil. Member of the IEEE. be held in Tel Aviv, Israel on May 13- The number of SSCS papers submit- David Gidony was 14, 2008. Other societies such as IEEE ted to COMCAS was nearly twice that born in Tehran, Iran. He Communications, Electromagnetic of the other participating societies. received his B.Sc. and Compatibility, and Aerospace Elec- For more technical information M.Sc. degrees from the tronic Systems are also participating. please see www.comcas.org or Electrical Engineering We expect 750-1000 to attend. contact [email protected]. Department of Tech- The idea is not only to bring In the future, we plan to hold the engineers from many different tech- nion—Israel Institute of Technolo-

Spring 2008 IEEE SSCS NEWS 43 sscs_NLspring08.qxd 3/26/08 9:47 AM Page 44

CHAPTERS

gy, Haifa, Israel, in 1998 and 2003, Born in Tel Aviv Israel, 99, he was with Siemens AG (later respectively. He served in the Israel Michael Moyal received Infineon Technologies) as a senior Air Force, in the Airborne Electron- the B.S. degree in Mathe- manager of the department of ISDN ics section. He has worked at matics and Physics from and xDSL transceivers. He has pub- Visonic, Ltd., Tel-Aviv, Israel, in the the University of Oregon, lished seven papers in international Analog R&D Department, as well Eugene in 1982, the MSEE journals and has 18 patents. Dr. as in the VLSI Department, IBM, from Oregon State University Corval- Moyal is a senior member of IEEE Haifa, Israel. Mr. Gidony is present- lis, and his Ph.D. from Universität Der and a Founder of Xignal. He served ly leader of the Analog design Bundeswehr Munich. In 1982-85 and on its Board and as CTO from 1999- group of the Mobility Wireless 1988-95, he was with the Communi- 2004, then as Chief Scientist. He is on Group, Intel, Haifa, Israel. His cation Product Division of Advanced the Technical Wireline Committee of research interests are digital, RF Micro Devices (AMD) as a Depart- the ISSCC and a Senior Adjunct Lec- and cellular communications, satel- ment Manager of SLIC/SLAC analog turer at the Technion (2006). Since lite, implementation of analog cir- line cards. From 1985-1988, he was 2005, he has been with Intel as CTO cuits and other topics that advance with IXYS designing smart DMOS of the LAN Access Division develop- world-wide communications. and Control IC’s. From 1995- ing fast analog transceivers.

F. Svelto Presents Short Course on CMOS Wireless Receiver Design in Hsinchu and Taipei IEEE SSCS Taipei Chapter he demand for low-cost and vided an overview of wireless high-quality wireless commu- receivers. Prof. Svelto began with nications has motivated plen- an introduction to several popular T receiver architectures and key per- tiful research on RFIC design using CMOS technologies. While CMOS formance parameters of a wireless RFIC has proven feasible for various receiver. He then described the applications, many design chal- design principles of two important lenges remain to be addressed by receiver front-end circuits: low- innovative architectures and circuit noise amplifier (LNA) and mixer. techniques. In the second part of his lecture, In light of the increasing impor- Prof. Svelto focused on circuit tance of this area, SSCS-Taipei design details, beginning with invited Prof. Francesco Svelto of several techniques that realize the Department of Electrical Engi- high IIP2 mixers. Next he neering, University of Pavia, Italy explained multi-band LNA design to give a two-day short course on and its application to multi-stan- the subject of CMOS RFIC design. Prof. Francesco Svelto of the Universi- dard receiver systems and dis- Entitled “Wireless Receiver Archi- ty of Pavia presenting his lecture on cussed several design examples. tectures and Front-end Design in CMOS Wireless Receiver Design at The lecture included a good mix CMOS,” it took place on 12-13 National Chiao-Tung University, of theoretical and practical design December, 2007 in Hsinchu and Hsinchu on 12 December, 2007. knowledge and was well- Taipei, respectively. Approximately received by the attendees. Many 75 participants attended the pro- by an SSCS Extra Chapter Subsidy. said it was valuable to their grams, which were underwritten The first part of the course pro- research and work.

After Prof. Svelto’s lecture at National Taiwan University, Taipei on 13 December, 2007.

44 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:47 AM Page 45

CHAPTERS SSCS-Seoul Hosts DVD Replay of ISSCC 2007 Short Courses Celebrates 10th Chapter Anniversary Prof. Jeongjin Roh, Hanyang University, Korea, [email protected] More than 50 engineers, profes- nologies. Since conventional formance and power consumption sors, and students from the Seoul switched-capacitor Delta-Sigma dramatically improve on the one area attended a DVD replay of the ADCs need special techniques in hand, but difficulties arise in ISSCC 2007 short courses at Korea nanometer technologies because implementing traditional phase- University on December 7, 2007. of reduced supply voltages, the locked loop architectures on the These lectures presented the funda- ADCs are being investigated con- other. The speaker presented mental limitations of nanometer tinuous-time (CT) implementations recently developed solutions that CMOS and state-of-the-art circuit of Delta-Sigma for telecommunica- are usable in nanometer CMOS and system-level techniques. tion applications. processes. After the short course replay, Abstract: Continuous-time ADCs Abstract: Frequency Synthesizers the members of SSCS-Seoul cele- brated the chapter's 10th anniver- in Nanometer CMOS in Nanometer CMOS Professor M. Steyaert - Katholieke Dr. R. B. Staszewski - Texas Instru- sary. For the past 10 years, the Universiteit Leuven (Belgium) ments (USA) Seoul Chapter has held five to Delta-Sigma ADCs have been very Frequency synthesizers are cur- ten meetings every year, hosting popular for applications requiring rently an integral part of digital, several distinguished lectures, high-accuracy because their per- mixed-signal, and RF System-on- short course replays, local work- formances are robust with respect Chip solutions. As CMOS process- shops, and a number of technical to the non-idealities of CMOS tech- es scale down, raw transistor per- conferences.

SSCS-Seoul Chapter Chair Kwang Sub Yoon (center, front) with the engineers, professors and students who attended the DVD replay of the ISSCC 2007 short courses at Korea University in December. Santa Clara Valley Chapter Sponsors RFIC Course he goal of our Chapter is to organized 10 technical meetings. In able to assemble a superb team of contribute to the professional March we celebrated our Chapter’s RFIC designers, each an expert in fulfillment and advancement 10th Anniversary! And, on October his field. T 15 and 16, 2007 we organized a We set up a web site for easy of our IEEE Santa Clara Valley SSCS members. We strive to serve their very successful RFIC Design Course, sign-up. We used our regular tech- needs by organizing technical meet- attended by over 70 people! nical meeting location, grace to ings and events on advanced topics In line with our philosophy, I National Semiconductor support. I in solid-state circuits design. invited experts from the industry to believe this made the attendance 2007 was a very good year for lecture in our RFIC Course. With more convenient. Six lecturers our Chapter activity again: We persuasion (and some luck!) I was were return speakers! They were

Spring 2008 IEEE SSCS NEWS 45 sscs_NLspring08.qxd 3/26/08 9:47 AM Page 46

CHAPTERS

Dr. Masoud Zargari, Director of Analog Design, Atheros Communications in Dr. Alon Konchitsky, CEO and Chair- Dr. Alireza Shirvani, RF Design Manag- Santa Clara, CA (left) lectured on “LNA man of Noise Free, Inc. (left) present- er, Marvell (left) presented “RF Power Design.” He has taught at Stanford. ed “Wireless Standards Evolution,” a Amplifiers.” He has authored a book The presnter is SCV officer Dan Oprica. short lecture. He is an expert on this on this subject. topic. joined by two new speakers, eties and a fervent supporter of IEEE diplomas as contributors to Alireza Shirvani and Alon Konchit- our local IEEE Chapters. our Chapter activity for all the sky, members of our chapter. In last lecture of the first day Dr. lecturers; Chapter Web Master We preferred to organize the Derek Shaeffer, RF Engineering Perry Chow took care of distribu- course in two sessions on consec- Manager at BECEEM made a thor- tion of the Course Proceedings utive days, rather than on a week- ough, systematic presentation of through email. end. Each day we had three lec- “PLL Design Essentials for Wireless It is my great pleasure to thank tures of 50 minutes and a short lec- Systems,” very well received. He our distinguished lecturers for vol- ture of 20 minutes. has authored a book on RF design. unteering their time to make our Below is the complete schedule Tuesday, October 16 2007, 6pm event a great success. On a rela- of the course. – 9pm tively short notice, they prepared Monday, October 15 2007, 6pm Dr. Narendra Rao of Maxim Inte- extraordinary lectures on topics I – 9pm grated Products lectured on “Inte- solicited from them! Allen Podell, IEEE Life Fellow, grated Continuous-Time Filters for We thank National Semiconduc- President Podell Associates lec- RF Applications”. He is an expert tor for their steadfast support to tured on “Noise in RF Amplifiers”. in filters and equalizers. our Chapter activity, providing the Allen, an expert in RF design and Each lecture was followed by a conference room and the audio- an eminent educator, made his vivid and illuminating session of video accommodations. third presentation to our Chapter. question and answers. I personally thank the team of He has lectured to us in our early I mention here that I benefited volunteers who helped me on all days and also recently. from competent suggestions by organizing activities. J.L. Julian Tham, Vice President Dr. David Su, Vice President of Final thanks go to our course RF and Mixed Signal Design, Analog Design in Atheros, Stan- attendants: their participation is the SiPORT presented “Transceivers ford University Associate Profes- reward for our volunteer activity! Architecture” (short lecture). He is sor and a great supporter of our an expert in RFIC design. Chapter. The tireless contribution Dan Oprica In his presentation, Professor of a team of volunteers was IEEE Santa Clara Valley SSCS Thomas Lee of Stanford University instrumental in organization of Programs Chair; treated the complex subject of the course: Peter Trevor Edwards IEEE Santa Clara Valley “Oscillator Phase Noise”. He is set up the sign-up web site; Kiran ExCom Award Chair; renowned author of many books Gunnam was in charge of all IEEE SSCS 2004 Outstanding on RFIC topics, a PhD mentor and logistics of email traffic and tabu- Chapter Award Winner; an entrepreneur, founder of sever- lation of the participants; Mowen Silicon Valley Engineering al companies. Yang took care of the course reg- Council Education Director; He is also a distinguished lectur- istration; Chapter Chair Stefan [email protected] er of the IEEE SSC and MTT soci- Adrian Gradinaru prepared the SSCS-West Ukraine Joint Chapter: A Twelve Year Retrospective IEEE Pioneer in Eastern Europe with MTT, ED, AP and CPMT Dr. Ihor Isayev, Chapter Chair, [email protected], Dr. Mykhaylo I. Andriychuk, Past Chapter Chair

wing to the initiative of the and the Former Soviet Union (EE- 1995. It was one of the first five MTT, ED, and AP societies FSU), the West Ukraine Joint Chap- chapters in Eastern Europe and the Ofor Aiding Eastern Europe ter was established on January 26, first IEEE chapter in Ukraine. The

46 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:47 AM Page 47

CHAPTERS

Chapter started with 13 IEEE mem- talks for contemporary directions bers, ten from Lviv and three from in many fields of electrical engi- Kharkiv. Prof. Nikolai N. Voitovich neering. Moreover, thanks to the (Pidstryhach Institute of Applied availability of IEEE publications, Problems of Mechanics and Mathe- Chapter members traditionally pre- matics, NASU, Lviv) was the first pare educational courses for the Chapter Chair. students of the National University In 1997, thanks to the efforts of “Lviv Polytechnic.” Prof. Nikolai N. Voitovich and the initiative of Prof. Ralph W. Wyn- International Conferences drum, who was CPMT President at According to its Major Goals of Activ- that time, the Chapter was aug- ity, the Chapter has organized or co- mented by five new members after organized a series of International joining the CPMT Society. In 1999, scientific conferences, namely five new Solid-State Circuits Soci- • International Seminar/Workshop ety members were added. As a on Direct and Inverse Problems result, the name of our Chapter is of Electromagnetic and Acoustic IEEE MTT/ED/AP/CPMT/SSC West Wave Theory (DIPED) – annual- Ukraine Chapter. In 1998, a stu- ly since 1995; A discussion at coffee break time at dent group was organized as a part • International Conference on MEMSTECH 2006, reported in the SSCS News of July, 2006 (www.ieee. of the Chapter because of the great Modern Problems of Radio Engi- neering, Telecommunications org/portal/pages/sscs/06July/MEM- interest in scientific and education- STECH_2006.html) al possibilities stimulated by IEEE. and Computer Science (TCSET) – biyearly since 2000; nar/Workshop on Direct and Andriychuk Instrumental in • Regional West Ukraine Student Inverse Problems of Electromag- netic and Acoustic Wave Theory Membership Growth and Student and Young Scientist Conference on Diagnostic Systems and Sig- (DIPED) is a principal event organ- Participation nals (YSC) – once in two years ized by the Chapter together with Dr. Mykhaylo I. Andriychuk served the MTT/ED/AP Georgian Chapter. as Chapter Chair from 2000 till since 2000; • International Conference on the This meeting is held annually on a 2005. A significant growth in regu- rotating basis at the Institute of lar and student memberships result- Experience of Designing and Application of CAD Systems in Applied Problems of Mechanic and ed from his efforts. The IEEE Mathematics, Lviv, Ukraine and National University “Lviv Polytech- Microelectronics (CADSM) - biyearly since 2001; Tbilisi State University, Tbilisi, nic” (NULP) Student Branch and the Georgia. DIPED-2007 was held in IEEE Electron Devices Society Stu- • National University “Lviv Poly- technics” Student Scientific Con- Lviv in September’2007. dent Branch Chapter were estab- The Electron Devices Society lished in 2003 and 2004, respective- ference (Subsection Telecommu- nications) - annually since 2001; provided technical co-sponsorship ly. Starting in 2006, Dr. Ihor Isayev for DIPED-2007; the Seminar Pro- was elected as Chapter Chair and • Regional Conference of Young Scientists “Actual Problems of ceedings were included in the reelected last December. IEEE Conference Publications Pro- Chapter activity is focused on the Mechanics, Mathematics and Electrodynamics” (APMME) - gram. The SSC, MTT, AP, CPMT improvement of co-operation with Societies, and Ukraine Section the IEEE NULP Student Branch and biyearly since 2002; • International Workshop on Actual were among the supporting IEEE the ED-S Student Branch Chapter. institutions. Scientific conferences, shared tech- Problems of Theoretical Electrical Engineering: Science and Didac- 45 papers presented by scien- nical meetings, and social events tists from Georgia, France, Israel, have been organized in the frame- tics (TEESD) –annually since 2003; • International Conference of Poland, Russia, USA, and Ukraine work of such co-operation. were included in the Seminar/ A considerable part of Chapter Young Scientists “Perspective Technologies and Methods in Workshop Program. Reports were funds is used for the financial sup- presented at the 5 following Sec- port of regular and student IEEE Mems Design (MEMSTECH) - annually since 2005. tions: members. In addition, seven of a • Propagation of Electromagnetic total 50 IEEE regular members • International Workshop "Com- putational Problems of Electrical Waves in Wave guides and Non- have received the grade of the homogeneous Media Senior Member, and at least seven Engineering" (CPEE) – biyearly since 2006. • Analytical and Numerical Methods regular members are presently • Inverse Problems ready for this grade. Regional Seminar on Direct and • Antennas and Arrays Bimonthly Technical Meetings Inverse Problems of Electromag- • Acoustics and Signal Processing On a permanent basis, the Chapter netic and Acoustic Wave Theory A report authored by Prof. organizes bimonthly technical (DIPED) 2007 Revaz S. Zaridze named “The meetings where speakers provide The annual International Semi- Internet Network Connection

Spring 2008 IEEE SSCS NEWS 47 sscs_NLspring08.qxd 3/26/08 9:47 AM Page 48

CHAPTERS

through the LinkStar RCST Termi- nal in Georgia,” and another report by Prof. Nikolai N. Voitovich, “Cal- culation of complex impedance coating for the back scattering minimization” on the topic “Wire- less Communications” were the most interesting of those presented at the Plenary Session.

Gogua, Hemour, Litynskyi, Pavlyushina and Yarko Win Best Young Speaker Awards Traditionally, the best papers by young speakers were recognized. Best Young Speaker Awards were won by • Dr. Tamar Gogua (Tbilisi State DIPED-2007 participants at the Closing Session. University, Georgia) for “The Internet Network Connection through the LinkStar RCST Ter- minal in Georgia” • Mr. Simon Hemour (Institute National Polytechnic de Greno- ble, IMEP, Grenoble, France) for “Evaluation of impedance matrix elements for microstrip T-junc- tion discontinuity” • Svyatoslav Litynskyi (Lviv YSC-2007 Plenary Session. National University, Ukraine) for “Laguerre transform and bound- ary elements method in prob- lems of numerical modeling of wave propagation” • Olena Pavlyushina (Donetsk National University, Ukraine) for “Concentration effect of energy normal SH -wave density in the waveguide formed two anisotrop- ic semi-layers joined under an angle” • Kateryna Yarko (Institute of Radioastronomy, NASU, Kharkiv, Ukraine) for “Fast algorithm for solving of the light diffraction problem on planar periodic structures.” Presentation of the YSC-2007 award to Lyudmila Frankevych (Physico-Mechan- The Chapter will organize the ical Institute). XIIIth International Seminar/Work- shop on Direct and Inverse Prob- Mechanical institute of National • DIAGNOSTIC SYSTEMS AND lems of Electromagnetic and Academy of Science of Ukraine, SIGNALS. Acoustic Wave Theory (DIPED- Lviv. Organized by the Young Sci- About 70 papers were presented 2008) together with the MTT/ED/AP entists and Specialists Council and by young scientists from Lviv, Republic of Georgia Chapter at the supported by the IEEE MTT/ Kyiv, Ivano-Frankivsk, Ternopil, Tbilisi State University, Tbilisi, on ED/AP/CPMT/SSC West Ukraine Vinnytsja and Odesa. Their aver- September 22-25, 2008. Chapter, provider “Internet-Ukraine” age age was 25 years. Eight Chap- and the Engineering Centre “Tech- ter members also participated in Young Scientists and Specialists no-Resource,” YSC-2007 was divid- this conference as the members of Council Conference (YSC-2007) ed into three sections the Program Committee, and The open scientific and technical • PROBLEMS CORROSIVE- eleven members as participants. conference of young scientists, YSC- MECHANICAL DESTRUCTION Traditionally, the Program Commit- 2007, was held in the Physico- • SURFACE ENGINEERING tee on behalf of the West Ukraine

48 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:47 AM Page 49

CHAPTERS

Chapter awarded prizes for the technical meetings will be organ- Computer Science (TCSET-2008) most interesting and contemporary ized, and 3 educational courses and for the IX International Work- talks. prepared and presented within shop "Computational Problems of In our schedule for 2008, we our special lecture series for Electrical Engineering," which will plan to bring chapter member- 2007-2008. be held in the National University ship up to a total of 53, with ten The Chapter will participate in “Lviv Polytechnic” in February and supported partially from the and provide financial support for August 2008. Chapter budget. The student the International Symposium on The MTT/ED/AP/CPMT/SSC West group will be increased to reach Modern Problems of Radio Engi- Ukraine Chapter website is www. a total of 25 members. About 20 neering, Telecommunications, and ewh.ieee.org/soc/cpmt/ukraine/. 21 SSCS Chapters Represented at February Meeting in San Francisco Katherine Olstein, SSCS Administrator, [email protected] epresentatives from thirteen SSCS chapters in the Americas Rand Canada attended the Soci- ety’s annual Chapter Chair Lun- cheon and Meeting in San Francisco on 5 February 2008. Another nine represented eight chapters in Europe and the Far East. According to SSCS Membership Committee chair Bruce Hecht, the Solid-State Circuits Society is a “vibrant community” that contin- ues to grow, with recent additions of chapters in New York City and From the Americas - In front, left to right: Pascal Nsame (Green Mountain); Taegu, South Korea bringing the Shahriar Mirabbasi (Vancouver), Dan Oprica (Santa Clara Valley), Willy Sansen, total to 64 local groups. “Over the SSCS President, Jan Van der Spiegel, SSCS Chapters Chair, Bruce Hecht (Boston; past 11 years, Jan Van der Spiegel SSCS Membership Chair), David Gubbins (Oregon State University Student has been a great champion of the Branch). In back, left to right: Gabriel Rincon-Mora (Atlanta), Wilhelmus Van chapters program and a dedicated Noije (South Brazil), Huawen Sin (Dallas), Jacob Rael (Los Angeles), Peter mentor to many chapter leaders. In Kinget (New York), Dustin Dunwell (Toronto), Anas Hamoui (Montreal), Bruce recognition of the dedication and Doyle (Denver). personal warmth which he has contributed to the success of these programs, the AdCom presented Dr. Van der Spiegel with a special award of recognition on behalf of the Society," Hecht noted. The Society provides seed fund- ing and other subsidies to chapters for hosting distinguished lectures and organizing exciting technical chapter meetings, local workshops and conferences, and other educa- tional activities, he said. Presenters at the Chapter Chair meeting were SSCS President Willy Sansen, Chapters Committee Chair From Europe and the Far East - In front, left to right: James Howarth (New Jan Van der Spiegel, Treasurer South Wales, Australia), Kwang Yoon (Seoul), Willy Sansen (Benelux), Jan Van Rakesh Kumar, Membership Com- der Spiegel, Chapters Chair, Akira Matsuzawa (Japan). In back, left to right: mittee Chair Bruce Hecht, Awards Kaveh Hosseini (Ireland), Chulwoo Kim (Seoul), Wei- Chen (Taipei), Andrea Chair Bill Bidermann, Educa- Baschirotto (Italy), Svante Signell (Sweden). tion/DL Program Chair Ken Yang and attendees from the Dallas, tive Director Tim Tredwell also sentative, Jan Craninckx of Denver, Green Mountain, Ireland, spoke. Jonathan David, a founder Benelux, and Mark Hooper, the Santa Clara, Seoul, South Brazil, of the Santa Clara Valley chapter, Vice-Chair of Santa Clara Valley and Taipei chapters. ISSCC Execu- C.K. Wang, SSCS Region 10 Repre- also attended.

Spring 2008 IEEE SSCS NEWS 49 sscs_NLspring08.qxd 3/26/08 9:47 AM Page 50

CHAPTERS

SSCS Region 10 Representative C. K. Wang (right) delivered the Chapter Committee’s certificate of appreciation to Shen-Iuan Liu, past Chair of SSCS- Taipei, “in recognition and appreciation of his out- standing service as Chair of the Taipei Chapter of the IEEE Solid-State Circuits Society 2005-2008.”

Seeking Nominations for IEEE Medals and Recognitions he IEEE Awards Board is seeking nominations for http://www.ieee.org/portal/pages/about/awards/noms/po IEEE Medals and Recognitions and encourages the tnomform.html. Tuse of its online Potential Nominee Form. This form The deadline for submission of an official nom- allows a preliminary review of a nominee by the selection ination form for any of the IEEE Medals and committee and an opportunity to obtain feedback prior to Recognitions is 1 July 2008. For questions con- submitting an official nomination form. The Potential Nom- cerning the Potential Nominee Form, please con- inee Form is available on the IEEE Awards Web Page at tact [email protected].

Editor’s Column continued from page 2

ues to ensure that SSCS News satisfies the highest stan- years. Dr. Kumar received a Ph.D. in Electrical Engi- dards in journalism. Katherine is a member of Phi Beta neering from the University of Rochester. Kappa and received a Ph.D. in Greek and Latin from Richard Jaeger is now Professor Emeritus from the Columbia University. ECE Department at Auburn University in Alabama. He Dr. Kumar is President of TCX Technology Connex- is an IEEE Fellow, Past President of the IEEE Solid-State ions, a consulting services company. He is an IEEE Fel- Circuits Society, a past editor of the IEEE Journal of low and is also CEO of ei2, a fabless product integra- Solid-State Circuits, and and former Program Chair of tion company. Previously he was VP & GM of the ISSCC and the VLSI Circuits Symposium. He received worldwide Silicon Technology Services business unit at the IEEE Computer Society’s Outstanding Contribution Cadence Design Systems and Tality LP. Dr. Kumar has Award, the IEEE Third Millennium Medal, and the IEEE 34 years of industry experience, including work at Education Society McGraw-Hill/Jacob Millman Award. Unisys and Motorola, where he held various technical In 2004, Prof. Jaeger was awarded the IEEE Undergrad- and management positions with increasing responsibil- uate Teaching Award. Prof. Jaeger received the BSEE, ity. He serves as the Treasurer of SSCS and is on the M.E. and Ph.D. in Electrical Engineering from the Uni- Board of Governors of the IEEE Technology Manage- versity of Florida, Gainesville. ment Council. He has chaired and served on the Steer- Please welcome Dr. Olstein, Dr. Kumar, and Prof. ing Committee of the IEEE Custom IC Conference for 14 Jaeger to the editorial staff!

50 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:47 AM Page 51

SSCS NEWS AdCom Endorses Newsletter Conversion to Magazine Supports Chandrakasan as ISSCC Conference Chair in 2010

t its biannual meeting on 3 February, 2008 in San Fran- Acisco, the Solid-State Circuits Society AdCom voted to proceed with Phase I of the process for con- verting the Society’s Newsletter into a Magazine and approved Anantha Chandrasakan and Albert Theuwis- sen for top ISSCC posts.

Magazine to Serve Wider IC Commu- nity via Distribution in IEEE Xplore The AdCom voted to proceed with Phase I of the IEEE process to con- vert the Newsletter into a magazine. SSCS AdCom members who met in San Francisco on 3 February 2008 were: Once a detailed budget is projected Front row from left: Bruce Hecht, Bill Bidermann, Wanda Gass, John C. Corco- by IEEE TAB staff, the Executive ran, Bernhard Boser, Willy Sansen, Richard C. Jaeger, Rakesh Kumar, Jan Van der Committee and Publications Com- Spiegel, David Johns. Middle row from left: Anne O’Neill, Jan Sevenhans, Tom mittees will consider whether the Lee, Ali Hajimiri, Ian Young, Tadahiro Kuroda, Akira Matsuzawa, C. K. Wang, Un- AdCom should proceed with Phase Ku Moon, Terri Fiez, Katherine Olstein. Back row from left: Mehmet Soyuer, Dar- II of the conversion. TAB’s final rin Young, Kevin Kornegay, Paul Hurst, Bram Nauta, Glenn Gulak, H. S. Lee, C. decision will be made during the K. Ken Yang, Henry Chang. IEEE June Board Series and publi- be reported. addition, many design departments cized during the fall member [As of publication date, the SSCS can afford to underwrite only one renewal period. Magazine Phase 1 application had person’s expenses for the confer- been approved by the Technical ence, and overseas firms may have Activities Board, including a pro- restricted travel budgets. Even posal that the nine issues of the those who do attend may benefit newsletter from September 2006 from the virtual conference by through fall 2008 be uploaded to being able to hear sessions that Xplore.] were missed because of schedul- ing conflicts and to listen to pre- sentations more than once for Chandrakasan and Theuwissen improved understanding. Approved for ISSCC Executive Posts Production costs, projected at MIT professor and former SSCS $150K, include on-site AV for Meetings Chair Anantha Chan- audio capture with slides, and on- As a publication available in drakasan was endorsed by the line posting, preferably to IEEE IEEE Xplore, the Solid-State Circuits AdCom to become ISSCC Vice- Xplore; Xplore revenue would Magazine will more effectively Chair for 2009 and Conference accrue, not to ISSCC but, to the reach the worldwide IC community Chair beginning 2010. Albert Society. AdCom’s agreement to and more easily attract top-ranking Theuwissen, a Society Distin- contribute $100K for producing the contributors. Like the quarterly guished Lecturer, was endorsed for virtual conference enabled ISSCC SSCS News, each issue will contin- ISSCC Program Vice-Chair begin- to balance its budget for 2009. ue to be a self-contained resource ning in 2010. “ISSCC Replay on Demand” has for fundamental theories and prac- been launched for 2008. Instruc- tical advances within the field of $100K Appropriated for ISSCC tions for purchasing this service integrated circuits. Articles by lead- Virtual Conference are on page 31 of this issue. ers from industry, academia, and The Society agreed to share some IEEE Xplore continues to archive government explaining historical virtual conference development the Digest paper and presentation milestones, current trends, and costs with ISSCC to record and files, without audio or highlighter future developments will be writ- post a record of ISSCC session pre- tracking. Discussions are underway ten at a tutorial level and often in a senters on-line. The reasons for to make these dynamic audio files narrative style. Local Society activi- this are multiple: Attendance at the available in Xpore, to accompany ties, such as chapter-sponsored Society’s flagship conference in manuscripts. Personalized time- technical meetings and confer- San Francisco is approaching the delayed webcasts of ISSCC ses- ences, and awards will continue to capacity of the hosting hotel; in

Spring 2008 IEEE SSCS NEWS 51 sscs_NLspring08.qxd 3/26/08 9:47 AM Page 52

SSCS NEWS

sions, tutorials and short courses for in 2008, a full day program is the Organic Microelectronics a “virtual conference” are envi- under consideration for 2009. Workshop through 2009; sioned for the future. More details will be available in • technically co-sponsor the fol- Additional ISSCC projects the summer SSCS News. lowing five conferences in 2008- include 2009 • a Far East Initiative undertaken o Design Automatic Conference New IEEE Council on Biometrics by C. K. Wang to grow aware- (DAC) ness, paper submission and Receives Support o Compound Semiconductor IC The AdCom allocated $3K for a attendance at ISSCC from emerg- Symposium (CSICS) new Council on Biometrics, ing areas in the Far East through o Bipolar/BiCMOS Circuits and approved by the IEEE Technical presentations at A-SSCC, CSIA, Technology Meeting (BCTM) Activities Board (TAB) at its Novem- VLSI India and special events in o IEEE Radio Frequency Inte- ber 2007 meeting, and made a com- Taiwan; grated Circuits Symposium mitment to devote $2K to the new • a Student Forum launched by RFIC Council each year after 2008. Anantha Chandrakasan and o IEEE International Conference In additional motions, the Laura Fujino in 2007 to bring on Ultra-Wideband (ICUWB). AdCom voted to together students and professors • continue supporting the Journal at ISSCC through informal pre- Katherine Olstein, of Display Technology for the sentations of research work. SSCS Administrator, next three years; After a second successful event [email protected] • extend financial sponsorship of

CEDA Currents EDA Research Vital to Continued Industry Growth

President’s Message Of course, EDA has used entre- resources that could help establish As the electronic design automa- preneurial startups as a way to a company as an industry leader. tion (EDA) industry evolves and connect research to develop- Cadence Design Systems recently works to heighten its stature and ment—a strategy that has worked made a move in this direction with importance within the semicon- for many years and follows a long- the dedication of Cadence ductor industry, strategic invest- standing Silicon Valley tradition. Research Labs, which is taking ment in research––and not just Nevertheless, tough, real-world over Cadence Berkeley Labs. development––has never been problems remain to be solved, and The yearly Design Automation more imperative. Let me draw a the way to solve them is to apply Conference has proven to be a parallel to the semiconductor theoretical research to practical catalyst for EDA breakthroughs. industry to show why this invest- applications through close partner- Much of the research and industry ment strategy has moved from ship between research and devel- continuity is found at the confer- important to critical. As you know, opment. ence, where a strong technical semiconductor research and pro- The current way of doing busi- program highlights recent devel- cessing began within systems com- ness needs to change for EDA to opments. With a reenergized panies, with some research coming be able to support future design focus on research, more break- from academia. As time went on, requirements. Clearly, an optimiza- throughs would be presented, the industry lived through a host of tion of the entire design flow, from firmly positioning EDA at the mergers, and we saw research in design house specification to the forefront of advancing technolo- silicon processing consolidate processing lines, is needed and is gies. More important, this reener- within the foundries, the largest best achieved through multidisci- gized focus could help spur a systems houses, and academia. plinary industrial laboratories. Such more dynamic economic engine, This research transitioned along laboratories could also coordinate which is urgently needed in the with the processing in a systematic efforts between academia, entre- EDA industry, as well as the rest fashion. preneurial startups, and internal of the semiconductor industry. In By contrast, over the past 25 research to create ingenious com- fact, increased investment and years, EDA has moved from sys- mercial solutions to critical prob- attention in research is already tems houses to a new commercial- lems. The creation of an applied sending an optimistic message ly viable industry, but research has research lab for advanced technol- about the long-term future of not made the complete transition. ogy research under a corporate EDA, but I urge more companies Instead, much of it has been umbrella could be just the place of various sizes and disciplines to picked up by academia, where the for this to occur. make a strategic investment in link to a commercial endeavor is Within such a structure, R&D this future. not as tight or as seamless as it is can be a seamless collaboration of within the semiconductor industry. talent, ideas, information, and Al Dunlop, CEDA President

52 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:47 AM Page 53

Staying current in IEEE Member my work and personal Digital Library interest areas is both Easy. Effi cient. easy and affordable Powerful. Essential. with the IEEE Member Digital Library.

– Wolfgang Kraus IEEE Member

Discover how IEEE information can help fuel your imagination and equip you with the research you need to compete.

Access to all IEEE journals and conference proceedings—over 1.7 million documents

The most affordable access, exclusively for IEEE members— under US$2 per article

Online fi ling cabinet for convenient access to your past research

What are you waiting for? BUY NOW. www.ieee.org/ieeemdl

IEEE Information Driving Innovation

08-PIM-0007-1c-MDL-Kraus-Final.indd 1 3/21/08 3:26:01 PM sscs_NLspring08.qxd 3/26/08 9:48 AM Page 54

SSCS NEWS

An earlier version of this opinion //grc.src.org/fr/S200710_Call.asp. If International Workshop on Power piece appeared in the DACeZine you are at a company that is a and Timing Modeling, Optimiza- newsletter. (Reprinted with permis- member of the SRC consortium, tion and Simulation (PATMOS) sion of DACeZine, a monthly pub- please consider joining as a mentor lication of the Design Automation to any of the ongoing or newly Conference. To be added to the launched efforts. For more informa- 10-12 September 2008 DACeZine mail list, go to tion, please contact William Joyner Lisbon Portugal //links.mkt708.com/servlet/SignUp- ([email protected]) or W. Dale Manuscripts Due: 10 March 2008 Form?f=45503.) If you have any Edwards ([email protected]). //algos.inesc-id.pt/patmos questions or feedback on this topic, please contact Al Dunlop at aldun- Upcoming CEDA Events [email protected]. CEDA currently sponsors or cospon- sors 10 conferences and workshops, SRC GRC Issues CFP plus two additional conferences in International Conference on Very The Computer-Aided Design and which it is in technical cooperation Large Scale Integration (VLSI-SOC) Test Sciences area of the Semicon- with other societies. Our confer- 13-15 October 2008 ductor Research Corporation (SRC) ences provide excellent opportuni- Rhodes Island, Greece Global Research Collaboration ties for those interested in learning Manuscripts Due: 28 March 2008 (GRC) will select research propos- about the latest technical trends in vlsi.ee.duth.gr/vlsisoc-2008 als on system-level or high-level electronic design and automation tools and in logic or physical-design and being engaged with a commu- CEDA Currents is a publication of tools for three-year contracts, nity of volunteers. If you are inter- the IEEE Council on Electronic Design beginning 1 July 2008. (The one- ested in participating or have an Automation. Please send contributions page white papers for these pro- idea about new topics of interest for to Kartikeya Mayaram ([email protected] posals were due on 3 January our conferences, please contact gonstate.edu), Preeti Ranjan Panda 2008.) Interested researchers should Richard Smith ([email protected]), ([email protected]), or Anand visit the SRC GRC web site at CEDA vice president of conferences. Raghunathan ([email protected]).

Call for Nominations: SSCS Predoctoral Fellowships 2008 – 2009 Due Date is 1 May, 2008

ominations for the Society’s Applicants must have completed - why it is important, and what is Predoctoral Fellowships in at least one year of graduate study, novel about its approach -- as well solid-state circuits are due on be in a Ph.D. program in the area as the importance of SSCS predoc- N of solid-state circuits, and be a toral fellowship support toward 1 May, 2008 for the academic year 2008-2009. The one-year awards will member of IEEE. The award will completion of the doctoral degree. provide $15,000 for tuition, an addi- be made on the basis of academic tional $8000 for fees, and a grant of record and promise, dissertation Letters of Recommendation - at $2,000 to the department in which research program, and need. least two letters of recommendation the recipient is registered. A maxi- Applications should be in elec- are required; one should be from the mum of two awards will be made. tronic format and must include the principal advisor. These letters should Last year’s predoctoral fellows following items: address academic record, accom- were Jintae Kim of UCLA and plishments and promise, graduate Sudip Shekhar of the University of A Short (one-page) Biography - study research program, and need. Washington. including IEEE membership number. Deadline: 1 May 2008 Academic Records - including a Please email your application copy of all relevant undergraduate materials to: [email protected]. and graduate transcripts. Although electronic file submis- Graduate Study Plans - including a sion is preferred, if paper files are summary of what has been com- a necessity, either fax them to +1 pleted and what is planned (about 2 732-981-3401 or mail to: pages is appropriate), plus a list of President Willy Sansen presented SSCS any publications authored or co- IEEE-SSCS Executive Office Predoctoral Fellowship certificates to authored. A copy of each publica- Predoctoral Fellowship Jintae Kim (at right) and Sudip Shekhar tion is desirable. Work that must be 445 Hoes Lane during the Plenary Awards Program at done to complete the graduate pro- Piscataway, NJ 08854 ISSCC 2008 in San Francisco. gram of study should be explained -

54 IEEE SSCS NEWS Spring 2008 sscs_NLspring08.qxd 3/26/08 9:48 AM Page 55

Up-to-date, Relevant Information Driving the Bottom Line Fueling Imagination

“Findings indicate that IEEE journals are getting the newest, most revolutionary ideas in increasing numbers.”

– Dr. Donald R. Scifres, holder of more than 140 patents, founder SDL Ventures, LLC

From Imagination to Market

Access the latest technical information from IEEE and give your team an edge on the competition.

Periodicals and conference proceedings that defi ne the future of innovation Over 1.5 million documents in the IEEE Xplore® digital library Top cited journals in the fi eld Free Trial! Experience IEEE – request a trial for your company. www.ieee.org/innovate

IEEE Information Driving Innovation

07-PIM-0069c_Generic.indd 1 6/6/07 11:45:02 AM sscs_NLspring08.qxd 3/26/08 9:48 AM Page 56

SSCS EVENTS CALENDAR Also posted on www.sscs.org/meetings SSCS SPONSORED MEETINGS 2008 Radio Frequency Integrated Circuits 2008 IEEE Integrated Circuit Ultra-Wide Band 2008 Symposium on VLSI Circuits Symposium ICUWB www.vlsisymposium.org www.rfic2008.org www.icuwb2007.org 18–20 June 2008 15–17 June 2008 10–12 Sep 2008 Honolulu, Hawaii Atlanta, GA Hannover, Germany Paper deadline: Passed. Paper deadline: Passed. Paper deadline: 10 February 2008 Contact: Phyllis Mahoney, Contact: Mr. Stephen Lloyd Contact: Michael Y.W. Chia, [email protected] [email protected] [email protected]

2008 Custom Integrated Circuits Conference 2008 IEEE Symposium on VLSI Technology 2008 IEEE Bipolar/BiCMOS Circuits and www.ieee-cicc.org/ www.vlsisymposium.org Technology Meeting - BCTM 21–24 September 2008 19–22 June 2008 www.ieee-bctm.orgA San Jose, CA, USA Honolulu, Hawaii 14–16 Oct 2008 Contact: Ms. Melissa Widerkehr, Conference Paper deadline: Passed. Monerey, CA Manager Contact: Phyllis Mahoney, [email protected] Paper deadline: 17 March 2008 19803 Laurel Valley Place or Business Center for Academic Societies, Japan, Contact: Ms. Janice Jopke Montgomery Village, MD 20886 [email protected] [email protected] Phone: 301-527-0900 x 101 Fax: 301-527-0994 Hot Chips 2008 IEEE Compound Semiconductor Integrated [email protected] www.hotchips.org Circuit Symposium (CSICS) 24–26 Aug 2008 www.csics.org 2009 ISSCC International Solid-State Palo Alto, CA, USA 12–15 Oct 2008 Circuits Conference Paper deadline: Passed Monterey CA www.isscc.org Contact: John Sell, Paper due date: 12 May 2008 8–12 February 2009 [email protected] Contact: William Peatman San Francisco, CA, USA [email protected] Contact: Courtesy Associates, ISLPED International Symposium on Low Power [email protected] Electronics and Design 2008 International Conference on Computer www.islped.org/ http://www.islped.org/ Aided Design (ICCAD) SSCS PROVIDES TECHNICAL 11–13 Aug 2008 9–13 November 2008 CO-SPONSORSHIP Bangalore, India Place: TBD 2008 Design Automation Conference Contact: Diana Marculescu, [email protected] Contact: Kathy MacLennan, Conference Manager www.dac.com MP Associates, Inc. 9–13 June 2008 ESSCIRC/ESSDERC 2008 - 38th European Solid 5405 Spine Rd., Ste. 102 Anaheim, CA, USA State Circuits/Device Boulder, CO 80301 Paper deadline: Passed. Research Conferences Tel: (303) 530-4562 Contact: Kevin Lepine, Conference Manager www.esscirc2007.org Email: [email protected] [email protected] 15–19 Sep 2008 Edinburgh, Scotland Paper deadline: 5 April 2008 Contact: Bill Redman-White, ESSCIRC Chair [email protected]

SSCS IEEE SOLID-STATE CIRCUITS SOCIETY NEWS is published quarterly by the Solid-State Circuits Society of The Institute of Electrical and Electronics Engineers, Inc. Headquarters: 3 Park Avenue, 17th Floor, New York, NY 10016-5997. $1 per member per year (included in society fee) for each member of the Solid-State Circuits Society. This newsletter is printed in the U.S.A. Application to mail Periodicals postage rates is pending at New York, NY and at additional mailing offices. Postmaster: Send address changes to SSCS IEEE Solid-State Circuits Society News, IEEE, 445 Hoes Lane, Piscataway, NJ 08854. ©2008 IEEE. Permission to copy without fee all or part of any material without a To maintain all your IEEE and SSCS copyright notice is granted provided that the copies are not made or distributed for direct commercial subscriptions, email address corrections to advantage and the title of publication and its date appear on each copy. To copy material with a copy- [email protected] right notice requires specific permission. Please direct all inquiries or requests to IEEE Copyrights Man- To make sure you receive an email alert, keep ager, IEEE Service Center, 445 Hoes Lane, Piscataway, NJ 08854. Tel: +1 732 562 3966. your email address current at sscs.org/e-news

445 Hoes Lane Piscataway, NJ 08854