17⁠ TH WORLD S⁠A⁠N⁠S⁠K⁠R⁠I⁠T CONFERENCE 9-13 July 2018 University of British Columbia Vancouver, Canada

Abstracts Abstracts of Papers 17th World Sanskrit Conference

July 9th–13th, 2018 University of British Columbia Vancouver, BC, Canada

Typeset in Alegreya Sans, designed by Juan Pablo del Peral for Huerta Tipográfica and released under the SIL Open Font License. i Editorial Remarks

All the abstracts have gone through an editorial process in which the sections convenors and the organizing committee have participated, and the papers have received a varying amount of editing.

The abstracts have been arranged first according to the section in which the paper will be presented, and second alphabetically according to the last name of the author.

ii Table of Contents

Keynotes and Plenaries ...... 1 1. - Veda...... 7 !"#$ 2. - Linguistics ...... 47 %&'&(&)* 3. - Vyākaraṇa ...... 75 +&,-.* 4. - Epics ...... 106 -&/&0.1 /2&%&-31 4 5. - Purāṇas ...... 121 56-&.& 78 6. - Studies ...... 140 319 !8/ :($ 7. - Ritual Studies ...... 158 !8;76<&7 !8/ :($ 8. - Poetry, Drama and Aesthetics ...... 166 ,&+/=>&-? 9. - Scientific Literature ...... 217 !@A& 78,1 !&B0* 10. - Buddhist Studies ...... 221 CDE !8F& 11. - Jaina Studies ...... 246 G@7 !8F& 12. - Vaiṣṇavism and Śaivism ...... 264 !@H!/31 (@!/31 4 13. - Religious Studies ...... 273 I :/!8/ :($ 14. , - ...... 281 # :(7&78 3J(&)* 15. - History, Art & Architecture, Epigraphy ...... 339 K 3L2&M$ ,=&$ N&5O/ %P="Q(&)1 4 16. - Sanskrit & Regional Languages, (& Southeast Asia)...... 354 M1RS31 T#"(%&'&? 17. - Sanskrit Pedagogy...... 363 M1RS3 (UV&(&)* 18. - Modern Sanskrit Writings ...... 375 WI6 78,1 M1RS3M& 2XO* 19. - Computational Sanskrit & Digital Humanities...... 389 M1RS31 !8A&73& YZ,[ 4 20. - Manuscriptology...... 406 2\="Q !8A&7* 21. - Law and Society ...... 416 I :/(&)/ :](&)1 4 22. - and Āyurveda ...... 432 0^_&06!`#D 23. - Sanskrit and the Panjab...... 456 M1RS31 5a&CT#"(? 24. - Sanskrit and Indo-Persian Culture ...... 460 M1RS31 5&-Mb!&B01 4 Special Panels ...... 463

iii 17th World Sanskrit Conference, 2018 Keynotes and Plenaries

Keynotes and Plenaries

Registres de la théâtralité indienne : le théâtre comme pratique religieuse (En hommage à André Padoux) Lyne Bansat-Boudon EPHE (Paris, France)

Théâtre et religion ont en commun d’être, avant tout, des « pratiques ». Pas de religion sans ses rites, pas de théâtre (dans les conceptions indiennes, comme en Occident) sans sa représentation, c’est-à- dire sans sa mise en œuvre et en jeu.

C’est ce que met en évidence le Nāṭyaśāstra, non seulement dans le mythe d’origine qui se déploie au long des cinq premiers chapitres (et dans les deux derniers), mais aussi dans la majeure partie des autres chapitres du traité, consacrés à la « fabrique » du théâtre, en particulier, et très longuement, aux registres de jeu (abhinaya).

Il en est de l’histoire du théâtre indien comme de celle du théâtre occidental : on pose pour lui l’hypothèse d’une origine religieuse. Dans ce contexte, nous examinerons le mythe d’origine du théâtre, dans lequel le modèle herméneutique dominant est celui du rite.

Outre que le théâtre s’accompagne de rites réels, il vaut, métaphoriquement, pour un rituel, participant ainsi, à divers degrés, de la pratique religieuse.

Toutefois, il n’est plus question de métaphore quand on en vient à considérer le fruit même de la pratique dramatique, à savoir l’émotion esthétique (rasa). Avec le rasa, c’est directement, cette fois, que le théâtre se constitue en pratique religieuse, puisqu’il s’agit d’une expérience de l’ordre de l’intime et de l’intériorité, au même titre que l’expérience spirituelle.

Ce sera l’occasion de rapporter à l’expérience esthétique les spéculations du śivaïsme non dualiste du Cachemire.

Registers of Indian Theatricality : Theatre as Religious Practice (In homage to André Padoux) Lyne Bansat-Boudon EPHE (Paris, France)

What theatre and religion have in is to be first and foremost practices: there is no religion without rites, no theatre (whether in Indian or Western conceptions) without performance, that is to say without putting drama into production and into play.

1 Keynotes and Plenaries 17th World Sanskrit Conference, 2018

So teaches the Nāṭyaśāstra, not only in the myth of origin narrated in the first five and the last two chapters but also in most of the other ones dedicated to the ‘making’ of theatre, in particular the extensive analysis of the registers of acting (abhinaya).

The history of Indian theatre shares something with its Western counterpart: both, each in its own way, assume that theatre has religious origins. In this context, we shall analyze the myth of origin of theatre, in which the prevailing hermeneutic model is that of the rite.

Besides the fact that theatre is accompanied by actual rites, it has the metaphorical value of a ritual and thus comes under religious practice in several ways.

Yet there is nothing metaphorical about the effect of dramatic performance, namely the aesthetic emotion (rasa). With rasa, theatre indeed actually turns into a religious practice, since it is an inner, intimate experience, like the spiritual one.

This will be an opportunity to compare the Indian aesthetic experience to the speculations of non dualist śaivism of Kashmir.

2 17th World Sanskrit Conference, 2018 Keynotes and Plenaries

Philology, Text History and History of Ideas George Cardona University of Pennsylvania (Philadelphia, PA, USA) I consider several aspects of a passage from the beginning of Yāska’s Nirukta. In manuscripts, the text itself commonly is not punctuated. The earliest commentator on the Nirukta, Durga, adopts a division of the text that is also found not only in Skandasvāmin’s commentary but in citations by later authors in different contexts: Śabarasvāmin, Maṇḍanamiśra, and Śaṅkara, the author of the Yogaśāstravivaraṇa. Moreover, this textual division is in harmony with Yāska’s presentation of ideas and a particular syntactic feature.

In 1916, however, P. D. Gune argued that this division is unacceptable. Gune’s main reason given for this claim is that the traditionally accepted textual division reflects an interest in the sentence and its meaning which should not be attributed to Yāska. Since Yāska was an etymologist, claimed Gune, he was interested only in the individual word. In his own words, “Moreover, to the etymologist with a vengeance, as Yaska (sic) surely is one, the word or पद is everything and the sentence or वाक is nothing.” This assertion was advanced without supporting it with textual evidence from the Nirukta itself. Nevertheless, as I show, this work shows that Yāska did indeed concern himself with the sentence. Consequently, the argument against the traditional textual division fails. Another important consequence is that the text shows Yāska held a view concerning the sentence which matches the position of Pāṇini: the principal meaning of a sentence with a finite verb form is the action denoted by this verb.

3 Keynotes and Plenaries 17th World Sanskrit Conference, 2018

The Śākta Transformation of Śaivism University of Oxford (Oxford, England)

In this lecture I shall provide the basic elements of a history of the development of major currents within Śaivism by the influx or incorporation of extreme forms of Śākta observance, beginning in the Atimārga and continuing into the Mantramārga and Kulamārga. I shall then consider how and why these forms of observance were both legitimated and transformed in the thought of .

4 17th World Sanskrit Conference, 2018 Keynotes and Plenaries

The Surprising Modernity of Bhavabhūti’s Uttararāmacarita Arvind Sharma McGill University (Montréal, QC, Canada)

Modernity is a polysemic word, but for our purposes may be said to include the following features in an Indian context:

(1) The idea of a progressive revelation as opposed to a final one (theologically) (2) A sensitivity for the rights of others (ethically) (3) A greater voice for the role of the wife in domestic life (matrimonially) (4) A questioning of the caste system (socially) (5) Allowing women and Śūdras access to the (traditionally), and (6) The questioning of untouchability (morally).

This paper will argue that if these as accepted as features of modernity in an Indian context then the Uttararāmacarita of Bhavabhūti displays some of these features to a discernible and sometimes even remarkable degree.

5 Keynotes and Plenaries 17th World Sanskrit Conference, 2018

Reflections on Manuscriptology: Forays into Indian Paradigms of Knowledge Management Dipti Tripathi University of (Delhi, )

Ten million is the moderate estimate of surviving manuscripts in India. This does not include the number of Indian manuscripts available, across the world. Welcome to the world of manuscriptology in India!

Let us remind ourselves that these are the ones that have survived pillage, brigandage, arson, loot, natural disasters & plain human crassness. Spanning a period of 5000+ years of known & documented history, they cover the almost entire range of human knowledge & endeavor. Manuscripts contain the cumulative knowledge, experience, history as well as practices of the people of India covering this period. The kaleidoscope of Indian manuscripts is neither language specific nor script specific nor region specific. Broadly divisible in three categories—classical languages like Sanskrit or Persian; modern Indo- & Dravidian languages; and tribal languages like Mizo or Tao— enormous linguistic, archival capabilities and resources are required to keep this knowledge resource alive, to access and usage. In an era where developing a history of ideas is the key to innovation and progress, it is plausible to argue that manuscriptology is the bed rock of knowledge management. Like all strong and capable civilizations, Indian traditions of knowledge management are deep, efficient and durable.

This paper seeks to explore the foundations of Sanskrit manuscriptology as a source of wisdom and ideas needed for certain aspects of modern progress. To do so, it is natural to reflect on the paradigms of knowledge management in India. One of them being that in the Indian system of knowledge management the oral and written traditions of knowledge have coexisted in a complementary way. This idea could give rise to an entire discipline of comparative knowledge management studies.

6 17th World Sanskrit Conference, 2018 Veda

1. वेदः - Veda

On the Structure of the Ṛgveda Saṃhitā B. N. Narahari Achar University of Memphis (Memphis, TN, USA)

It is proposed that the ten-maṇḍala structure of the Ṛgveda Saṃhitā is related to the performance of the most important yajña, somayajña. It is generally assumed that the so-called family-maṇḍalas (maṇḍalas 2-7) had been compiled earlier, existed as independent units, and formed the core of the present saṃhitā. The other maṇḍalas were added later, with the tenth maṇḍala added the last. The present work challenges this evolutionary model. It is shown that the first maṇḍala actually appears as a plan for the structure of the entire saṃhitā. The present work explains that somayajña forms the basis of the arrangement of the sūktas in the ten-maṇḍala structure of the Ṛgveda Saṃhitā. The first maṇḍala actually lays out the plan for the entire saṃhitā. The ninth maṇḍala instead of being a sort of ‘appendix’ according to some scholars, is in reality the ‘hub’ of the saṃhitā, thus providing an organic unity for the saṃhitā. In particular, the present work explains why maṇḍalas 1 and 10 have exactly the same number of sūktas and why that number is 191. All this is supported from copious citations from Aitareya Brāhmaṇa.

7 Veda 17th World Sanskrit Conference, 2018

वै दिकसा हिते पाकृ तिक विपतीनां समाधानम् Sanu Babu Tribhuvan University (Kathmandu, Nepal) एषा सृ षिः पञानां ततानां सामञसेन नि मि त ा । ता नि तता नि कि ल पृथी-जला गि -वायवाकाशा: । एतेषां पञानाम् अवयवानां वातावरणीयम् असनु लितं रपमेव पाकृ तिक- वि प त य ः भव नि । यथा भू-कमः सुनामी-जल-पवाहो भू-सलनम् सामुदीकशक-वातो जालामुखी- वि स ो ट ः झं झ ा -वातः पभञन इतादयः । सृषरे ् आिद-कालाद ् अाधिु नकं कालं यावन ् मानवाः अनेकाः पाकृितक-िवपतीर् अनुभवनः सिन । भिवषSे िप अनुभिवषिन च । ता भिविप तिभिः समये समये महती हा निर् उपजायते । ता वि प त य ो वारं वारं नि र न र म ा ग च नि । ताभः सं रक यितुं मानवाः अनेकानुपायानवलमने । तथा पि पौनःपुनेन तासाम् आवृ तिर् भवतेव । तासां परिहाराय विभिनानुपायान् अवलममाना अपि मानवासा अननग तिकतात् सहन एव । वरतमाने समये महताश् चि न ा य ा वि ष य ः खलु पाकृ तिका वि प त य एव । वै दिक-कालादारभाद यावतुनश [–तथैव] भविषति कालेS पि तादशो वि प त य ः आग मिष नि एव [— उद विषनेव] इति वि चि न ा स ा क ं साकातृत-ध रमाणो मन-दषार ऋषयस् ता: परिह रतुमहच् चि न न ं कृ त व न ः । ततशात् पाैरा णिक-सा हितेषु अपि पाकृ तिकस कोपस व रणनं साने साने पापते । ते च अितविृ षर् अनाविृ षरद (दिु भकं) जल-पावनम ् उला-पातादयः पकोपाः सिन । तथवै पृिथवाः पािरिसितक-पणालाः सैयायर कामनाः पाथनर ाश वेदेषु पाथरन े यजुवेदसाखाने चलायमाना ं पृथी ंनु इनदारा िसरीकृता – येन दौरगा पृ थिवी च दऴहा येन सस भितं येन नाकः । यो ऽन रिके रजसो वि म ा न ः कसै देवाय हविषा वि ध म े ।। [यजुवेद ३२/६] सवोतमं समाधानं कृ त म सि तत । आदिभिः मनै भूकमादयः पकोपाः तदानीम पि आसन् इतनुमातुं शकने । तादशीनां समसाना ं समाधानं मम गवेषणायाः िवषयः वततर े । शोध-पतऽे िसन ् तासा ं पाकृितक-िवपतीना ं शमनाय वैिदकमुपायमनषे ंु पयासः कृ त ो वरतते ।

8 17th World Sanskrit Conference, 2018 Veda

Religion and Philosophy of the : A New Approach Tarak Adhikari Rabindra Bharati University (Kolkata, WB, India)

N.J. Shende has tabled a list in his book to decode the characteristics of Ātharvaṇa religion (Shende, 1952). He too took trouble to identify the Ātharvaṇa Brāhmaṇa of the Atharvaveda (AV) and its evolution to Upaniṣadic thoughts. Scholars of the AV notice the complex character of the AV in language, content, philosophy and its position in the Vedic literature. Whether it could be tracked into the fold of trayi,̅ is also a vexing question, which has stormed the scholars down the ages, both ancient and modern. Sāyaṇa had to face this matter, as we see him fighting the opponents in AV bhāṣyabhūmikā.

But regarding the true religion of the Atharvaveda, no transparent picture is to be found in the researches of the classical European scholars and their Indian counterparts. Its magic, witchcraft, primitive nature, off and on has been painted. Regarding the philosophy of the AV, M. Winternitz has painted a very negative picture, with comments that claim that the philosophy of the AV is profound nonsense.

The importance of the proposed paper is to re-examine the religious and philosophical contents of the Atharvaveda from a different perspective with substantial reference and documents from the original text and supplementary evidences. The present paper aims to show the actuality on the basis of original source and supplementary documents from other texts, for both the religion and philosophy of the AV. It requires, in our considered opinion, that the picture regarding the religion and philosophy be a total recast on the basis of evidences. The proposed paper will endeavour to bring out with evidences the true character of religion and philosophy of the AV in detail with documentary evidences and modern research.

9 Veda 17th World Sanskrit Conference, 2018

A Non-Śrauta Ritual in the Oldest Text: Maitrāyaṇī Saṃhitā IV 2 (Gonāmika Chapter) Kyoko Amano Kyoto University (Kyoto, Japan)

Yajurveda Saṃhitā texts provide the oldest descriptions about Vedic rituals. Most of them are about the so-called śrauta rituals, official rituals on an extensive scale to that several officiating priests were invited. There are, however, few exceptions, and one of them is the gonāmika described in Maitrāyaṇī Saṃhitā (MS) IV 2 which contains rites and practices concerning cows. Mānava Śrautasūtra 9,5,5,1-2 says that this ritual is to be performed by a non-qualified sacrificer and in the manner of a house ritual. My recent studies provided the view that MS IV 2 could have been influenced by a non- orthodox culture, the so-called vrātya culture. In this presentation, peculiarities of the language and ritual in MS IV 2 will be discussed. New findings will help in understanding the cultural diversity in the Vedic period.

10 17th World Sanskrit Conference, 2018 Veda

From Myth to Ritual: Further Observations (With Special Reference to AVŚ 6.80) Shrikant Bahulkar Bhandarkar Oriental Research Institute (Pune, MH, India)

In the Śaunakīya Saṃhitā of the Atharvaveda (AVŚ), we find a number of instances where the ritual has a connection with some myth. I have discussed this theme in one of my papers “From Myth to Ritual: The Horse of Pedu and the Remedy for Removing Snake’s Poison” (2009). In that paper, I had made a passing reference to the hymn that is now selected for the present paper. A myth of the demons called Kālakāñjás is narrated in Vedic Saṃhitās and Brāhmaṇa texts. These demons wanted to ascend to the heaven. They piled up a fire-altar. Indra did not want them to succeed in their endeavour. He added a brick of his own. When the demons began to ascend to the heaven, Indra pulled his brick saying “this is mine.” They fell down. They became spiders, but two of them flew up and became two heavenly dogs. In the AVŚ (6.80), there is a reference to three Kālakāñjás and “the heavenly dog.” The hymn has been utilized by the Kauśika Sūtra (KauśS) in a very obscure ritual to be performed for the cure of paralysis (KauśS 31.18-19). It is interesting to see how a character in an old myth gets connected with the ritual with which it has no relation in its initial stage.

11 Veda 17th World Sanskrit Conference, 2018

The Vedic View of the Origin and Nature of the Universe Ramaiya Balachandra Independent Scholar, USA

There are many different stories of creation and origin of the universe. Each culture has its own story basing them on mythological accounts of beasts and super beings. The vedic description of these ideas is different from many of these and corresponds closely with current cosmologists.

In this article, I describe the modern cosmologists’ views of the universe’s origin and then compare them with what the Vedas say in some of the well-known sūktas. This comparative study demonstrates that there is an essential similarity between some of the conclusions of modern cosmologists and the Vedas about the origin of the universe. I examine the relevant sūtras in the Nāsadīya Sūkta and the Purūṣa Sūkta to infer the suggestions and conclusions about the cosmos. I compare these with the some of the views and conclusions of modern physicists and cosmologists. The comparison shows a great deal of similarity in the two views. For example, there is a suggestion of dark matter and dark energy. There is a suggestion of the Higgs Boson particle and its manifestations.

The authors of the Vedas had great insights into some of the universe’s properties and origins, obtained not through experiments or mathematical calculations. They expressed these ideas in the way they could with their language and vocabulary.

12 17th World Sanskrit Conference, 2018 Veda

New Materials of the Atharvaveda of the Odishan Paippalāda Saṃhitā Piyali Biswas The University of Burdwan (Bardhaman, WB, India)

Professor Durgamohan Bhattacharya, a Vedic scholar of Bengal, while accidentally, discovered a new school of the Atharvaveda from Odisha (formerly Orissa), neighbour province of Bengal in eastern India, along with its living tradition there, it became an epoch-making discovery in the last century. This Atharvaveda (AV), though was known as Paippalāda recension, yet was quite different from the discovery of Kashmirian Paippalāda recension for a number of reasons. Professor Bhattacharya started to edit it but could publish only one volume comprising 1st kāṇḍa out of 20 due to his premature death. Now the full edition is available by his able son Dipak Bhattacharya from Asiatic Society, Kolkata, 2016. This work was stupendous and commendable.

This Odishan edition is important for more than one reason. Primarily, it is bigger in size than the Śaunaka by nearly 2000 verses and Paippalāda, edited by L.C. Barrett, by 1500 verses. That means this edition supplies more materials than both Śaunaka and Paippalāda. Even the first kāṇḍa out of 20 kāṇḍas is enhanced by 95 verses than the Kashmirian version.

The new discovery is again important because the new materials available in the Odishan recension unveil a number of questions; for example the nomenclature of ‘Brahmaveda’, though stamped by M. Bloomfield as a late dated matter, yet Bādarāyaṇa’s indebtedness to the Paippalāda Saṃhitā makes it clear that the AV had once a direct relation with Vedānta philosophy. Again the linguistic peculiarities, social facts as prevalent among the Vedic scholars and historians may be retold, if we carefully examine the new recension of the Paippalāda Saṃhitā.

The proposed paper, thus envisages, examines the extra materials of this śākhā to find out the true character of the Ātharvaṇa tradition, what is, in our opinion partially misunderstood by the earlier scholars so far.

13 Veda 17th World Sanskrit Conference, 2018

!"# $%&&'() *+ ,-& ./0'1*2'3'4 5'6 78&9' Brahmadev Gurukul Kangri Vishwavidyalaya (Haridwar, UK, India)

M1M-.(b="c def !8 4g 9 " M1M&-" /&7! h3&i( jkSl^c mP3b0$ T&.b 0n^o pP-9 %^_&5!_q r] , %! 3Ls 5-t6 M1M&-Mt&5"u^ /6 vw :!87& A&7"7 7 % !8361 (x3 K3L /2 :'L%P$ y3" A&7&z /6 vw$

K3L !&x"7 T 3L5&F3"s /&7!"7 A&7T& {8 :7 |}n M&2&~1 ,S5!& !87& ,]/ 58 (x"O 58 MO*s - 0 0 |3 h! |F& 58 %D 3L, !8A&7M€z"c def !8 ‚ de f !8‚" ," 7 4g ƒ 3-. „80&05b h4 b j5& IPI& -†.& /&7!"7& 58 0#8 ,#& 4gƒ G="c!3-.1 ,S‡& 3-.+&5&-n (UV& 7 _S2ˆ3& 3#&7‰

3n /Š7/!‹1 MŒ&+*s 5-* |}T& .U'6 h3&i(b N L 3 $ 7s |3$ M1M&-M&_-&ƒ 5&-1 _/ 0L361 - W #8,&=&#"! /&7!&0 T&M ŽL,3& !8F3" !@ #8, A&7 !8A&7ns , ? M&31 %D 3L,06_1 3:2XT^c01 M/6#" 3L 0 k‘/F‡"c 58 T&M ŽL,3& \’ |n A&7n |M1(0*

|“"!" 3L !v61 (”6/$s 03^ 2X !8F&0&$ A&7n !& M :!#& T&M ŽL,3& %!O"!"O9 7& \’ ,& 4g• , !8T 3L5 pP$s 0# 58 !@ #8,1 A&71 3– :!/&:'*s W :'A&7— M!`',˜&.&0@! TM -†31 7 ™&]q0" 3L

|F37b0n M :!n A&7n !8A&7n 4 /š=" T&0$ ™& :]5-&0.3@! T 3L%& 3Ls K#&7‰5- 2X3M&I71

/6›/6œ"‹1 7& \’ (UV&0&$s (UV&m&-& |F‡" TI&7‡"7 +&5&-$ T4= 3Ls 3"7 +&5&-". 4 /&7!&7 , 78 /L : 3L $ 7@! G&03" | 5836 0]& (U,D(=,"ž"'6 !\7š 78/q.1 %! 3L 3#7t-1 3"'!85.71

G&03" 3]& !8I@! M1,7& T3b03" (UV&0& /š="s | :]T&{8-"! TI&7%&!1 G6'3" M :!9s M& ŸE& \’

|]!& |ŸE" 3L %&!^ 7 T%&!,&- s |3$ M :!9 ¡l&4&-/01 T¢'.1 #- i‹3"s 5-1 0#8 56-&371

M/&G/!=^,0&/$ 39 7@3&i(b N L 3 $ ,6 9 4g ƒ M1RS3M& 2XO" |!=^x3"s 39 36 |‚£^'n^ vw$ - ( 7 /" \"7^ G75#" 7 ,#0¤7 /F5$s 7&7& 2X3& ¥¦7q !8m&f 7 ™@- ™@ -†.b ,63$ss §&¨^©^5 78'• 5.11.5) 1.6.6,8,12 , h3&i(& 78 !47& 78 !&ªb ,X-&/&0." KO9 |}"'6 4 7@,"'6 N="'6 i lw5]1

M/&0& t«s |9 ,&-./&Mb• !@ #8, (UV&76¬5&4-.*s !@ #8, (UV&0& /š=,"ž& .U WMf

W4& :0,6=& 78s 09 4 M!` /&3S_ :%!zw!Mt^ C&˜,&=&#&-u M/&! :37M1R&-5:0t* - |;&­ !8F%D 3L, !8F&— _S® t« ds |n& (UV&0& ™¬51 ! :.0z&2 W4& :0 j570/&7^ ( 11.5.3) ¯°4& -†.1 ,S.63" _ :%/t$s 31 -&9b \’± j#-" C8 % 3L : 31 G&31 ²l6 /P%M10«t #"!&$ss |] :!!"# - - !\36 W4&0q 0&i(&4&- +!2&- M€z& %! t« 3&i(& h! M&/&}& G7& |]!& 0&i(^ ³"<&7 - +!2&-^ %! 3L 3/76MSO M&/&}G7& +!2- t«s _b3&0&/ de´«'0" M6<š v* 0F#&4- 3L (3.21) µ"<\p#"!"3-^ G7$s M 0¶/&.1 ,6·3" =^,\#76! :33"ss h3" W4&0q$ ,[i(&$ : , , _6.M€–€z& n6$ h3# 58 Mr!!"#"'6 78%&= 0L361 (x3"s 39 0/!ƒ !8F& :]¦7#^'&523q-$ , ¸¹!ƒ 56 lw,3q-$ º'I!ƒ -^_^5(/7,3q-$ KO& #8_6.(& =¦7 W4&0q$ , ]¦3&$s /6›3$

(^I59"c def !@ #8, (UV&+!N0& M2 WI6 78, (UV.+!N&0&$ M/bV./"!& \’s

14 17th World Sanskrit Conference, 2018 Veda

Similes and Metaphors in the Agnihotra of Jaiminīya Brāhmaṇa Mau Das Gupta University of Calcutta (Kolkata, WB, India)

The discussion on Agnihotra in Jaiminīya Brāhmaṇa is strewn with numerous similes and metaphors, quite comparable to those used in other Brāhmaṇas.

According to the Vedas asceticism and sacrifice can give the gods and the sages the extraordinary ability to see the secret and enigmatic realities like sacred texts, rituals and identities, which ordinary mortals cannot see (cf. e.g. TS V.3.5.4, KS VIII.3; MS I.8.1; III.6.3; ŚB IV.2.1.26; AB I.6). In this line, Gonda (1963, 63) contends that in ṚV X.129.4 the heart (hṛd) is spoken of as the place where the sages discovered the secret connection. The magic identifications are the central object of the “pre-scientific science” of the Vedic rituals (cf. Oldenberg 1919, 110ff.). In the Prāṇāgnihotra sacrifice, the concrete ritual acts are replaced by corresponding psychic acts taking place in the mind of the sacrificer (cf. Bodewitz 1973, 211ff). In the journey from the actual Agnihotra to the mental Prāṇāgnihotra, similes and metaphors play an important part to symbolize the offering, the deities, the sacrificer and the fire as well. Parpola(1979, 142ff.) observed that sort of comparisons formed with the particle yathā or iva often occur in the Brāhmaṇas; which points to the use of similes and metaphors as tools to build the ritual symbols as key to knowing the secret identity which, according to the Brāhmaṇas, enables the knower to overpower the entities concerned.

The present paper will attempt to estimate the role of similes and metaphors in the Jaiminīya Brāhmaṇa in symbolizing the “dravyaṃ devatā tyāgaḥ” (KŚ I.2.2) of Agnihotra ritual to the symbolic mental sacrifice “Prāṇāgnihotra.” Besides, it will try to explore the pleasures of reading this part of the Jaiminīya Brāhmaṇa appreciating the innovative similes and metaphors that eventually were forgotten in the clichéd lists of upamā and rūpaka of classical Sanskrit literature.

15 Veda 17th World Sanskrit Conference, 2018

*),):# ;9'$<93= >6?@*AB >.4 Vijay Shankar Dwivedi University of Delhi (Delhi, India)

!"#&$ T/&.1 M :!!8F&/š=‡&ƒ s !"#n 0& A&7I&-& T!2 3L 3nA&7I&-&0&/!_&271 !8I&0

5&!0»&­&71 G7&$ s !@ #8,A&75-€-&0y3&7S30^$ (&™3$ 5 !893/? 780/$ i lw5]"

M/&0& 3Ls M&0./3/& µgO 0Fn y3n& r] 5‹&/\FA¬51 G=¬51 T,&(¬51 I :/¬51

7b 3L¬51 0]& :]¬51 MO& #8¬5— %!3b 3L %&¼1 T/&.* s 0&R/3* | IP,SO&n@! y3n& :]$ ( ) ? G=¬5^ %! 3Ls y3* KO6#,7&/ s TOS31 %! 3L s ,]* K3L GUA&M&0y3n

M33T!&2/&7‡&ƒ 5 !89‡&½ s T!&2/&7&0&/n5-€-&0&/"! y3" 3L (¾n@! MO¬51

0A¬5—& r] T06¿" !8F&=^ ,X3=^,$s y3/"! 0An 0^ 78-†3L s M/\"c def 4-&4-" G_ 3L , T,S3" :0k‘/ 58 ,& r0 %! 3L y36.0o -†! :371 G&03" 3– r! y3‡&#"! s #"!& |58 y3( vw/ÀÁ,6 :!t^ +!2- t« s #"!3&™ 58 (v" :M—-.* y3‡&#"! G&03" s 780/CECÂn ( y3n&O6kSl3-1 !@ (UÃ/ %P=V@!&n /2p-1 N&7/ \’ 7& \’ ,?7 =!^c 58 M¨"2$s y3n !& … . . ) M# MU 9&Mb]7^ s yÄÅ ÆÇ Ç 0$ ,?7 MÈ& :_/76I&!3L T,S3" :5-€-&—&765&=03L M h! , y3&!&7$ y3!SI? |3 h!&70& / 2X/&/ ÉÊ35-€-0& 5-&,&<=%3"3-&* s y3&765&=7‡&œ"!3& |7765&=7‡&½ -VM1RS 3LM"!,&$ #@O&$ s y3n&}oV/7S3/"!&Ž „803" , , , , !"#3J/ :/A@$ 3o-€-&5^',@? s ¯° mP'$ |Â(1M$ 3/^!SI$ /LË&%&'.,6(=$ , , , , , , , , , , /LË&+!2&-06v$ |Mm&xM€z$ ¸2q²$ -&VM$ |M63S5$ Iš :!7 2X1±$ -†'$ „+&#$ 0&36I&7$ , , , , , ¸-"!$ !S GU7$ | 4g3$ |9Lf|I(M$ ¸ :!vT%P3L? |7S3&Ž ,-.&#"!&n 5VI-^c765&=,^ , ( . . ) %! 3L G&03" -†56 y3n 4 s /L9&!·.& ™"7 /}67& y3&ƒ |7S31 |IP W##&]"$s yÄÇ ÇÌÍ Î

|7S3&76_/7(b=&( vw-"! (96‡"7 -&G3" #"!/76¼0^$ s

|7S33/M5&5&MO& 78l/&0&,5ÏG=&!-,T,&(&!-,0A !8Ð1M,¸ÑS3T%b3b 78 3J& 78

|7S3%&!5 -†5š -†3& 78 ! :3t" s h %PTq{^#&2-.@$ y3/&7S30^$ mD 5VD i lw5]" ¢- i‹"3" s

!8(Uln&76MÂ&7(b=n !8'0n&n M/bV.1 MÒÓ (^I #8(& 39^5N&3+ /L3L /#b0&

,&/7& s

16 17th World Sanskrit Conference, 2018 Veda

The Gāyatrī Mantra László Fórizs Dharmagate Buddhist College (Budapest, Hungary)

The paper will analyse the famous Gāyatrī mantra (ṚV 3.62.10) on the basis of BU 6.3.4–7, ChU 5.2.4–8 and BU 5.14.1–8.

tát savitúr váreṇyam (10a) / bhárgo devásya dhīmahi (10b) / dhíyo yó naḥ pracodáyāt (10c) //

The first pada is incomplete: Savitṛ and vareṇya (“desirable”/“to be wished for”), without a denotatum, evoke the child to be born (the Sun that is to be). However, in the next pada vareṇya becomes the signifier of the effulgence of the radiant (newborn) god. The third pada emphasizes the role of Savitṛ in the process.

The invisible, mysterious fourth pada of the gāyatrī is gained when we realize that we are also involved in the mystery of creation: The luster of god is ours. We not only partake in the effulgence of god, but we take part in the completion of creation making him illuminant. The divine order, harmony, is in the making. We are both the raw and the boiled. (cf. BUK 5.3.5: āmam̐si āmám̐ hí te mahi; BUM 6.3.10: āmò ’sy āmám̐ hí te máyi.)

17 Veda 17th World Sanskrit Conference, 2018

Epithets of Waters in Tradition of Vedic Ritual Mugdha Gadgil Savitribai Phule Pune University (Pune, MH, India)

Vedic tradition is the foundation of entire studies related to ancient India. This tradition incorporates innumerable components for the success of religious actions. Water is one of them. One comes across varied names used for waters in Vedic vocabulary. Nighaṇṭu 1.12 enlists one hundred and five names of water. While analyzing the usage of these names in actual practice some distinction can be certainly observed. E.g., waters possessing special powers like destruction or purification are designated with the term āpaḥ. Hymns like Ṛgveda 7.47 or 10.30 revere such mighty waters by using this very term. Vājasaneyi Saṃhitā (4.1) eulogizes the waters like “imā āpaḥ śamu me santu devīḥ iti”. On the other hand, a pitcher full of water kept inside the sacrificial hall is called ‘udakumbha’ (Śatapatha Brāhmaṇa 3.1.2.2). Further, one can see that the waters (āpaḥ) are classified on the basis of their contextual usage in the rituals. Thus different epithets such as vasatīvarī or praṇītā are used for waters as per their status. It is curious to observe the association between vasatīvarī, nigrābhyā and ekadhanā types of waters. E.g., Water named vasatīvarī becomes nigrābhyā when enchanted with nigrābha formula (Āpastamba Śrautasūtra 12.9.1). Some more contextual categories of waters like pānnejanā or upasarjanī can be also investigated on similar lines. The present paper focuses on thoroughly examining the Vedic notion of classifying water in the aforementioned fashion with the help of all possible Vedic texts. It would throw some more light on the Vedic tradition of rituals.

18 17th World Sanskrit Conference, 2018 Veda

Social Elements in the Kaṭhopaniṣad Sarbani Ganguli Jadavpur University (Kolkata, WB, India)

It is assumed that the Upaniṣads contain only Vedānta philosophy. It may be true to some extent, but the Upaniṣads are rich in social content also. For example, in Kaṭhopaniṣad we find ideas of monistic philosophy, but we also find traces of the social conditions and behavior of the Indian people at that time. The Kaṭhopaniṣad starts with the legend of Naciketas and his father sage Vājaśravas, who was engaged in performing the Viśvajit sacrifice in which one had to give away all wealth and possessions as a sacrificial fee. This tells us that people then were very religious. We also learn that Naciketas’ father had given his son a proper education, and Naciketas was able to discriminate between right and wrong. In verse 1.1.3. we see the ideal relationship of a father with his son. Naciketas took the responsibility of improper action of his father and went to Death. The position of guests was very high then. Society believed that if a Brahmin had to stay in someone’s house without food and water, it caused great sin to the householder. Naciketas waited for Death three days in his house without food and water, and Death wanted to give three boons as compensation. Naciketas asked for the peace of his father’s mind as the first boon, which shows his love for his father. In the second boon, Naciketas prayed for agni-vidyā, and in the third boon he prayed for ātma-vidyā. Death sanctioned the first two boons but was reluctant to give him the third. Death tried to dissuade him from craving for such knowledge and offered a lot of grand pleasures instead. But the boy had a strong character and was not to be enticed away by the promise of perishable pleasures. Death ultimately sanctioned the third boon.

19 Veda 17th World Sanskrit Conference, 2018

Vedic-Prose Ta-Participles With Genitive Agents Revisited Hans Henrich Hock University of Illinois at Urbana–Champaign (Champaign, IL, USA)

Vedic-Prose ta-participle structures with genitive agents have been discussed in some details by Oertel (1939), Jamison (1990), and Hock (2006). Although admitting affinity with adnominal and dative-like genitives, Oertel concludes that the parallelism of instrumental and genitive in examples like ŚB 3.5.1.17 shows genitive marking is an alternative to instrumental for passive agents. Focusing on tense-aspect, Jamison argues for present-value of structures with genitive agents. Hock combines the two earlier approaches, arguing that genitives mark affected-agents and that these constructions are non-eventive, stative-intransitive, while instrumental-marked structures are eventive (ŚB 3.5.1.17). His major focus is on the tense-aspect issue.

While examples like ŚB 3.5.1.17 might seem to support Hock’s account, examples like ŚB 3.9.3.26, with instrumental in both parts of the structure, create problems, since the temporal/aspectual relation between the two sub-parts appears to be the same as in ŚB 3.5.1.17 (Hock 2006).

This paper argues that the primary difference between genitive and instrumental marking in structures of this type must lie in the nature of the agent, rather than tense-aspect differences. Genitive agents are marked as being affected by the action (as beneficiaries or, with negatives, as non- beneficiaries); instrumental agents are unmarked. This accounts not just for examples like ŚB 3.5.1.17 vs. ŚB 3.9.3.26 but more generally for the fact that genitive agents are animate/personal (Andersen 1986 and Oertel 1939), while instrumental agents are not restricted in this manner.

In the conclusion I consider the issue of how to reconcile the vartamāne of Pāṇini 2.3.67 with the Vedic-Prose tense-aspect facts, referring to Cardona 1970, as well as Jamison 1990 and Hock 2006.

20 17th World Sanskrit Conference, 2018 Veda

A Closer Reading of Ṛgvedic Poetry Mislav Ježić University of Zagreb (Zagreb, Croatia)

What we know about the Ṛgvedic poetry is already overwhelming. Vedic language, its complex morphology, etymology of roots and words, metrics, many features of poetic technique – on the phonetic and on the semantic level – enigmatic formulations, structure of hymns – sometimes composed at once as a whole, but sometimes possibly resulting from enlargements – all these make our efforts at understanding Vedic poems a real challenge. (a) In this paper I shall try to illustrate some compositional devices on the level of the whole hymns and some examples of enigmatic tactics on the level of particular formulations of Ṛgvedic poets. That will be illustrated on well-known examples of hymns to Parjanya, Sūrya and Indra. That can reveal some levels of meaning that seem to have mostly escaped the notice of scholars so far. (b) These three deities have been chosen because I hope to have shown in my article in JIES 1988 (127-152) that some attributes of Parjanya and of Sūrya had been transferred to Indra, or absorbed in the image of Indra, in the period of the formation of the Ṛgvedic pantheon and of his ascension to the position of the king of the celestials. (c) Now I would like to show that the Vedic poets seem to have been aware of many changes of the pantheon of divinities they were praising in their hymns, and to have preserved the essential vital and sacred contents of their insights into the invisible reality throughout the changes or variations of divine names, characters and their relationships. It is a surprising hidden aspect of the continuity of the world-view throughout the changes of poetic formulations due to the changes in the real life.

21 Veda 17th World Sanskrit Conference, 2018

The Vedic Association of Prosperity and Plow Michael Brattus Jones University of Texas at Austin (Austin, TX, USA)

An association between Prosperity and Plow was integral to the religious conception of agriculture throughout the Vedic period. This association was formalized in two distinct ways. The first formulation was through the dual divinity śúnāsīrau, literally “Prosperity and Plow.” This dual divinity, attested already in the Ṛgveda, was attributed with actions on par with other anthropomorphic deities who play a role in the agricultural process, and was similarly implored for good will and a healthy and abundant crop as well. Originally considered separate and distinct, śúnāsīrau came to be increasingly identified with Indra. The second formulation was quite distinct from the first, operating in an entirely different way. The great Indo-Europeanist Calvert Watkins demonstrated that numerous branches of Indo-European would indicate agricultural produce as a higher taxon through a stylistically elaborated enumerative list functioning as a merism; the seemingly unique Vedic development of this tradition replaced simple agricultural crops as the members of the enumerative list for an extensive range of items, both concrete and abstract, that shifted the higher taxon indicated from agricultural produce to an all-encompassing Prosperity, as a result of the Plow. Watkins surmised that the merism may have originated in an Indo-European ritual context. This is precisely what we see in the Vedic instances of this merism; the most notable instance is in a ritual dialogue prescribed in the Kauśika Sūtra to be performed at the first plowing of the year. The dialogue, which occurs between husband and wife, is quite simple semantically but the Sanskrit is highly ornate in stylistic elaboration, including the use of alliteration, etymological figures, and ring composition.

22 17th World Sanskrit Conference, 2018 Veda

Cognition Begins in the Morning: An Analysis of Ṛgveda 3.62 Joanna Jurewicz University of Warsaw (Warsaw, Poland)

In this paper, I will analyse ṚV 3.62. This hymn contains the famous Gāyatrī (3.62.10). My aim is to show the coherence of the poet’s thought. The order the gods are invoked (Indra and Varuṇa, the Maruts, Bṛhaspati, Pūṣan, Savitṛ, Soma, Mitra and Varuṇa) in the hymn reflects the line of thinking of the poet who wants to realize his cognitive ability in accordance with the morning transformation of cosmos. The well-thought composition of the hymn could be the important reason for the great role of Gāyatrī in the later Indian tradition. Recitation of the stanza could activate the whole hymn with its general idea of morning cognition and creation of the world.

23 Veda 17th World Sanskrit Conference, 2018

Ṛgvedic Society in the Akṣasūkta Reeja Bhas Kavanal Sree Sankaracharya University of Sanskrit (Kalady, KL, India)

The great tradition of the Ṛgveda remains unchallenged by the history of the world’s urban civilization. In its simplicity and historicity, the Ṛgveda occupies an important position among the Vedas. In the Ṛgveda, there are 1028 hymns in total, involving 10,552 stanzas, including the Vālākhilyas. They are divided into ten Maṇḍalas. Each Maṇḍala is divided into Sūktas and Mantras. There is another division prominently accepted in Kerala, where traditional Ṛgvedic learning is practiced as Aṣṭakas, Adhyāyas and Vargas. As per this division, the Ṛgveda contains 8 Aṣṭakas, 64 Adhyāyas, 2006 Vargas, with 1017 Sūktas and 10,472 ṛks.

The Akṣasūkta found in the 10th Maṇḍala is an outstanding Sūkta of a Ṛgvedic poet who upheld the values of life immersed with nature. It reflects the visionary and social life of the people of those days effectively. This Sūkta is celebrated as the repentance of a gambler who was tempted by the game and became an addict to it. However, during the period of the Ṛgveda, we cannot conclude that gambling was a popular amusement. Thus, it cannot be interpreted as the repentance of a gambler. Rather, this Sūkta is the product of a social atmosphere in which man transitioned from the social environment of pastoral life to the first stage of settled life and of farming. The Akṣasūkta proves that it is a message carrier capable of leading the society in the right direction. The hints in the Akṣasūkta make it clear that agriculture was given prominence in the social conditions prevailing during that period. The akṣas serve as a symbol of truth and justice. The synopsis of Akṣasūkta suggests how men after leading irresponsible lives come to reality, just like the gambler who introspects his own bitter experience.

24 17th World Sanskrit Conference, 2018 Veda

Apropos the Piṇḍapitṛyajña With Special Reference to the Āpastamba Śrautasūtra Ambarish Khare Tilak Maharashtra Vidyapeeth (Pune, MH, India)

Piṇḍapitṛyajña is a ritual in which the three generations of the ancestors are worshipped by offering the piṇḍas (rice balls). The Piṇḍapitṛyajña is to be performed on the day of amāvāsyā by all householders, and therefore, also by the Āhitāgnis. The ritual manuals describe the Piṇḍapitṛyajña along with the description of the rites to be performed on the Upavasatha day of the Darśa sacrifice, as the Piṇḍapitṛyajña and the Upavasatha day fall on the same day. However, it is to be noted that, technically, the Piṇḍapitṛyajña is not a part of the Darśa sacrifice. The Āpastamba Śrautasūtra describes the Darśa and Pūrṇamāsa sacrifices in the first four praśnas. We find the description of the Piṇḍapitṛyajña in the first praśna where Āpastamba provides the details of the Upavasatha day. In this description, the sūtras dealing with the Piṇḍapitṛyajña give rise to some questions. It is a usual practice to offer three piṇḍas in the name of the three generations, that is, the deceased father, grandfather and great-gradfather. Āpastamba prescribes the offering of one more (fourth) optional piṇḍa, which shall be offered without reciting any mantra, i.e. silently (tūṣṇīm). In yet another sūtra (nānāmagṛhītaṃ gacchati), Āpastamba states that the piṇḍa does not reach to the ancestor if it is offered without providing the name (of the ancestor). In other words, it is necessary to utter the name of the ancestor while offering the piṇḍa. The present paper attempts to see as to whom the fourth piṇḍa would reach, which is to be offered silently. The Āpastamba Śrautasūtra also enjoins the consumption of the middle (madhyama) piṇḍa by the wife of the sacrificer. The beliefs behind such a practice will also be discussed in the paper.

25 Veda 17th World Sanskrit Conference, 2018

“Who Has Found Speech Having Entered Into the Seers?”: On RV 10.71.3 Frank Köhler University of Tübingen (Tübingen, Germany)

The sūkta (=RV) 10.71 ascribed to Bṛhaspati, is often considered to express the importance of the of sacred speech for the performance of sacrifice, and the contents of its stanzas fit neatly into this interpretation. However, after a closer look at some of these stanzas, things appear to be more complicated. This talk will be about one such instance, RV 10.71.3, especially pādas a - c. Here some unspecified subjects searched for sacred speech, found her within the seers and distributed her after having brought her near (yajñéna vācáḥ padavīý am āyan tā́m ánv avindann ṛṣ́ iṣu práviṣṭām tā́m ābhṛtý ā vy àdadhuḥ purutrā́). But who did all this? The stanza concludes with the seven rebhás, singers who scream at speech (tā́ṃ saptá rebhā́ḥ abhí sáṃ navante), but rebhá does usually not occur in the RV in connection with the establishment of sacrificial structures, including sacred speech. A glance at the first two stanzas does not help either: The only nouns appearing there (apart from participles) are dhīŕ āḥ and sákhāyaḥ in stanza 2, both of them not specific enough to determine the identity of the beings they are attributes of. The most likely subject would be the ṛ́ṣis, but given the syntax of this stanza this seems unlikely, since they appear in the locative case in pāda d. Another alternative, the present sacrificial priests, is difficult to reconcile with the use of the imperfective tense in this stanza. In this talk it will be argued that not the ṛ́ṣis, but the kavís are to be sullied as the missing subject, in line with the activities ascribed to them in sūktas like e. g. 10.53 and 10.114. Furthermore, the consequences of this interpretation for the analysis of the whole sūkta will be discussed.

26 17th World Sanskrit Conference, 2018 Veda

Elements of the Śramaṇa Tradition as Found in the Vedas Purvi D. Mahendra University (Ahmedabad, GJ, India)

We know that the Vedas give impetus to many doctrines. Vedic literature contains the seeds of almost all the major philosophical sects. The Śramaṇa tradition has also got some correspondence with the Vedas, particularly with regard to the Karma theory. Though Vedic literature does not give much information about Karma theory, the rule of ṛta ( ) (Vāj. Saṃhitā 17.82; ṚV 1.20.10) may be y3 identified as the basic theory of Karma. Dalasukhbhai Malvania, in Atma Mimansa, opines that we cannot say for sure that the Upaniṣads admit karma to be the cause of the world. Though Karma theory is found in Vedic, Jain and Buddhist traditions, the way that the Jainas have consolidated it is parallel to none. Jain Karma theory carries the same importance as the ṛta of the Vedas, apūrva of the Mīmāṃsakas, adṛṣṭa of the Naiyāyikas, māyā of Vedāntins, and prakṛti of the Sāṅkhyas. The admission of rebirth is the basis of Karma theory and the concept of rebirth prevails in Vedic, Jain and Buddhist . The Vedas state that activity and inactivity is the twofold nature of ordinary life. Immorality results from inactivity, morality is result of activity. One should understand that as things happen, good or bad are the result of their actions. And the results of these two would come about on account of the goodness or badness of the action, whether those results be heaven or something leading to heaven or life or death. The Jain doctrine of Karma has agreement with these principles of the Vedas. It admits that a living being is the result of its former birth. Jainas believe that not only action but motive behind it, is also Karma (Devendra Suri, Karmagranth pratham).

27 Veda 17th World Sanskrit Conference, 2018

Rites for a Delivery of Fetus and Afterbirth in Veda Naoko Nishimura Tohoku University (Sendai, Japan)

This contribution aims for an examination of Vedic hymns and rituals for an easy delivery, focusing on afterbirth. The reference to afterbirth delivery rites are found only in the texts of the Taittirīya School belonging to the Black Yajurveda (Āpastamba-, Bharadvāja-, and Hiraṇyakeśi-Grhyas̥ ūtra) and those of the White Yajurveda School (Brhad-̥ Āraṇyaka-Upaniṣad, Pāraskara-Grhyas̥ ūtra). These Grhyas̥ ūtras, the texts for the Vedic domestic rites provide the ritual which prompts afterbirth to come out fast. They consist of reciting mantras and pouring water over a parturient woman. The PārGrS̥ ū calls this ritual Avarā-avapatana (‘dropping of afterbirth’). It forms a part of the rite for an easy delivery, to which the GrS̥ ūs of the Taittirīya School give the name of Kṣipraṃsuvana or Kṣipraprasavana (‘Fast delivery [of fetus]’).

Ancient Indian medicine seems to have given a key role to magic rites originally. The Atharvaveda, for example, contains many medical hymns of which purpose is a cure for fractures, hemostasis, an antidote against poison, and so on. Although it is not clear how medical activity was actually performed in those days, we might infer that medicine basically had a close relationship with magic. We can also find Vedic hymns and rites which treat of pregnancy, birth of a child, or infertility. Investigation of them brings us an elucidation of the way how Indo- observed and understood the perinatal subjects. The human embryo is enveloped in a bag of egg, or fetal membrane, which consists of three layers. A mother excretes these fetal appendages including a placenta and an umbilical cord as afterbirth. The delay of afterbirth delivery, called ‘retained afterbirth’, could give rise to a serious medical disorder in the mother. The foregoing materials in Veda demonstrate that the Indo-Aryan people understood the fast delivery of afterbirth brings good condition to a mother.

28 17th World Sanskrit Conference, 2018 Veda

On Smell or Incense in the Vedic Ritual Chisei Oshima University of Tokyo (Tokyo, Japan)

This research is to show investigations into the smell, odor, or fragrance in Vedic literature and ritual. In post-Vedic or early tantric ritual, a kind of incense is commonly used and enumerated as one of the offering items, namely gandha, in most cases. However, we hardly see such an item in the Vedic, especially śrauta ritual. Therefore, I explore mainly gandha, which is theologically explained in the Saṃhitās and Brāhmaṇas and used as an object in the ritualistic contexts in the Brāhmaṇas and Śrautasūtras, to portray evolution of religious smell. This research can be encapsulated as follows:

In the myths, gandha is consumed by Gandharvas and mostly means a smell of plants: lotus, pine, or resin. Bad smell is also referred to as gandha. Some examples show the smell of carrion, which is emitted by a sacrificial animal, an oblation. The smell of soma especially seems important in the Soma sacrifice. Considering dhūpana (fumigation)—fire and smoke is significant because it might be regarded as an origin of a stick of incense. It is noteworthy that horse dung is used as smoking chips in the Śatapatha Brāhmaṇa.

There is one further important thing that we must not ignore. Ointment and its fragrance could be a key to understand the history of the ritualistic incense. It indicates that it is an origin of the incense application to a body of the sacrificer or priests, seen in modern religious rituals. Particularly, it is implied that the fragrance of dairy products may be, though it is not frequently indicated, the pioneer of the specific incense. The Gṛhyasūtras are also to be examined.

29 Veda 17th World Sanskrit Conference, 2018

A Critical Analysis of the Manuscript Padamuṣṭi Bhagyalata Pataskar Vaidika Samshodhana Mandala (Pune, MH, India)

Padamuṣṭi is a small manuscript containing eight kārikās, along with an explanation of the kārikās followed by the elucidation from the Kāṇva Saṃhitā. There are 24 folios. The first one begins with || atha padamuṣṭi prārambhaḥ || rāma || and the last one is || iti padamuṣṭi samāptaḥ || śrīrāma ||

The manuscript begins with śri pāṇḍuraṅga prasaṃna. It ends with iti śrīmat padavākyapramāṇajñā vājyagresaraśākhirāmakṛṣnapaṇḍitaviracitāśrīyajñavalkyakartṛkā padamuṣṭim. The manuscript sheds light on the code letters found at the end of the padapāṭha of the Kāṇva Saṃhitā which remained secret till date, e.g., the code letter for 1.1.1 is gīṃ. The manuscript explains that the ī represents three words and the anusvāra represents the ten. Collectively, the īṃ portion of the code letter represents a total of thirteen words. The ga belongs to the ka class which represents one veṣṭana (The words with iti). The consonants of the class are also used to indicate the number of words ending with visarga. Each first, second, third, fourth and fifth consonant of the class represents one, two, three, four and five numbers respectively. In the kaṇḍikā mentioned above, there are three words with visarga at the end which is also indicated by g. The śa, ṣa, sa and ha represent one, two, three and four numbers respectively to indicate those many n-ending words of the kaṇḍikā. For the same purpose, ya, va and la are also used for the number one, two, three respectively, e.g., a code letter for the 10.5.1 is jyauṃ where y represents one n-ending word. The present paper aims at a critical analysis of the manuscript Padamuṣṭi in the light of all the code letters given at the end of the padapāṭha of the Kāṇva Saṃhitā published by Vaidika Samshodhana Mandala, Pune. I am also trying to find out the motivation behind this exercise and its relevance.

30 17th World Sanskrit Conference, 2018 Veda

Elements of Creation in Nāsadīya Hymn (Ṛgveda 10.129) Murlimanohar Pathak D. D. Upadhyay Gorakhpur University (Gorakhpur, India)

The problem of the origin of this universe, takes the position of supreme importance in the different systems of . The root of this problem is found in the Vedic Literature. The cosmological problem for Vedic seers is merely a means to the realization of the Supreme end. The philosophical quest of the Vedic seers regarding the problem of the origin of creation has reached its perfection in the Nāsadīya hymn, which is one of the most important hymns both for its depth and maturity of thoughts. ‘What is the ultimate source of the universe?’ is the burning problem of the seer of the hymn.

In the course of enquiry, the rishi starts with the speculation of the condition prevailing before creation. In the beginning of the hymn, the seer describes the condition at the time of dissolution of all world of matter. At that state the main cause of this universe was not a mere imaginary unreal, like the hare’s horn. This world is real in its nature, so it can not come into being from an unreal entity. The conclusion becomes irresistible that the primal cause which existed in the beginning of creation was neither real nor unreal and as such was undefinable in its intrinsic character. In the last verse of the hymn it has been proclaimed that God has created this universe.He sustains and preserves it. He is both the material and efficient cause of it. The present paper aims at exploring the mystery of the origin of the universe, lying in the hymn, as far as possible.

31 Veda 17th World Sanskrit Conference, 2018

An Early Pun of the Ṛgveda Georges-Jean Pinault EPHE (Paris, France)

The first hymn of the Ṛgveda (RV) has been very carefully composed as opening of the whole collection, and as addressed to Agni, the first god of the sacrifice. This paper will concentrate on a word which has remained a vexed issue until now: the vocative singular found at the beginning of RV 1.1.7b. The same form occurs again at the beginning of two further pādas, 4.4.9b and 7.15.15b, also addressing Agni. This isolated form has been most often interpreted as a governing compound which means “illuminator of the evening” or “of the darkness,” see for instance Grassmann, Geldner and Jamison & Brereton (2014). As an alternative, Praust (2012) has claimed that this vocative is based on some kind of hypostasis of the phrase “in the evening and at dawn.” The paper will argue that this compound plays on two different verbal roots: vas- “to shine”, found in the designation of the Dawn, but not used for Agni, and vas- “to wear,” which occurs in the phrase “to clothe oneself in lights, shining forms” (RV 3.55.14a, 6.4.3b, etc.), with Agni as agent. The compound, which condensed two pre-existing phrases, meant originally: “O you who clothe yourself (in your garment of flames) at evening [as well as at dawn].”

32 17th World Sanskrit Conference, 2018 Veda

The Place of Puruṣa Sūkta in the Metaphysics of Pāñcarātra and Viśiṣṭādvaita Ruzana Pskhu Peoples’ Friendship University of Russia (Moscow, Russia)

The paper deals with the role of the famous Vedic hymn devoted to description of the world-creation as a cosmological sacrifice of Puruṣa (Ṛgveda, X.90) in the tantric tradition of pāñcarātra. Despite of the fact that the word “pañcarātra” has several etymological versions, which agree in understanding the first part of it (“pañca” as five), the origin of this word is not clear enough till now. Usually this word (and the cult of Nārāyaṇa) is interpreted in the context of the 13th book of the Śatapatha Brāhmaṇa (the sixth adhyāya), which describes the five-night sacrifice of Nārāyaṇa, who attends at the end of it the transcendental and immanent level of being. This passage of the Śatapatha Brāhmaṇa is connected with the Puruṣa Sūkta, the famous hymn of Ṛgveda (X.90) and the myth which is its basis.

This paper deals with the lexical analysis of the language of this hymn and basic theories of world- creation in the later Vaiṣṇava tradition, based on the texts of the main saṃhitās of Pāñcarātra and Yāmuna’s Āgamaprāmāṇya (Treatise on the Validity of Pāñcarātra). The main idea is that this identification of Puruṣa with Viṣṇu-Nārāyaṇa, which was made on the philosophical level in Viśiṣṭādvaita, could be explained and linguistically demonstrated in the context of the Upaniṣadic term antaryāmin (“inner ”), which was interpreted by some Vedāntins in their commentaries to the Subāla Upaniṣad, Māṇḍūkya Upaniṣad and other texts of śruti, as the Paramātmā of Vedānta philosophy (e.g. the Advaita Vedānta of Śaṅkara and Bhedābhedavāda of Bhāskara).

33 Veda 17th World Sanskrit Conference, 2018

Survival in a Decadent Age: The Contribution of the Gores, the Atharvavedin Royal Chaplains From Maharashtra Julieta Adina Rotaru Södertörn University (Stockholm, Sweden)

In the library of Vaidika Samshodhana Mandala, Pune, there is a collection of unedited manuscripts containing mainly ritual texts of the Atharvaveda, which were used by the priests of the Gore family from Sangli (Maharashtra) in their domestic rituals. The Gores were prominent Brahmins residing in Deccan, wherein they served as chaplains to the Mahārājās of Satara and Sangli on the eve of colonization. Ganesh Bhat (Dādā) Gore is recorded to have discovered the important manuscripts of Sāyana’s commentary to the Atharvaveda early in the 19th century. This much is known in the public domain about the Gores. Based on the information from the colophons and marginal notes of the mentioned manuscripts I have discovered that Ganesh Bhat Gore had established a school in Gwalior. In January 2012, I met in Gwalior the heirs of Gore, who was summoned from Sangli by the Mahārājā of Gwalior in 1857 to serve as chaplain, a service that was continued by the family until Independence. In the locations from which Ganesh Bhat’s disciples hailed, Gujarat and Maharashtra, Atharvavedic studies were not doing so well during the 19th century. Gaṇesh Bhat (Dādā) Gore, probably from political reasons similar to those for which his family of royal priests had moved to Sangli, founded a school in Gwalior where he taught the Atharvaveda, for which he collected manuscripts of ritual texts and made an attempt to revive the priestly tradition. It becomes now evident that the Atharvavedic texts in the Gore collection, some of the manuscripts of which belonged to the disciples of Dādā Gore, represent not only the creations of the old Sangli-Mahuli school of the Atharvaveda as thought by Rotaru (2008), but also of the later Gwalior school.

34 17th World Sanskrit Conference, 2018 Veda

Myth and Literary Imagery of Rock and Mountain in Early Vedic Texts Christiane Schaefer Uppsala University (Uppsala, Sweden)

Mountains and rocks are not only ingredients of some of the most prominent myths in the Veda, they are also integral parts of the Ṛgveda’s literary imagery. In my presentation I want to analyse the poetic language of the myths and motives of mountains and rocks in early Vedic texts and discuss the multi- layered literary imagery, underlying concepts of nature and landscape, symbolic content and ritual connections.

35 Veda 17th World Sanskrit Conference, 2018

शुकयजुःपा तिशाखस अपका शितदी पिकाटीकायाः वै शिषम् Pankaj Kumar Sharma Rashtriya Sanskrit Sansthan (Delhi, India) वाजसने यिपा तिशाखस चतसॄणां टीकानामुलेखो दशते। तासु चतसृषु टीकासु ति स ः टीकाः पका शिताः। महाराष्पदेशसजुनरगामिनवािसना सदािशवभटािगहोितसुतरामािगहोितकृतदीिपकाटीकायाः पाणुिलिपः अदाविध ं यावत ् हसलेखरपेण अपका शिता सङ्गहालयेषु सुर किता वरतते। सम ति असाः टीकायाः हसलेखस चतसः पाणु लिपयः पापने। लिपिकारानुसारैः असाः रचनाकालः ३३६ वषेभः पागेव प तिभा ति। असां टीकायां याजवल शिका-पा णिनीय शिका- पा णिनीयवाकरण-कातीयप तिजासूत-उवटकृता मातृमोदटीका-अननभटकृता पदा रथपकाशटीका-शतपथबाहण- धरमशासोकवाकानाञोलेखः साररपेण नववाकरणपरकदषा कृ त ो वरतते। यथा- गने लेखकः वा गिवरणे शु तिवाकं िलखित, तथा च शिु त:॥ वाग वा असैनवायव इतुपकम तौ पजापित ं पितपशिमयतुरीित च तुरीयमेव भाजयाञकारेत धिकृत तदेततुरीयं वाचो नि र क ं यननुषा वदनथैततुरीयं वाचो नि र क ं यतशवो वदनथैततुरीयं वाचो िनरकं यदयािस वदनथततै रु ीयं वाचो िनरकं यिददं कदु ं सरीसृपं तसादेतदषीणाभनूकिमित॥ मङलाचरणे एव लेखकः सकीयं उदेशं पकटय ति - नता गुरपदामोजकातीयादीनृषीन पि। कि य त े बालबोधाय पा तिशाखस दी पिका॥ नानागनान् समालोक उवटा दिकृतान पि। शि क ा श समदायाँश तथाऽधेतृगणस च॥ इति॥ असाः विभाजनं तयोदशाधायेषु वरतते। तेषाम् अधायानां नाम विभाजनेऽ पि पा णिनीयवाकणस प कियागनानामनुकरणं दशते। यथा- १. संजाप रिभाषा च / २. अचस निः / ३. पकृ तिभावः / ४. वञनस निः / ५. रि फि त स नि ः / ६. वञन विकारस निः / ७. वञन विकार नियमस निः / ८. पदा धिकारः / ९. पदकमा धिकारः / १०. उदाता धिकारः / ११. सरितविधिः / १२. अनुदाता धिकारः / १३. सरप किया असां जटादष विकृतीनां सोदाहरणानां बृहतमः सरपः परिदशते। असां टीकायाम् अपका शित- हयगीवना मिवाजसने यिशिकायाः उलेखः अनेकेषु सलेषु पापते। रामा गिहो तिणा महत रिशमेण मूलपा तिशाखस गूढा रथ वि च ा य र , नैकानगनान् समालोक, वाकरणपरकदषा अनुशीलनातकरपेण च लि खि त ा इयं टीका वै दिकसा हिते, वै दिकसमाजे च िवदषु ाङृते वेदिवदाथीनाञ कृत े महती ंभूिमका ं िवधासित। इित।

36 17th World Sanskrit Conference, 2018 Veda

Vedic Remedies to Eradicate Ādhidaivika Sorrow Kalindi Shukla S. V. Arts College (Ahmedabad, GJ, India)

Sāyaṇa, in his preface of Atharvaveda commented that by studying Atharvaveda one gets the fruit of studying all other Vedas. So here the term ‘Vedic Remedies’ has been applied in the title indicating Atharvaveda. In the Atharvaveda we get mantras related to wealth, health, long life, intellect, fearlessness, etc. for the happiness of इहलोक. But sorrows like numerous physical and mental diseases, accidents, and natural calamities hinders the happiness of a person and progress of the nation and so it is essential to abolish them. Remedies to eradicate all these sorrows have been mentioned in Atharvaveda. All these sorrows can be divided into three major parts as per Sāṃkhya philosophy: (1) ādhyātmika sorrow, (2) ādhibhautika sorrow, (3) ādhidaivika sorrows. This research paper aims on depicting useful information regarding Atharvaveda for the elimination of ādhidaivika sorrows. Thus it reflects greatness of Vedas to be useful to the mankind.

37 Veda 17th World Sanskrit Conference, 2018

Fertility in Vedic Ritual: Towards a New Interpretation of Ucchiṣṭa Barbora Sojková University of Oxford (Oxford, England)

The focus of this paper is to explore how an analysis of the phenomenon of the ritual remnant can contribute to the understanding of the meaning of fertility in Vedic ritual system. The ritual remnant represents a very ambiguous concept: it is a ubiquitous, yet marginal element of Vedic ritual; it is at once an impure substance, which can jeopardize the ritual purity of the whole household, but nevertheless a very powerful matter, containing a divine “touch.” The ambiguous character of the phenomenon reveals its enormous explanatory potential, which will be investigated in the present paper.

Principally, the basis of the paper lies in identifying the most prominent ritual manipulation of the leftover in śrauta rituals and ancestral rites, mainly the Third Pressing of the soma, the oblation of āśir, sviṣṭakṛt, and iḍā, and the offering of piṇḍa balls. Based on the analysis of the operative function of the ritual element, I argue that the concept of leftover is ritually associated primarily with the fertility of the sacrificer and his wife, and the prosperity of their household. As I suggest, the symbolism of fertility is articulated not only in its semantics but also in its position in the ritual process. The remnant is usually evoked primarily during the preparatory and closing actions of rituals which are symbolically situated within the household and therefore connected with the sphere of prosperity.

In particular, I suggest that the remnant, which is apparently associated with fertility, represents a condensed form of Vedic rituals. I argue that fertility is one of the possible interpretation keys to Vedic ritual: worldly goods and fertility might be one of the main reasons why the Vedic āhitāgni performs sacrifice in the first place. The remnant signifies the goal of the ritual and serves as its pars pro toto.

38 17th World Sanskrit Conference, 2018 Veda

New Finds From the Atharvaveda Paippalāda Carmen Spiers EPHE (Paris, France)

This paper will present some concrete examples of my work on Book 3 of the Atharvaveda Paippalāda, the subject of my doctoral thesis. With careful investigation, not only do mysteries belonging solely to the Paippalāda recension become clear, but also some already present in the Śaunakīya, like the odd “indrendra” of Śaun. 3.4.6 clarified by Paipp. 3.1.6, or the confusion in Śaun. 3.1.2/Paipp. 3.6.2: mrṇ̥ ata, mrṛ̥ ata, mrḷ̥ata, crushing or showing favor? Manuscript variants and parallels can throw light on obscure passages. Examples include one in which a demon is castrated by his own chariot (Paipp. 3.7 = Śaun. 3.9), or a new Paipp.-only anti-poison hymn speaking of stones and embryos (Paipp. 3.16). Lastly, some passages could add to our knowledge of Vedic society, such as a Paipp.-only passage, 3.17.2, which mysteriously puts doctors (bhiṣaj) in the company of dogs and heroes, possibly explicable with a Vrātya connection.

39 Veda 17th World Sanskrit Conference, 2018

The Aesthetics of the Early Upaniṣads Mirela Stosic University of Toronto (Toronto, ON, Canada)

The early Upaniṣads are religious texts composed between 700 - 500 BCE in India. They are the latter part of the Vedas which were composed by unknown authors between 1500 - 500 BCE. This paper examines the early Upaniṣads as literary texts and inquires into the ways in which the frame stories work aesthetically. I look into the relationship between form and content and propose that the frame stories prepare the audience for the philosophical messages. In order to ascertain the function of the frame stories, I examine on one hand, the specific strategies that prepare the reader and on the other, the particular techniques that are used to deliver the messages. Through the use of different narrative tools the Upaniṣadic narratives signal the reader that the characters need to undergo a certain level of mental preparation in order to receive the teachings. With respect to the delivery of the messages, I explore particular narrative techniques through which the Upaniṣadic messages are conveyed, like the use of dialogue, tension between the characters and secrecy of the teachings. So, the form and content are brought together through the elements of the narrative that depict the transformation of the characters who wish to hear the Upaniṣadic messages.

40 17th World Sanskrit Conference, 2018 Veda

Upākarmavidhi in the Atharva Veda Tradition Shilpa Sumant Bhandarkar Oriental Research Institute, Deccan College PGRI (Pune, MH, India)

Upākarma, also called Vedavrata, is a rite usually performed on Śravaṇa constellation every year for the commencement of Vedic studies among all Vedic Śākhās. The ritual texts vary to a large extent as to the procedure of this rite. (Cf. Kane 1997). The present paper aims to bring forth the peculiarities of the Upākarma ritual in the Atharva Veda tradition in both of its Śākhās: Śaunaka and Paippalāda.

For the account of this rite in the Śaunaka Śākhā one may refer to Kauśikasūtra (139.1–12) and Keśava’s commentary on it. In addition, I will use some manuscript material for this study. There are two prayoga manuscripts named Upākarmaprayoga collected by me from BORI, Pune (Accession No. 40/1884-87) and Vaidik Samshodhan Mandal (Accession No. 4232). The third one is also a prayoga- manuscript named Prayogabhānu describing all the domestic rituals of Śaunaka Atharvavedins, wherein Upākarma appears through folios 359 to 368. I propose to present the text of Upākarmaprayoga in the Śaunaka Śākhā based on these manuscripts. The two published sources for the study of this rite in the Paippalāda Śākhā are a prayoga-treatise named Paippalādavivāhādisaṃskārapaddhati composed by Pt. Umākānta Paṇḍā in 2000 and Griffiths (2003). This paper will present the procedure of Upākarma rite in both the schools highlighting the similarities and differences in the performance in both the Śākhās of the Atharva Veda and with other Vedic Śākhās. It is also interesting study Upākarma because as a part of the ritual, a person undergoing this rite recites Brahmayajña consisting of incipits of the texts of that Śākhā. This helps to identify the authentic texts and sections of those texts traditionally known in that Śākhā.

41 Veda 17th World Sanskrit Conference, 2018

The Concept of Pañca Mahāyajña: Origin and Transformation N. K. Sundareswaran University of Calicut (Thenjipalam, KL, India)

The earliest references to the pañca mahāyajñas are in the Taittirīya Āraṇyaka, Śatapatha Brāhmaṇa and the Āśvalāyana Gṛhyasūtra. In the Dharmaśāstra literature, the prescription and description of the pañca mahāyajñas is seen repeated. In the said vedic passages (of Taittirīya Āraṇyaka, Śatapatha Brāhmaṇa), the focus is on the ritualistic performance of brahmayajña. What actually could have triggered the idea of such a pentad? Why are they called mahāyajñas? The Taittirīya passage begins the section with the statement that these can be easily performed every day (“pañca vā ete mahāyajñāḥ satati pratāyante satati santiṣṭhante”). Manusmṛti states that the five mahāyajñas should be performed by a householder to do away with sin caused by five seats of affliction (“pañca sūnā gŗhasthasya”). The performance of these mahāyajñas has been continued in the tradition, with slight variations in ritual details. Āśvalāyana Gṛhyasūtra itself prescribes offering tarpaṇa for pitṛ-s as part of the brahmayajña. This pitṛ-tarpaṇa part is elaborated in the later tradition, especially among the Sāmavedins and the Ṛgvedins. The bhūtayajña got more ritualized and became part of Vaiśvadeva.

There are some significant questions regarding the origin and development of such a concept: 1. It is ordained that brahmayajña should be performed outside the grāma. What is the reason for taking it outside the grāma. What is a grāma? 2. All the above texts extol the efficacy of Brahmayajña in propitiating the pitṛs (“payasaḥ kūlyā asya pit n svadhā abhivahanti …”). What caused such a belief ṝ and concept? 3. What was the earlier practice of propitiating pitṛs? (The practice of performing śrāddha seems to have been rooted in the Piṇḍapitṛyajña of the Śrauta tradition.) These and other allied questions are proposed to be discussed in this paper with special reference to the Taittirīya Āraṇyaka passage (the second prapāṭhaka).

42 17th World Sanskrit Conference, 2018 Veda

The Function of the Heart and Emotion in the Ṛgveda Ryutaro Takezaki University of Tokyo (Tokyo, Japan)

The topic of this paper is the function of the heart and emotion in the Ṛgveda. The topic of the Ṛgvedic heart has been previously studied in one chapter of Gonda’s book, The Vision of the Vedic Poets, and Dandekar’s book, der vedische Mensch, as well as his two articles. My research is more detailed and comprehensive than these. I have extracted all the occurrences of the word heart (hṛd-, hṛdaya) and its derivatives and analyzed their contexts by classifying these into several categories. Their contexts are broadly classified into six categories. 1) the poets fashion (√takṣ) their ideas into the words of a poem by the heart. 2) the making of a poem is compared to the purification of Soma through filters inside the heart. 3) inside the heart lie mental entities like will and inspiration. 4) the heart has an emotional function. 5) the heart is subject to attacks by enemies and diseases. 6) the heart is associated with manas, in the formula “hṛdā manasā.” I then focus on and analyze in detail the examples where the heart has an emotional function in the Ṛgveda, with examples added from later literature also. The emotions expressed with words bhī, kāma, √tap, and nir√dah are associated with the heart in the Ṛgveda, and in some examples it is explicitly stated that an emotion ‘comes to the heart’ or ‘finds’ a person (the latter is treated in Hoffmann Aufsätze p.768). This means that the Ṛgvedic people regarded the emotion as something coming from outside a person and reaches his/ her heart.

43 Veda 17th World Sanskrit Conference, 2018

Thirty-Three Gods: Who Are They? Neelima Thatte Tilak Maharashtra Vidyapeeth (Pune, MH, India)

Thirty-three Gods (trayastriṃśad-devāḥ) is a well known concept in Indian mythology. Right from the Ṛgveda, we find mentions of the thirty-three gods, following the division based on their place of dwelling, i.e. the earth (pṛthivī), the sky (antarikṣa) and the heaven (dyus), with eleven deities in each division. However, the Śatapatha Brāhmaṇa mentions three main groups, namely Ādityas, Rudras and Vasus. Twelve Ādityas, eleven Rudras and eight Vasus together make up the number thirty-one. The remaining two places are assigned to Indra and Prajāpati. Here a problem arises. The Śatapatha Brāhmaṇa, while describing the Vājapeya sacrifice, mentions thirty-three cups for thirty-three gods. It further states that the thirty-fourth cup shall be taken up for Prajāpati. But Prajāpati was already included in the list of thirty-three gods. The controversy increases in the course of time as well. We find different names of the Ādityas, Rudras and Vasus in different texts. The remaining two places, after calculating the thirty-one Ādityas, Rudras and Vasus, are assigned either to two Aśvins or to Dyāvāpṛthivī. Although included as one of the Vasus, Pṛthivī is also separately mentioned with Dyus to make up the total. Even though Indra is included as Śakra in the group of Ādityas, he still has a separate place with Prajāpati to make up the number thirty-three. It can be thus observed that although the number thirty-three of the Ṛgveda was maintained by the later texts, there was no consistency (and, sometimes, clarity) about who these thirty-three gods are. The present paper attempts to find out why and how the deities incorporated in this particular list would have changed in the course of time. The study also aims at discussing the place of thirty-second and thirty-third deities in the cases where thirty-one deities have been unanimously identified with the Ādityas, Rudras and Vasus.

44 17th World Sanskrit Conference, 2018 Veda

“Sorcerer” or “Sack of Shit”? Rethinking Yātú and Yātudhā́na in the Ṛgveda and Atharvaveda Jarrod Whitaker Wake Forest University (Winston-Salem, NC, USA)

In a well-known hymn contained in the Rgvedḁ (7.104.1-25) and Atharvaveda (≈ ŚS.8.4.1-25; cf. PS.16.9-11), the family priest Vasiṣṭha vociferously counters an accusation levelled at him of being a yātudhā́na or “sorcerer.” This paper questions our standard interpretation of the terms yātú and yātudhā́na in early Vedic culture. The two terms have been universally understood in terms of black magic, sorcery, and demonology. I eschew the analytically bankrupt theories of magic, which developed at the turn of the 20th century and deeply influenced Vedic studies, in favor of contemporary social science theories for understanding human behavior, particularly ritual studies, discourse analysis, and conflict theory. A close reading of the terms will demonstrate that yātú denotes a negative emotional state and mental intention that can only manifest in destructive, violent behavior. At the cosmic level, it reflects an existential reality that poses a threat even to Indra, the mightiest of gods. The term yātú is thus best translated as “malice; malicious thought/intent/ attack” and yātudhā́na can be literally rendered as “malice-bag, sack of hate” or less literally as “malice-maker.” Consequently, a yātudhā́na represents a direct threat to cosmic order and truth, and by implication priestly integrity and authority. For Vasiṣṭha, it is the worst thing a priest could be accused of being. This interpretation shifts our focus so that the terms can be seen first and foremost as extreme insults, as strident speech acts that mark the vilest kinds of anti-social behavior and cosmically abhorrent realities. The terms are thus part of a larger discursive formation that at its heart is exclusionary, designating insider from outsider, truth from untruth, order from chaos, and sacred liturgical speech from falsehoods, lies, and aberrant practices.

45 Veda 17th World Sanskrit Conference, 2018

वेदसाधयने नि र क स भू मिका Usha Kiran Yadav SMM Government Girls PG College (Bhilwara, RJ, India) पृ थिवामसां सृषेः सरवविधततानामाधारभूतपामाणिकजानविजानस पापेः मूलसोतो वेदशासम सि तस साधायस तदनुरपं च करमयोगस सङल: करणीयः। “कामो हि वेदा धिगमः करमयोगश वै दिकः”।। ………सरवजानमयो हि सः’’।। मनुसृतौ 2/ 2, 7 शि क ा कलो वाकरणं नि र क ं छनः जो तिषं च वेदस षडङा नि सनि। अङे ति शबेन सषीभव ति यदेता नि वेदस सहायकशासा णि सनि। वै दिकशोधकेते कृतसवेकणेन जातं यदेदाङानां विभिनपकान धिकृतानेकाः शोधलेखाः पका शिता अभवनशोधसासामेव िदशाया ं िनरकस भूिमकायाः साङोपाङयिु कयकु सुसषिववेचनाथमर स िवषयस चयनङृतम।् अद यनिरकमुपलभते तदासाचायेण पणीतम सि। कालशास सपममषमं वा शतकं ई. पू. इति मनने । अस वेदाङस भू मिकाया नि र प ण ं मुखतरस विषयवसुपयोजनपसुतीकरणदारा कृ त म ्। यद पि गनोऽयं वेदसाङं वि द त ऽे त ः वेदसं हिता एवास वि ष य व स ु भवितवं कि न ु गनेन जायते यततकतरस पतिपादः नि घ ण ुन ा म क : समामायो वै दिकशबकोशो वा वि द त ऽे प त क त श वेदसं हितानां मनाः। पतकतोऽयं समामायस वाखागनो वि द त । े समामायस सृजनं वेदानाम रथस सषतया पकाशना रथमेव कृ त म त ः अस पमुखभू मिका तस शबानां वाखाकरणम सि । पदिनवरचनं वाखा वाऽस गनस पमुखपयोजनमिस। अनेनैवेदमिप जातंु शकते यद ् वैिदकशबकोशस नाम िनघणु: इित कसात् कारणात् भव ति। पदाना नि रवचनैः वेदमनाणाम रथस पकाशनं सुगमतया जायते। इद निरकस दि त ी य प य ो ज न म सि । यासाचायरस मतमिस यद ् मनुषाणा ं कृते वेदानामधयनमथजर ानेन सह आवशकमिस। यतो हसाकं जानमपूण र भवित, तस पू रणता वेदमनैरेव जायते मनाशा रथजानसाभावे नि ष ल ा भव नि। पदमना रथजानेन सह गनेनानेन पद विभागजानं देवताजानं चा पि भव ति। अ रथजानेन पशंसायाः पा पिर पि भव ति। ति भि र े भि : कारणैरपस भू मिका महतपू रणा वि द त े । गने वि ष य व स नु ः पसुतीकरणम पि वि शि ष ं वि द त । े नि घ ण ो ः शबानां वव सिता वाखा पतकपरोका तिपरोके ति तिसृ भिः री तिभि : कृ त ा । वाखायाः वि स तृ नि य म ा अपत पतिपादिताः सनि तेषामनुपालनाऽ पि कृताऽऽचायेण। नि य म ैत गै र न स महताया वृ दिः सं जाता। आचा रयस दढमतम सि यतदानां वाखा सा रथका भवितवा तदथे वाकरणस नि य म ा : पाधानेनावगनवाः यतोऽ रथ एव शबस पाणततं भव ति। अथेनैव वाकरणस वुततय: समू रणा भव नि आधु निकयुगे स रगनरकदेवपुरषमनुषादीनां पदानां समगा रथस जाना रथ वेदसाधयने नि र क स महतावशकता पासं गिकता च वि द त । े अनैरनेककारणैर पि गनसोपयो गिता वि द त े यस नि र प ण ं शोधपते कृ त म ् ।

46 17th World Sanskrit Conference, 2018 Linguistics

2. भाषाशासम् - Linguistics

The Meaning and Etymology of Ārya Giacomo Benedetti Ex., University of Pisa (Pisa, Italy)

The Sanskrit term ārya is one of the most important in the history of Indo-European studies also for its success in ideologies concerning races, and it is one of the most debated for its etymology. I would like, here, to consider again the issue, starting from the use of ārya and arya as “freeman” and “owner” in opposition to dāsa “slave” (or śūdra), from the Vedas to the Arthaśāstra and Pāli texts (in the form ayya). I interpret the original meaning as based on social classes rather than ethnic differences, although foreign populations could be considered as belonging to the dāsa or śūdra class. This social meaning can be found also in the Irish cognate: aire “freeman, noble”, and in Iranic cognates like Middle Persian ērīh “nobility.” From the social meaning, a moral and spiritual meaning could also be developed, especially in , which is more easily explained from the concept of “noble” and “freeman” than from that of an ethnic identity or kinship.

If the original meaning of Indo-European *aryos was “freeman, noble,” implying a social superiority, it can be compared with the Afro-Asiatic root *ħar- “(vb.) to be superior, to be higher in status or rank, to be above or over; (n.) nobleman, master, chief, superior; (adj.) free-born, noble” (Bomhard 2014: 319-320), giving e.g. Arabic ḥurr “noble, free-born” and Egyptian ḥry “chief, master, overseer, superior.”

We can have thus to do with concepts of nobility and freedom developed in the common cultural frame of a society where slavery and social stratification were evolving. Linguistically, this should be put in the context of numerous comparable roots between Afro-Asiatic and Indo-European, and we can here consider the Indo-European roots *h3er- “to move, to rise” (with *-yos suffix) or *h1r(e)i- ‘to rise’ (with *-os suffix).

47 Linguistics 17th World Sanskrit Conference, 2018

Morphological Analysis of Compounds in Sanskrit With Special Reference to Encyclopaedic Dictionary of Sanskrit on Historical Principles Prasad R. Bhide K. J. Somaiya College of Arts & Commerce (Mumbai, MH, India)

The morphological analysis of compounds is challenging in Sanskrit as compounding is a very productive process of word formation in Sanskrit. This paper aims to identify different compound structures in Sanskrit and further suggest a policy to do Immediate Constituent (IC) analysis of compound structures. In our previous publications, we studied IC analysis done in different volumes of the Encyclopaedic Dictionary of Sanskrit on Historical Principles (EDSHP) and five other bilingual Sanskrit dictionaries published in the 20th century. We identified different principles employed for IC analysis in these dictionaries.

The corpus taken for the study of compound structures in this paper is EDSHP. Other dictionaries list a limited number of compounds under the entry of the first member of the compound. But, EDSHP lists each compound expression separately. Moreover, it also includes compounds of more than two members. So, one comes to know about the variety of morphological structures in compounds, possible in Sanskrit. In order to classify these structures, the procedure of analyzing compounds in terms of ‘Head – Modifier’ relation is practical. When the compounds in EDSHP were analyzed in terms of Head and Modifier relation or substantive and adjective relation, the following permutations and combinations are found: 1. Adj. – Sub. 2;. Sub. – Adj. 3.; Adj. – (Adj. – Sub.); 4. (Adj. – Adj.) – Sub.; 5. (Adj. – Sub.)- Sub.; 6. Sub. (Adj. – Adj.); 7. Adj. (Sub. – Adj.). Further, we observe segmentation of compounds in vedic padapāṭha as well as in five bilingual dictionaries. On the basis of these observations, we propose a policy for IC analysis of compounds in Sanskrit. This could prove to be useful for IC analysis in further volumes of EDSHP in particular, and procedure for segmentation of morphemes in Sanskrit in general.

48 17th World Sanskrit Conference, 2018 Linguistics

Various Traditional Enumerations and Classifications of Sanskrit Speech Sounds: A Comparative Analysis Deepro Chakraborty University of Alberta (Edmonton, AB, Canada)

Śikṣās, Prātiśākhyas and Vyākaraṇas— these three types of Sanskrit text are the main sources that enumerate Sanskrit speech sounds (varṇas). I exclude the Tantric accounts on varṇas, the main orientation of which has nothing to do with a pure phonological study. Needless to say that the graphical representations of speech sounds i.e. the glyphs of the different writing systems used for writing Sanskrit (Devanāgarī, Grantha, Bengali, Śārada etc.) must not be taken into account in this study as the connection between these writing systems and speech sounds is altogether superficial. In general, the Prātiśākhya and the Śikṣā texts deal with different branches of Vedic schools in which dialectal variations of Vedic Sanskrit are often notable, whereas the Vyākaraṇa texts, as we find them today, are mostly engaged in describing the standard form of language which is often referred to as ‘Classical Sanskrit’. The enumeration and classification of Sanskrit speech sounds differ remarkably not only in the Śikṣā and the Prātiśākhya texts, but also in the Vyākaraṇa texts of different schools. For example, the speech sounds in the Vājasaneyi-Prātiśākhya, the Ṛgveda-Prātiśākhya, the Taittirīya Prātiśākhya, the Ṛktantra and the Pāṇinīya Śikṣā are enumerated as 65, 49, 59, 56 and 64 (alternatively 63) respectively. These texts also differ in categorizing sounds. The Kātantra Vyākaraṇa enumerates 51 speech sounds whereas the Pāṇinian Pratyāhārasūtras enumerate merely 43 sounds including the duplicate h. This paper intends to explore the motives behind such various enumerations of Sanskrit speech sounds. It investigates how the dialectal variations of Vedic Sanskrit were responsible for such differences in the Śikṣās and the Prātiśākhyas and provides a comparative analysis of such differences in classifying the varṇas in various Sanskrit grammatical schools.

49 Linguistics 17th World Sanskrit Conference, 2018

The Psycholinguistic Dimensions of Sanskrit Anuradha Choudry IIT Kharagpur (Kharagpur, WB, India)

Language plays an important role in formatting the mind and the culture of a civilization and is in turn, significantly influenced by them. The Indian psyche and its cultural expressions have been shaped by several regional languages across ages, but the foundations of its thought and philosophy have been recorded primarily in Sanskrit texts. This paper bases on certain interesting psycholinguistic insights gained while using this language in various forums with people from different socio-cultural backgrounds as well as in a multilingual context. I will attempt to highlight how this ancient language has linguistically been an apt mirror of the Indic worldview starting from the sounds that comprise its alphabet to the formation of its words and to the structure of its sentences and grammars. Understanding this aspect of the language would deepen one’s appreciation of the subject and add an enriching dimension to it.

50 17th World Sanskrit Conference, 2018 Linguistics

Antiquity and Nature of Early Non-Ritual Sanskrit Usage Madhav Deshpande University of Michigan (Ann Arbor, MI, USA)

Sheldon Pollock’s stimulating book, Language Of The Gods In The World Of Men, has offered numerous new ideas on the nature and the place of Sanskrit in ancient India. While I agree with many of his views, I want to offer a different view on the antiquity of the non-ritual Sanskrit usage, and in doing so I will offer a critique of some of Pollock’s ideas. To state it briefly, Pollock advocates a view that Sanskrit usage in Vedic times was pretty much restricted to the domain of ritual, and that only in later or post-Vedic times, and under patronage by certain dynasties, the usage of Sanskrit extended to non- ritual domains, and eventually it became a language of the cosmopolis. However, I want to claim that the availability of literature blinds us to a most likely reality of early language use that probably extended more widely beyond the domain of ritual. How does one deduce that conclusion? Towards this aim, I shall offer some methodological solutions. They include the following considerations:

1. Vedic texts present us a ritual, literary and a poetic register. Can such a high register exist in the absence of other registers of usage? 2. One needs to take a close look at Sanskrit vocabulary that is not found in the known Vedic texts, and is recorded by Pāṇini and found in later literature, that has Indo-European cognates. 3. Detecting traces of rare dialects, such as women’s usages, in the Vedic texts. 4. Reviewing Pāṇini’s description of Sanskrit for non-ritual and yet living areas of Sanskrit usage.

With such approaches, I shall argue that one can detect the existence of wider domains of the usage of Sanskrit beyond ritual, during and after the Vedic period.

51 Linguistics 17th World Sanskrit Conference, 2018

Usage Labels for Sanskrit Dictionary Chinmay Vijay Dharurkar Central University of Kerala (Kasaragod, KL, India)

The Oxford Advanced Learner’s Dictionary (Hornby 2005) uses seventeen usage labels ‘that are used with words that express a particular attitude or are appropriate in a particular situation’ like approving (for feisty, petite), disapproving (for blinkered, new-fangled) etc. To mention a few other labels: figurative, formal, humorous, informal, ironic, literary, old-fashioned, obsolete, dialect etc. The purpose of these labels is to communicate the propriety of the words to an advanced learner of English who most probably is not a native speaker of English. However, knowing these labels constitutes a significant aspect of knowledge of language which is not mere additional or peripheral but core and essential. I have not come across the Indian language dictionaries treating this aspect systematically. Particularly when language teaching and translation are on a massive scale, the dictionaries with such usage information would be the basic resource. Here, I propose some usage labels for Sanskrit dictionaries.

More than merely listing the labels, the paper discusses the otherness of Sanskrit and the specific labels we need to excavate from the sociolinguistic bilingual situations of Sanskrit, Prakrits and may be Dravidian at times. So, besides Pāṇinian pragmatic meaning-condition-based labels like prahāsa, or geographical markers like prācī etc. we may add labels like Buddhist, Jaina, or some more pertaining to the situations of rituals etc., let alone configuring the labels from OALD like literary, formal, informal, derogatory, obscene etc. The paper discusses instances and justification for the proposed usage labels. The usage labels constitute an aspect of the meaning of the word that in absence of such labels would not be communicated. The proposed usage labels for Sanskrit dictionaries are tentative and are open to editing and debate. The usage labels for Sanskrit would facilitate learning and teaching of Sanskrit.

52 17th World Sanskrit Conference, 2018 Linguistics

Bhartṛhari and Modern Linguistics (Bhartṛhari’s Theory of Sphoṭa and “Eme” in Modern Linguistics) Nilotpala Gandhi Gujarat University (Ahmedabad, GJ, India)

India has been a pioneer for linguistic studies. Patañjali first of all mentioned the word sphoṭa as a meaning bearing unit. Bhartṛhari in the 5th century AD developed his idea of sphoṭa in a different manner. Language, being a multi-strata object, has a unit at its every stratum. This unit at every stratum is important to convey the meaning. According to Bhartṛhari, this meaning bearing unit is sphoṭa. According to modern linguistics, the physical form of language is speech. It is manifested through sounds. Sounds are manifested in many different ways by different speakers, but the native speakers understand them as the same because they have the abstract image of that sound. This abstract image is a phoneme. This “eme” can be compared with Bhartṛhari’s theory of sphoṭa. The concept of abstract entity is “eme.” In this paper I want to compare how the Indian and the western thoughts go parallel. There are no hurdles or barriers of time and place for the true concepts.

53 Linguistics 17th World Sanskrit Conference, 2018

On Bahuvrīhi Compounds in Sanskrit: A Cognitive Analysis of External Predication as Metonymic Anselmo Hernández Quiroz National Autonomous University of Mexico (Mexico City, Mexico)

The bahuvrīhi compounds are prominent in linguistic analysis because they establish an external predication to other referents. Generally, external predication is described as possessive and its analysis relies on the notion of “exocentric head”. However, this notion is problematic because it hides the fact that every compound essentially has an “endocentric head” and the category of “exocentricity” confuses meaning with designation and does not work well in all cases. Instead of that, this presentation advocates a morphological Word-based model to describe these kind compounds. I argue that external predication of Sanskrit bahuvrīhi compounds is metonymic and can therefore be explained in semantic terms by the cognitive notion of . I propose a general scheme and three specific schemes showing examples in order to explain how these compounds participate in tripartite interrelationships as “integrated words”. I do not employ “bases”, “lexemes”, or other subunits but “whole words” and represent only the relevant phonological and categorical linguistic facts providing tables that organize the metonymic account. From a cognitive point of view, this is a more satisfactorily explanation of the way bahuvrīhis compounds function than by the dichotomy “endocentric” vs. “exocentric” head. Though many compound forms still need to be analysed, it would seem that the approach showed here is more straightforward than the traditional one to describe the interrelationship between morphology and semantics.

54 17th World Sanskrit Conference, 2018 Linguistics

La Formule de Versteegh dans les Anciens Mondes Indien et Iranien — The Versteegh Formula in the Ancient Indian and Iranian Worlds Jan E. M. Houben EPHE (Paris, France)

Dans une étude phare de Kees Versteegh parue en 1986 dans Historiographia Linguistica 13.2-3: 425-448, l’auteur montre que trois variables sont liées dans plusieurs contextes culturels et linguistiques historiques caractérisés par un dynamisme diglossique : (a) « monde » culturel, qui peut sembler, aux membres de la communauté, universel mais qui est en fait soumis à des conditions limitatives de la langue principale ; (b) les outils pour apprendre et enseigner cette langue, tel que la grammaire ; et (c) la « civilisation » projetée et colorée par cette langue et sa littérature. Nous proposons d’explorer ici si ces variables peuvent être identifiées pour la tradition grammaticale sanskrite, dont les premiers débuts sont caractérisés par un intérêt passionné pour l’unité « mot » (padá), à une époque où une des premières écritures à peu près alphabétiques du monde— l’écriture cunéiforme du vieux perse—venait d’être introduite dans la région.

55 Linguistics 17th World Sanskrit Conference, 2018

Quantum of Meaning in Sanskrit Śabdas Narayan R. Joshi None

There are different theories regarding the unit of meaning in speech or the Semantic Minimum. Some declare the phoneme (varṇa) as a basic and compact semantic unit governing the bigger semantic units in its turn. Some others declare the ‘word’ (pada) as the basic semantic unit. The theories differ about the quantum of meaning-content carried by basic units. The protagonists of the ‘sentence’ theory, on the other hand, recognize sentence (vākya) as the basic ‘expressive unit.’ Many Sanskrit scholars proposed Sphoṭa doctrine in connection with semantics of Sanskrit words. Constituents of an akṣara (syllable) are varṇas. Constituents of a varṇa are varṇa itself. So it looks to the present author that Varṇavāda (phonemic symbolism) was the final stage in the progress of semantics of Sanskrit words. Scholars however, have denied any semantic significance to phonemes in human languages including Sanskrit. Sanskrit scholars stress importance of accents by giving example of Indraśatru with wrong accent producing drastically different result. And at the same time they deny any semantic significance to phonemes in a word although change of a single phoneme causing change in meaning which is obvious from word pairs like svajana and śvajana, sakala and śakala, sakruta and śakruta and kaṇa and kṣaņa. On this background meanings of individual varṇas were discovered by the present author using their periodic physical properties as arranged in the Sanskrit Varṇamālā table. Behind the periodic table of varṇas there exists the periodic table of the basic semantic elements. This is the basis of New Varṇavāda and the subject of the present paper. When used in understanding meanings of Sanskrit śabdas, they reveal the internal beauty of Sanskrit locked in meanings of varṇas. In the present paper only the Abhidhā type of the meaning of Sanskrit śabdas will be treated.

56 17th World Sanskrit Conference, 2018 Linguistics

Honorificity in Sanskrit Dipesh Vinod Katira Shree Somnath Sanskrit University (Veraval, GJ, India) Malhar Kulkarni (IIT Bombay)

In Indian languages like Hindi where there are honour-indicative pronouns there is a great deal of clarity about the scope of their usage. In Hindi, तू, तुम and आप are three second person pronouns used for indicating varying level of honour. Use of जी after the name of an honourable person, as in गांधीजी is almost customary. In languages where there are no honour-indicative pronouns, honour may be indicated by some other means. In Sanskrit, dictionaries of Monier Williams and Apte mention that pronouns like भवत् indicate honour. Even Speijer (1980: 195) remarks “When addressing in polite manner, one avails one’s self of भवान्, f. भवती, plur. भवनः, f. भवतः…” Plurals of भवत् pronoun are also used for indicating honour. In addition to the above, some general terms made up of भवत् preceded by pronominal prefix, viz. अतभवान्, ततभवान्, सभवान् are also used for indicating honour. Modern Sanskrit speakers and writers are often tempted to use plurals such as भवनः, अतभवनः, ततभवनः etc. for referring to honoured people. There is also a tendency among modern writers to use अतभवनः as a pure indicator of honour followed by another भवनः to serve as a pronoun. Thus they use अतभवनोभवनः. With the existence of so many honour-indicative pronouns one wonders whether some of them are synonymous to others or whether they denote varying level of honour. The following remark of Speijer (1980: 196) is interesting in this regard: “both modes of expressing the 2nd person, either by the pronoun तम् or by the title भवान् may be used promiscuously.” The fact that they are used promiscuously directs us to perceive synonymy among them. We wish to study and analyze all the usages of these pronouns found in Epic, Puranic, Classical and Modern Sanskrit literature. For the purpose of this paper we focus only on the Mahābhārata.

57 Linguistics 17th World Sanskrit Conference, 2018

मीमांसाद रशनानुसारी वाका रथविशेषणपसावनम् Chakrapani Khanal Tribhuvan University (Kathmandu, Nepal) संसृतभाषायां वाकस प रिभाषा बृहदेवतागने शौनकेन, ‘सम रथ पद विधिः’ (पा णिनि अषाधायी २ । १ । १) सूतवा तिके कातायनेन, जै मिनिऋ षिणा मीमांसासूते, वाखातारै रवाखासु , भ रतृह रिणा वाकपदीयगने वि हि त ा सि । कातायनप रिभाषा सं रचनापधाना, जै मिनिप रिभाषा अरथपधानाs सि । एतयोः समिलनदारा वाकप रिभाषा एत दिधा भव ति पायेण। “परसरा निता आकांकायुकाः, सवे पदा वि द म ा न ा , अतिरकपदसावशकतारहिता, अथैकतयुका, कि य ा प ा ध ा न य कु ा ः पदा रथा वाकं भव ति ।” अत कि य ा प ा ध ा न य कु ा ः शबेन सावयं,सावयकारकं , सकारक विशेषणं ति ङ न प द मि ति बुधते । यजुवेदस गदमनाणां वाक विसारः का नि पदा नि वा वाका नि वापो ति का नि नैव वापोती ति वि ष य ो मीमांसकानां मुखो वि ष य ः । मीमांसकानां मतामत विमतकमेण वाक चिननम् वि क ा स म ग ा त ् । यजुवेदमनेषु वि धि व ा क ं अरथात् आदेशा रथकवाकं पधानम् । वेदस आदेशः पालनीयः सवै रिति ऐकमतम् । तस आदेशस सरपं कि मि ि त वाद विवाद विषय: वाकेषु वि धि व ा क ं पधानम् । अरथवादवाकं, सु तिवाकं, नामधेयवाकं , नि ष ेध व ा क ा नि तदधीनवाका नि । भाटमते वै दिकवाकानां, बाहणगनवाकानां, लौ किकवाकानाञ अथैकतधारणा समाना । सरवत एषा धारणा माना इति ।

मीमांसकै रवाका रथबोधे ति स आवशकता आकांका, योगता, सनिधि तथा च तथा दे पदती १. अनिताभिधानम् ( पभाकर मिशमतं) तथा २. अभिहितानय: (कुमा रिलभटमतं) च। मीमांसासूतवाखायां भि न म त ा व ल म ी पभाकर मिश(अनिताभिधानवादी, गुरमत)मते वाकाथो मुखः, वाकसन रभविना शबस न हि वाचकतम् । एतनते वाकस सतनोऽथोऽसी ति । शबसाथोऽङभूतः सन् वाकाथेन सह समनकरणेन पकाशते । एक तितो वाका रथः का रयादिकं बोधय ति । “गामानय”इति वाके पतिपतिगौ रन बुधते आनयनक रमविशिषा गौ रिति बुधते । तथा च आनय शबेऽ पि गौ विशिष-आनयन-कमे ति बुधते । “गां बधान”इति वाके गवेबोधे बननसापेका गौ रबुधते तथा च बननसाथे गोसापेकं बनन मिति बुधते । तथा हि “ गां बधान शुकां दणेन” इति वाके वाका रथबोध निमानुसारेण भवती ति अवधेयम् ।

ता लिका १] गां बधान शुकां दणेन ….. ……. …….. ………. बनन विशिषा गो विशिषं गो विशिषं गो विशिषः शुक विशिषा शुक विशिषं दण विशिषं बनन विशिषः दण विशिषा दण विशिषं बनन विशिषं शुक विशिषः (गौ) (बननक रम) (शुकतम्) (दणः)

परसरा नितैः पदाथैरता दितेन वाकाथेन शोतृणां बोधः । मीमांसकानां दा रशनिकानां मुखतया अ निता भिधानवादीनामनुसरणेन वाका रथविवेचनपद तिरेषा भवती ति पसावनं पसूयते । उदाहतवाकस वाकरणततानां पकटनपद तिः, समनपद तिश पसुतीक रतु शकते । एषा पद ति रवाङयसामगीणां कमपुटरकरणे तथा यनदाराअनुवादक रमणि च समीचीना इति ।

58 17th World Sanskrit Conference, 2018 Linguistics

Meiteiśabdānuśāsanam: A Case of Verb Morphology Konthoujam Kabi Khanganba Jawaharlal Nehru University (Delhi, India)

The paper at the beginning presents a general discussion on the applicability of the Pāṇinian system and his Sanskrit for writing a grammar for the Meiteilon/Manipuri, a Tibeto-Burman language basically spoken in the north-eastern Indian state of Manipur. Highlighting Pāṇini’s grammar universality available in the literature, it further discusses about the possible primary components of a Meiteilon grammar and the agglutinative verb morphology of Meiteilon.

The Meiteilon verb is highly agglutinative, and its morphology is organized in a hierarchy of layers of morphemes. The root is not inflected for person and number; it is inflected for tense, aspect, and syntax or syntactic properties; it follows morphophonological and tone sandhi rules. On the basis of Pāṇini morphology, a Meiteilon verb can be split into two primary morphological blocks: Dhātu (the root), and Pratyaya, or the suffix. Both together is called a Tiṅanta, or a syntactic verb. Thus a primary paradigm of a Meiteilon verb is represented as a production of Dhātu/Verbal Root called R, and the Primary Terminal is called T, i.e. V à R + T. T is syntactically expressive. The T expresses the action of the root or assertive expression (AE), absence of the action or negative expression (NE), the query of the action or interrogative expression (IE), and query of the absence of the action or interrogative- negative expression (INE).

The implementation of the system of Pāṇini on the Meiteilon is inspired by the concept that V à R + T of the language S resembles the V à R +T of the language M. It further infers that if S has a grammar G that already successfully defines S, then it could positively define the M in a greater degree.

59 Linguistics 17th World Sanskrit Conference, 2018

The Syntax and Semantics of Rigvedic Nú “Now” Jared Klein University of Georgia (Athens, GA, USA)

The Rigvedic particle nú (311x) appears most frequently in second position within the clause, which is normally equivalent to the pāda. Cf. I.32.1a índrasya nú vīriyā̀ṇi prá vocam “I will proclaim now the heroic deeds of Indra.” Often, nú is displaced to third (occasionally even fourth) position by other clitic elements with which it competes (most frequently íd, cit, and u), as in IV.36.2cd tā́n ̐ū nv àsyá sávanasya pītáya / ā́ vaḥ … vedayāmasi “We invite you now for the drinking of this pressing …”. In a smaller group of passages, nú (nearly always lengthened to nū́) appears in initial position in the clause and pāda, where it represents a topicalization of time. The collocation nū́ cit (usually also clause-initial) with a few exceptions has the meaning “never”. Most likely, this usage has been extracted by ellipsis from the phrase *ná nū́ cit “not even now”, where the scope of the scalar particle (cit “even”) entails all time past and present and, by pragmatic implicature, the future. Although *ná nū́ cit itself does not occur in the Rigveda, in a few instances nū́ cit has the value ‘even now’. Those instances where nú appears in penultimate or final position within the clause and pāda most likely presuppose a clause-final focus position, which we know to exist already from the familiar I.32.1b yā́ni cakā́ra prathamā́ni vajrī ́“which primordial (deeds) he performed, (he) the cudgel-bearer”. The chief remaining passages where nú cannot possess the meaning “now” are those where it follows the interrogative pronoun ká-. In such instances, nú possesses a cooperative interpersonal conversational value like English “now” under exactly the same circumstances.

60 17th World Sanskrit Conference, 2018 Linguistics

कारकीय विशेषणस सा रवभा षिकते विवकाया: माहातम् । Avnish Kumar University of Delhi (Delhi, India) कारकम् भाषा विशेषणस महताधा यिषु वि ष य ेष न त म द सि । संसृतवाकरणपरमरायाम रथमा शित कारका णि वि व े चि त ा नि । कारकाणामव सिति : बुदावेव नि ा रध रि त ा जायते (एतेषाञा भिव कि : बाहसंरचनायां वि भ कि भि : भव ति ) विभकयशैतेषाम भिवञका: बाहसंरचनायामव नि । अाधु निको भाषावैजा निक: चा रलफिलोर: कि य य ा सह नामपदानां समनं कारकमाह । कारकसमनान् तु स वि श स सरवासपि भाषासु (आन रिकसंरचनायां) पश ति परं (एतेषाम भिवञका नि ) कारकरपा णि (case form) (बाहसंरचनायां) भाषासापेकाणेव दकथमवतरनी ति तस दषि : । कारकीयववसा भाषासामानं वाक रतु सम रथासि , यतो हि एषा अ रथमनुरध पव रतते । सा रवभाषिक नियमानां नि रधारणमाकृता (शबसरपेण) न सम वितुम रहति , एतेषां नि रधारणमरथम धिकृतैव भवितुमरहति । फि ल ो र ेण कारका णि नि ा ररध यि त ं ु पदा रथस भौ तिकं साम रथम् पव रतकतेनाङीकृतम् । यथा तेन करतृकारकाभिलकणे (agent) पाणवतमुपब नितम् । मनोगोचरीभ: कि य ा भ : अनुभवक रतृतं (experiencer) नाम अनत् कारकं सीकृतम् । करणकारकते (instrumental) नि ज ी व त म ुप ब नि त मि त ा दि । भ रतृह रिणा वि व क य ा पदा रथस साम रथ (शकि :) साधनतेन सीकृतम् । तनते समसोऽयं साधनववहार: वकु: पयोगकालीनबुदावा शितो सि । ‘साधनववहारश बुदवसा निबनन:’ । आचायेण भ रतृह रिणा वि व क ा भि : एक सिनैव वसु नि भेदमुपकलप कतरृकमरकरणािदिसितरपकिलता ।वकिु ववका पदाथसर या ं शिक ं वञियतिु मचित वका शबै: (वैखया र वाचा) तामेव कारकरपेण पसौ ति । भरतृहरे: कारका णि चेतनाचेतनसोपबनै: नोपब निता नि । वकु विवकात एव पदाथेषु कारकते सते कारकाणां सङ्खा सि र त ा ं भजते लकणञा पि सै रयमापो ति । यथा (भषिकसरे) वि व क य ा करतृतनिरधारणे पतेकं चैतनाचैतनं करतृतं लभते । अनुभवक रतादिकञाप सिनैव समा हियते । इतो पि भावाभावपदाथेष पि कारकतं वि व क य ैव ा प द त े । कारकाणां सा रवभाषिकववसापन विधौ तु वि व क ा य ा : सम धिकतर मितो पि माहातं पसिधति । कारकं हि नूनं भाषासामानवा पि कि ञ न ततम् । तसिन् वि व क व ै कारकववसा निबननपबननहेतु यथाथ र वाकरणवस ु । एनामेव रीित ं पुरसृत सावरभौमवाकरणं (Universal Grammar) कं िचदपु सापियतंु समथा र भवेम इत भिपायेणायमयास: ।

61 Linguistics 17th World Sanskrit Conference, 2018

A Cognitive Linguistic Analysis of Anyokti-s and Laukika Nyāya-s Hullur Rajarao Meera National Institute of Advanced Studies, Bangalore (Bangalore, KA, India)

Amongst the variety of proverbs in any language, anyokti-s (or “proxy metaphors” as they may be termed) form a distinct set where the expression presents a picture which is quite different from the context where it is getting applied, and yet the hearer links untaught, the various entities of the anyokti to the entities in the immediate context. So also are a good many laukika nyāya-s or worldly maxims—whether in common parlance or in śāstraic texts. Studies regarding anyokti-s have generally been primarily of an anthological nature, or have been on the various themes occurring in anyokti-s. Similarly, laukika-nyāya-s have only been used in texts (śāstra-s or others) and have been presented as collections. However, neither have been subjected to a cognitive linguistic analysis in order to comprehend how they are understood.

The present paper is a part of work done towards my doctoral thesis on a cognitive linguistic analysis of the vyañjanā framework in understanding extra-literal meaning in language. The methodology of study involves an analysis of a set of anyokti-s and laukika-nyāya-s with regard to the context that they naturally present, and the way they are correlated with various entities in the immediate context applicable. A general model is developed in this paper on the way this correlation happens, and it is differentiated from metaphorical extensions of meanings. As a part of the larger argument, the cause of efficacy of the usage of anyokti-s and laukika-nyāya-s is discussed. Finally, the relevance of analysing vyañjanā vṛtti using tools of Cognitive Linguistics, and the role vyañjanā has in understanding the extra-literal sense in the case of anyokti-s and laukika-nyāya-s are explicated.

62 17th World Sanskrit Conference, 2018 Linguistics

Sources of Abhinavagupta’s Linguistic Philosophy Hariram Mishra Jawaharlal Nehru University (Delhi, India)

Abhinavagupta is one of the most extraordinary personalities, not only in the domain of Indian knowledge systems, but also in a universal context, comprising aesthetics, philosophy, tantra and mysticism, all in one. He has developed his linguistic philosophy by following the tradition right from the Vedas and the Śaiva Tradition. Both the systems consider that language is the cause of the whole universe. Abhinavagupta has written two commentaries Vivṛti and Vimarśinī on Utpaladeva’s Īśvarapratyabhijñā. He follows him and develops the philosophy of language further. Abhinavagupta has a strong hold on the knowledge of his predecessors and he always recognizes their effort towards developing the tradition. In the Abhinavabhāratī, while commenting on the verse "Vāngamayānīha śāstrāṇi," Abhinavagupta quotes a verse from the Vedas—"vāg eva viśvā bhuvanānīti shruteḥ"—and also refers to the great grammarian philosopher Bhartṛhari.

This paper will discuss how the philosophy of grammar and the Kashmir Śaiva philosophy intertwine together in Abhinavagupta’s works. It will focus upon how these two traditions have helped him develop his own linguistic thought. The paper will also examine if Abhinavagupta takes help from the Buddhist philosophy to elaborate his theory as he quotes a number of Buddhist philosophers; Dharmakīrti, Dignāga, Vasubandhu etc. in his Vivṛti and Vimarśinī. Thus, in this paper three major points will be discussed: 1. The sources of Abhinavagupta’s linguistic philosophy; 2. His points of agreements and difference from these philosophers; 3. Abhinavagupta’s linguistic philosophy.

63 Linguistics 17th World Sanskrit Conference, 2018

In the Light of Change: Multi-Headed Relative Clauses in Sanskrit and Hindi Adriana Molina-Muñoz University of Illinois at Urbana–Champaign (Champaign, IL, USA)

Sanskrit presents correlativization, where the relative clause (RC) containing a relative pronoun (RP) is followed or preceded by the main clause (MC) containing a correlative pronoun (CP), e.g. (1). These RCs can contain multiple RPs, co-referring to an often equal number of CPs, as in (2). Multi-headed RCs also occur in Hindi, e.g. (3). [RC yám u-ha-evá tát paśávo manuṣyéṣu kā́mam árohaṃs] [MC tám u-ha-evá paśúṣu kā́maṃ rohati]

“What very desire the cattle then obtained among men, that very desire he (now) obtains among cattle.” (ŚB 2.1.2.7) [RC yaḥ svabhāvo hi yasya syāt] [MC tasya asau duratikramaḥ]

“Whatever nature who might have, that is difficult of overcome of/by him.” (Hit. 3.8) [RC jis laṛkī-ne jis laṛke-ke sāth khelā] us-ne us-ko harāyā

Lit. “Which girl played with which boy, she defeated him.” (Srivastav 1991)

In (2), one of the two RPs can be interpreted as indefinite. Although this is the general interpretation, they can obtain the conditional reading: “If someone has a particular nature…”. The construction in (3), however, is interpreted as a generalized quantification (Srivastav 1991). Hock (1989) observes that Sanskrit generalizing RCs frequently mark the verb as optative, cf. (2), and states that these constructions are closely affiliated with conditionals.

The present study investigates multi-headed RCs in the light of the syntactic and semantic changes from Sanskrit to Hindi: (i) restrictive-to-quantificational reading (Andrews 1985); (ii) CP-to-CP to IP-to- CP adjunction (Davison 2009); (iii) loss of the optative verb marker in the generalized reading (Hock 1989); among others. I present evidence that the study of historical changes allows us to better understand synchronic stages.

64 17th World Sanskrit Conference, 2018 Linguistics

Study of Pāṇinian Alphabet in a Neurological Perspective - Part I - the Consonants Prem Nagar Oracle Corporation (Redwood Shores, CA, USA) Bela Kosaras (Harvard Medical School); Hardeep Mann (South Asia Center); Bijoy M. Misra (Harvard University); Seshadri Ramaswamy (Massachusetts Institute of Technology); Jaspal Singh (South Asia Center)

We present the first part of a two-part study dealing with the construction and production of the Pāṇinian alphabet based on neuroscience. In this paper, we examine the neurological perspectives of the creation of Pāṇinian consonants and their vocal rendering. Though the original thrust has not been fully investigated, Pāṇini, in the Aṣṭādhyāyī (Book I), enumerates the anatomic processes in the creation of letters and hints on the muscular control and modulation. In view of modern neurological findings, we hypothesize that the acoustic manifestation of the syllable has its origin in a “cognitive syllable” in the brain. The neural centers of the cognitive syllables are finite and would connect to the Pāṇinian syllables as described through the pratyāhārasūtra (Vasu, 1891). Thus, the syllables are articulatory functions created through neural manipulation and are not phonetic functions as commonly asserted. The paper investigates the composition of the neural signal of articulation of a pure consonant syllable. Given the Pāṇinian model as elaborated in the Pāṇinīyaśikṣā, we propose a signal composed of three branches: (i) a pulmonary function, (ii) a glottis function, and (iii) an oral modulation function. The third part contains the participation of the organs in the oral cavity in guiding the airflow into sound production. While they appear as sequential processes, they are most likely three different simultaneous neural signals already created when the intent of consonant creation is constructed in the brain. The question comes regarding the fundamental signal packet that the brain is capable of creating which can be streamed through the body processes. We examine the creation of the packet from the neural principles. This question also arises: whether the vowels are the rendering tails of the consonants or if they carry independent cognitive information. Our report on the vowels would constitute the second part of the paper.

65 Linguistics 17th World Sanskrit Conference, 2018

Relation Between Word and Meaning: A Comparison Between Vākyapadīya and Ślokavārtikā Sharda Narayanan Dr. MGR Janaki College of Arts & Science for Women (Chennai, TN, India)

The relation between word and meaning is a very detailed discussion in Sanskrit linguistics and Indian philosophy. It forms a large portion of the discussions in Vākyapadīya of Bhartṛhari. Since Mīmāṃsā is also deeply concerned with language, there are many detailed discussions on this topic in several texts, notably the Ślokavārtikā of Kumārila Bhaṭṭa, which, dating from the 8th century, is eminently positioned to address many perspectives in its time. This paper shall attempt to place some of the main issues of agreement and differences of he two in perspective.

According to the Vākyapadīya, when words uttered by others are heard, three things are understood: 1) their own form, 2) their meaning, 3) the intention of the speaker. Of these, the first is the closest (antaraṅga) to the word. The hearer understands it even if he does not understand the other two. Between the word and the meaning, the relation is that of the expressed and the expression (vācya- vācakabhāva), otherwise called natural fitness or yogyatā. In other words, the form is also looked upon as a kind of vācya. With the sphoṭa view in mind, the word has two aspects, that of being the conveyor and the conveyed, the grāhaka and grāhya.

In Bhartrhari’s monistic philosophy, the word is connected to the primordial cause of the world, śabdatattva. But Mīmāṃsā has a pluralistic outlook of the world, where śabda has independent status. Śabda is nitya, but sphoṭa is not accepted by Mīmāṃsā. Other than this important difference, for good reason, Vyākaraṇa and Mīmāṃsā agree on most other issues on linguistics.

Nyāyasūtra on the word and meaning, “jātyakṛtiivyaktayaḥ padārthāḥ” indicates that the word’s meaning could be universal, configuration or individual. Vyākaraṇa and Mīmāṃsā hold that word’s meaning is only in the universal and that all cognition through language is through generalities alone.

66 17th World Sanskrit Conference, 2018 Linguistics

Bhartṛhari: Concept of ‘Meaning’ Hetal M. Pandya Gujarat University (Ahmedabad, GJ, India)

Language is the greatest gift of mankind to the world. The term “language,” as the modern philosopher understands it, is not only for the uttered sounds or a collection of written words guided by certain grammatical rules and conventions, but also conceived essentially as a vehicle of human communication. Bhartṛhari’s philosophy of language emphasizes that sentences are the primary and indivisible units of meaning. He defines sentences as a single integral symbol (eko ’nvayavaḥ sabdaḥ) which is autonomous and the self-complete unit of meaningful expression.

How should a sentence be explained? To solve this question Bloomfield says that syntax is that part of grammar which deals with the arrangement of free form within larger free forms. Syntax studies the structure of a sentence which is assumed to consist on a hierarchy of dependent relations between words in a sentence.” Bhartṛhari says there is only one supreme object (artha) the universal being the vācya, word, which though in reality is indivisible and undivided appears to be diverse (bahurūpaḥ prakāśate) by the virtue of differentiation of its power (śakti-vibhāgena). The grammarians deny the vācakatva of individual letters. The vākya is an indivisible unit, though for empirical purpose it may be divided (due to avidyā) into words and further more into letters. A sentence has a unitary sense different from the meanings. The process of linguistic communication as postulated by Bhartṛhari is that the speaker must express his intention which is understood by the hearer. But it is not possible by only uttered speech. Bhartṛhari argues that understanding and awareness of the distinct sound elements is the means for cognition of the combined unity of the meaning. In this paper I propose to discuss how Bhartṛhari has related meaning to the forms of language, viz. , and . 5‹tb /;/& !@Q-

67 Linguistics 17th World Sanskrit Conference, 2018

On the ī-preterits of Sanskrit Zachary Rothstein-Dowden Harvard University (Cambridge, MA, USA)

It is generally agreed that the Proto-Indo-European laryngeals were realized as -i- between consonants in the history of Indic, a discovery anticipated by Indian grammarians in their recognition of the suffix iṭ. However it has frequently been argued that inter-consonantal laryngeals could also be realized as -ī- in near-identical contexts, an apparent irregularity of sound change that raises questions. This variation has led scholars to accept that the stem-final -ī- of certain verbs, a class exemplified by ábravīt and not present in Iranian, has the same origin (i.e. *ábravHt). I will argue instead for a solution by morphological analogy, taking as a starting point the iṣ-aorist. I will argue that a synchronic phonological rule made speakers realize the 2sg. ending -is-s# as -īs#, which was generalized within the paradigm and then extended to other verbs such as ábravīt and ā́sīt.

68 17th World Sanskrit Conference, 2018 Linguistics

Positive and Negative Poles of Meaning Smitha Sabu Government Sanskrit College (Thiruvananthapuram, KL, India)

The very essence of human existence is in the magnetic field of word and sense. The history of explorations, innovations and advancement in knowledge is ignited by the study of this particular area of linguistics. One can see that, the main thoughts on the study of meaning are polarized in two aspects—one that treats meaning as a negative entity, and the other, as a positive. The present paper is an attempt to locate the criteria on which these theories are formulated.

The first ever notion of two poles of meaning is formulated by Buddhists in the later development of their apoha theory. The theory of apoha, advocated by the Yogacāra Buddhists had undergone radical modification in its theoretical interpretation. The theory of apoha had first come into being as negative in character in the views of Diṅnāga (c. 480-540, CE). In the interpretation of Ratnakīrti (11th century, C.E.) the concept is led into a different path. Ratnakīrti treats a word as a “positive” entity qualified by the negation of its counter-correlates. In contrast, modern scholars like de Saussure put forth quasi-negative ideas—for example, that in language there are only differences without positive terms. Saussure tends to believe in the positive entity of language only when it is treated as a whole.

The paper concludes its study by examining the concrete and abstract realms of meaning. The concrete sense is a positive thing and the abstract sense beyond expression is expressed only through exclusion or in negative terms. The abstract sense is essentially ideological and depends on the degree of abstractness of the denoted. The constant conflict between these abstract and concrete identities results into the formation and reformation of meaning.

69 Linguistics 17th World Sanskrit Conference, 2018

Logician’s Theory of Verbal Roots Shyam Sundar Sharma University of Delhi (Delhi, India)

The epistemologists of the three different systems of Indian Philosophy, e.g. the grammarians, the ritualistics, the logicians have analysed the meaning of the verbal roots. There are two schools of grammarians.

First one is that of old grammarians’, which claims ‘action’ and ‘effect’ both are independently meaning of verbal roots. Second one is called new grammarian school. It claims that ‘action’ and ‘effect’ both are the meaning of verbal roots in qualified form. The ritualistic school proposes only ‘action’ is the meaning of verbal roots. There are two schools in logicians too. First one is old logicians’ school, which holds only ‘action’ to be the meaning of verbal roots while ‘effect’ is meaning of accusative case endings. Other one is neo- which claims ‘action’ and ‘effect’ both are meaning of verbal roots together. The grammarian’s viewpoint on primary substantive in a verbal cognition that is ‘action’ and the logician’s viewpoint on the same is ‘the word’ with nominative case endings. That is the main point to distinguish neo-grammarians from neo-logicians.

If only ‘action’ is to be held the meaning of verbal root, then ‘ram goes’ and ‘ram leaves’ both will be synonymous, because apart from the verb, all the words are the same in both sentences. ‘Action’ is always same in all the verbs, is unanimously accepted by almost all the theorists. Therefore new school of logicians accepts ‘action’ and ‘effect’ both as a meaning of verbal root. According to this theory in previous examples both sentences have different meaning, because the ‘effect’ of go is ‘to reach to somewhere’ and the ‘effect’ of leaving is ‘separation from somewhere’.

This paper deals with the logicians old and new school’s theories of verbal root’s meaning. This mainly focuses on Gadādhara Bhaṭṭācārya’s Vyutpattivāda with sequential debate in this matter.

70 17th World Sanskrit Conference, 2018 Linguistics

अथाकर विजानानुशासनम् Subodh Kumar Shukla Tribhuvan University

ऋचो अकरे परमे वोमन ् यिसनेवा अिधिवश े िनषेदःु । यसन वेद िकमृचाकिरषित य इतिददसु इमे समासते । इदं िनशपचं यत् अकरजानं परमावशकं वरतते ।तद पि तेषामकराणां वि ज ा न ं तेषामनुशासनं च महनहतं भजेते । शि क ा व च न े –‘येनाकरसमामायम धिगम महेशरात् । इहाकरसमामायैव पा णिनिना कृ तं समू रण वाकरणं रचितं वरतते । महाभाषकारेण–येनाकरसमामाय…चतु रदशसूताणां महतं पतिपादितम् एव । इमा नि सूता णि छनोव निता नि येनाकर समामायः व रणसमानायः पु षितः फलितश चनतारकवत तिम णितो वे दितवो बहरा शिः। स रववेदपुणफलावा पिशास जाने भव ति ।माता पितरौ चास सगेलोके महीयेते । तसादकरं बह । अथ शबानुशासनान रगते चाकर विजानसाधयनं वि ध ी य त े । अकरा णि खलु अवशमेव सा रथकानि सनि ।अकराणाम् अकारो ऽसि अरथात् समगस अकरसमूहस समसरपशबसमूहस धिनसमूहस च मूले अकारमेवािस। “अ” अकरम ् अत पतुचारणेषु दषंु शकते । इदमकरं सीयेन पवलेनािसतने अनेषामकराणाम् अभावं सूचय ति । “इगणः समसारणम्” (१। १। ४५) ता नि ह वा एता नि तीणकरा णि स– ति –यमिति । तदत् सतदमृतमथ यतितन रतमथयतमेनोभे यच ति छा.– ८। ३। ५ “सतम्” शबः इति ।पुनशेह गोपथबाहणे “भरग” शब विषये– भ – इति भासयतीतीमांलोकान्, र – रंजयतीतीमांलोकान, ग – गमयतीतीमांलोकान् इति । अतः बाहणगनाः अकरगाथां बहशःकथय नि ।उप निषदः अपि एतसैवाकरस गीतं गाय नि । यसात् कारणात् अकरा रथम् अकर विजाना रथ वा धातुपतेषु सं यमसावशकता मह षिणा पतञ लिना योगसूते सूतेणानेन पतिपादिता – ‘शबा रथपतयाना मितरेतराधासातंकरसत विभागसंयमात रवभूतरतजानम् ३। १७। यथा परमाणूनां सम षिरपं पृ थिवी असि तथैव अकराणां सम षिः भाषा । मानवानां भाषा खल रथसहिता वि द म ा न ा वरतते । तस भाषाततसकारणसरपम् अकरमेवा सि ।अकरा रथ वि न ा वेदस भाषायाश जानं नैव भव ति ।अतः कथं वा के न पकारेण वा अकरं वैजा निकम रथ धारय ति ? कथञ धनि – लि पि –धातु भिः सह वि ज ा न य कु ं भव ति ? इतादीनां वि म रशः अनुसनानपतेऽ सिन् शोध धिया वि च ा रि त ं वि स र ेण च वणितं वततर ।े पुनशात तेन सह पाशातिवदूषा ं पो. मेकमूलर– आर. सी. टेि् नचािदमहोदयाना ं भाषावैजािनकं मतमिप अनुमोिदतम ् । इति ।

71 Linguistics 17th World Sanskrit Conference, 2018

The Problem of Substratum of Linguistic Expectancy Rekha Singh University of Delhi (Delhi, India)

As per the Indian theories of language and communication, verbal cognition arises through the means of understanding of the relations among the referents of the words constituting a sentence. There are certain preconditions which are to be fulfilled if one is to understand a sentence. In the common belief of Indian language scientists, certain conditions are to be indispensably fulfilled if one is to grasp the sentential meaning. So much so, that the understanding of those relations is understood to be the understanding of the sentential meaning itself. The conditions referred to are four in number and known as expectancy, compatibility, proximity and intention of the speaker. They are known as auxiliary causes of verbal cognition.

Expectancy is precisely a desire of the listener which is an attribute subsisting on the conscious being. If expectancy is at all the quality of the qualificand conscious principle, then there arises the impossibility of the non-conscious participants, words and referents. So here arises the problem of the locus. What is the substratum of this expectancy? The question arises as to what does the expectancy belong to as a substratum? Apart from the speaker and the relation between the word and the referent, there are three major factors – the listener, the word and the referent. To sum up the debate, the problem is what is the locus of this expectancy whether it’s the word (padagata) or the referent (padārthagata) or the listener (ātmagata or śrotṛgata) itself.

The question demands a thorough enquiry which motivates the present research paper. The paper aims at investigating the finer aspects of the notion taken up by the original texts and commentators therein. the paper would through light in the perspective of all possible tenets enunciated by the different schools of the Indian linguistic system.

72 17th World Sanskrit Conference, 2018 Linguistics

Śikṣā: The Study of Language Production and Its All the Essential Features Satyapal Singh University of Delhi (Delhi, India)

As we know, the whole Ancient Indian Education System has been developed to explain and preserve the Vedas. We find the personification of Vedas which consists of Ṣad Vedāṅgas or six limbs like human beings, namely; Śikṣā, Vyākaraṇa, Nirukta, Kalpa, Chanda and Jyotiṣa. Three limbs of these six are directly related to the study of language. Śikṣā deals with the study of phonetics in detail. Vyākaraṇa analyzes the structure of language primarily in the form of words. The third limb of Vedas, namely Nirukta (the etymological system), deals with the import of the words which are not common in use now with reference to the Vedic literature.

In this paper I will try to underline the utility of the Śikṣā literature in the modern education system. The main theme of the paper will be as follows: The process of language production with its all the essential features like language encoding process, place of articulation, manners of articulation, length of vowels and consonants, common features and distinctive features of speech sounds, merits and demerits of the speaker and the speech.

A brief comperative account of the technical terms related to Phonetics and Phonology in the Modern Linguistics and in the Ancient Indian Śikṣā tradition will also be presented, e.g. Aspirated and Unaspirated terms are used in the Modern Linguistics whereas for the same distinctive features the terms Alpaprāṇa and Mahāprāṇa have been used in the Śikṣā literature. I would also like to underline the utility of the ancient Indian Phonetic observations in the modern teaching system. This paper will be based on the study of Śikṣā literature, Prātiśākhyas, Pāṇinian grammatical system and phonetics and phonological studies in the field of modern Linguistics.

73 Linguistics 17th World Sanskrit Conference, 2018

The “Gerund/absolutive” in Epic-Purāṇic and Classical Sanskrit Literature Renate Söhnen-Thieme SOAS University of London (London, England)

This paper, which is based on the observation and collection of examples of the so-called “gerund,” “indeclinable participle,” or “absolutive” (mainly in German grammars) over several decades, starts with the discussion of the terms and definitions, in a number of grammars, of a linguistic category which has become especially popular in Indian languages and literature and may be owed to a genuinely Indian development, since there is only scarce evidence of a similar form being used in other Indo-European languages.

The second part the paper presents a commented collection of selected examples that demonstrate the various types and functions in which this particular category of verbal nouns is used, and concludes with the attempt to give a satisfactory definition plus description of this category, which would be applicable to (nearly) all of the examples, establishing the types of relationship between the gerund/absolutive phrase and the main sentence. This may also be helpful for achieving an idiomatic translation that corresponds as closely as possible to the Sanskrit original. The examples are selected mainly from classical Sanskrit narrative literature (epics, kāvya), but they include also specimens from the Brāhmaṇas and examples from Indian grammatical literature.

74 17th World Sanskrit Conference, 2018 Vyākaraṇa

3. वाकरणम् - Vyākaraṇa

Bhāṣyasammatāṣṭādhyāyīpāṭha: An Unpublished Manuscript on Variations in the Sūtras of the Aṣṭādhyāyī Tanuja Ajotikar B. M. Kankanwadi Ayurveda Mahavidyalaya (Belgaum, KA, India) Anuja P. Ajotikar (Shan State Buddhist University)

It is well known that there are variations in the sūtras of the Aṣṭādhyāyī. Several scholars have attempted to determine what the original sūtras were. Keilhorn (1887) gives account of more than fifty cases of variations that were introduced by the Kāśikāvṛtti. Kulkarni (2012) determines what evidence in the Nyāsa and Padamañjarī attest to alterations. Cardona (1976, 1998) surveys the contributions of scholars according to two approaches: the attestation of commentaries, and the analysis of the Aṣṭādhyāyī. Yet no one has mentioned any Sanskrit work primarily concerned with variations in sūtras. Harvard Indic Collection ms. no 1402 is just such a work. This short manuscript is solely devoted to providing an account of variations in the rules of the Aṣṭādhyāyī. Of the more than one hundred cases discussed, we can roughly classify the variations under three categories: variations that occur due to an incorrect reading (apapāṭha), interpolations, and change in order. Interpolations or variations introduced by the Kāśikāvṛtti are included here. Cases discussed by Keilhorn (1887) are also covered. Some variations in the rules are given on the basis of comments by Patañjali. Regarding these, the author mentions exact references where such variations in rules are discussed in the Mahābhāṣya. The colophon claims that the work gives the text of the Aṣṭādhyāyī according to the Mahābhāṣya as examined by Nāgeśabhaṭṭa. The manuscript rightly assigns attribution of alterations to the Mahābhāṣya and Kāśikāvṛtti and correctly determines that the alterations are in fact in accordance with Nāgeśabhaṭṭa’s conclusions.

75 Vyākaraṇa 17th World Sanskrit Conference, 2018

उतरपा णिनीयवाकरणसमदायेषु कृ त त य ा न ा ं सरप विशेषणम् Mohini Arya University of Delhi (Delhi, India) संसृतवाकरणस समृदपरमरायां आचा रयपा णिनिना पू रवव तिपरव तिकाले च अनेके वैयाकरणाः अभवन्। एतेषु परव तिवैयाकरणानाम पि एका सुदी रघा परमरा असि। एतैः वैयाकरणैः ततालीनसमाजेन देशकालेन च पभा वितै: पा णिनिवाकरणस अपेकया अतिसरल-सहज-लघुकायवाकरणगनानां नि ा णरम ं कृ तं तथा च भाषा विकासदषाऽ पि भाषायाम् आगतानां नूतनशबानां वाकरणे समायोजना रथ एतैः सतंतवाकरणगनाः नि मि त ा ः । एतेषु परव ति -समदायेषु कातन-चान- जैनेन-शाकटायन-सरसतीकणाभरण-मुगबोध- हरिनामामृतपभृतयः पमुखाः सनि। एते एव समदायाः पा णिनीयेतरसमदायनामा वि ख ा त ा ः सनि। सरसतीकणाभरणं वतिरि च अने समदायाः लौ किकाः एव सनि।

उतरपा णिनीयवाकरणेषु कृ त त य ा ः || अषाधायां ‘धातोः’ इत सिन् दि त ी य ध ा त धि क ा र े पिताःठ ये ति ङ ् भि न प त य ा ः सनि तेषां ‘कृ द ति ङ् ’ इति सूतेण ‘कृ त’ ् इति सं जा वि हि त ा । कृ त त य ा ः पमुखरपेण करतथे भव नि अतः तेषां ‘कृ त’ ् इति सं जा परनु के च न पतयाः करमणि भावे एव भव नि तेषां ‘कृ त ल ुट ो बहलम्’ इति सूतेण ‘कृ त ’ इति सं जा कृ त ा । एते पतयाः सप सनि - तवत्, तव, अनीयर्, यत्, कप्, णत्, के लि म र ् इति । परव तिवैयाकरणैः अपि पा णिनेः कृ त त य ा न ा ं दि वि ध ो विभागः सीकृतः। जैनेनवाकरणे कृ त प त य ा न ा ं ‘व’, शाकटायने ‘घप्’ मुगबोधवाकरणे ‘ल’ तथा हरिनामामृत वाकरणे ‘ वि षुकृत’ इति सं जा असि। पा णिनीयेतरवाकरणेषु कृ त त य ा न ा ं पा णिनिवाकरणेन सह तुलनातकमधयनेन जायते यत् एतेषु पा णिनिवाकरणस पभावः तु नूना धिकरपेण सुसषः एव परनु तेषां वाकरणपरमरायां सतंतरपेण अपि अतिविशिषं योगदानम् असि। यतो हि एते पकियालाघवा रथकृ त त य ा न ा ं सरपे, अरथविशेषणे तथा च भाषायां आगतानां नूतनशबानां गहणे अनेका नि परिव रतनानि अकु रवन् । का नि च ता नि परिव रतनानि ? कि म ् तेषां पतयानां सरपं? इति धानमवलम सं किपरपेण उतरव तिवाकरणेषु एका समीका अत पसूयते -

कृ त त य ा न ा ं सं रचना • पा णिनिपरव तिवाकरणेषु पा णिनीयसरा रथपयुक र्-च्-ल् इता दि अनुबनानाम् अभावः असि यथा-पा णिनीय ‘तृच्’ साने तृ, ‘लुट्’ साने युट्, अनीयर् साने अनीय पतयाः पापने। एवं विधाः अनेऽ पि पतयाः सनि। • उतरपा णिनीयवाकरणेषु के च न प त य ा ः एतादशाः सनि ये पा णिनीय आदेशाः सनि यथा-‘णुल्’ इति साने ‘अक’ // ‘लुट्’ इति साने ‘अन’ // पा णिनीयप कियाववसायां ‘युवोरनाकौ’ इति सूतेण ‘यु’ तथा ‘वु’ साने कमशः ‘अन’ ‘अक’ आदेशौ भवतः अतः पकिया दी रघा भव ति।

• उचारणसौकयाथ र लाघवाथ र च उतरपािणनीयवैयाकरणैः कृततयेषु वण-र िवपयरयः कृतः। यथा- कस ु इित पतयः सकु ् // उकञ ् इति पतयः ञुक् णि इति वि ण ् रपेण पापते

• पा णिनीयेतरवाकरणेषु एतादशाः अपि पतयाः सनि येषां पा णिनिवाकरणे अभावः असि। यथा-‘ ति म क ् ’ इति पतयः पा णिनीयेतर-कातन-हैम-मलय गिरि -मुगबोध-सारसत-सुपदवाकरणेषु पापते। नि ष रष र प े ण कथते यत् एतेषां परव तिवैयाकरणानाम् वाकरणे पकियालाघवदषा, सारला रथ तथा च नूतनकृदनशबान् वाकरणे सानपदाना रथ महतपू रण अवदानम् असि।

76 17th World Sanskrit Conference, 2018 Vyākaraṇa

French Grammars of Sanskrit and the Classification of Words Emilie Aussant CNRS, Université Paris Diderot

The analysis of language into units seems to have been fundamental in all traditions of language study. Yet, classifying words is an activity which is neither self-explanatory nor consistent: the classifier has an epistemological aim and we must consider the regularities (in other words, the classes) established on this basis. Epistemological aims have varied greatly throughout history, from one tradition of language study to another as well as within one and the same tradition. Even today, category-assignment and the nature of categories are hotly debated topics in descriptive linguistics and linguistic typology. In a study presented on the occasion of the 14th International Conference on the History of the Language Sciences (ICHoLS 14, 2017, Paris), I have studied, from the perspective of “Extended Sanskrit Grammar,” how some word classes elaborated by ancient Indian scholars for Sanskrit were transferred to languages other than Sanskrit (Hindi and Malayalam). Now, I would like to consider how French grammars describing Sanskrit have resorted to word classes elaborated by ancient Indian scholars for Sanskrit. We are still in line with the “Extended Sanskrit Grammar” perspective, but now I take a different angle: French grammars of Sanskrit—and, more broadly, Western grammars of Sanskrit—bring two different languages face to face (the language described, i.e. Sanskrit, and the language of description, French in the present case) but also two different descriptive models as well as two different metalanguages (the Vyākaraṇic model and its metalanguage and the Graeco-Latin model and its metalanguage), both resulting from secular practices and thinking. Given this framework, how have French grammars of Sanskrit navigated between these two descriptive models and metalanguages, while at the same time dealing with word classifications? And what does this tell us about the phenomenon of “Extended Sanskrit Grammar”?

77 Vyākaraṇa 17th World Sanskrit Conference, 2018

Similarity and Dissimilarity between the Cāndravyākaraṇavṛtti, the Kāśikāvṛtti and the Jainendra Mahāvṛtti Sharon Ben-Dor University of Helsinki (Helsinki, Finland)

The similarity between the Cāndravyākaraṇavṛtti and the Kāśikāvṛtti has been noted previously by various scholars . In addition, scholars have pointed out that the Kāśikāvṛtti also shares resemblance with Abhayanandi’s Mahāvṛtti, which is claimed to have been inspired by an earlier lost commentary, namely Devanandin’s commentary on the Jainendra-vyākaraṇa. A comparative study of these texts may provide information about the source or sources of these texts and on how their authors have made a use of earlier texts such as Patañjali’s Mahābhāṣya and Bhartṛhari’s Mahābhāṣyapradīpa.

In my ongoing study, I have marked instances where the Cāndravyākaraṇavṛtti, the Kāśikāvṛtti and the Mahāvṛtti share similar views and where they are in disagreement. In my paper, I discuss a few similar and dissimilar features between the three texts. I present instances where the authors of the texts introduce identical issues. I discuss their views on the matter in question and how they have addressed it. I show that in some instances the Kāśikāvṛtti share the views presented in the Cāndravyākaraṇavṛtti while in others instances the Kāśikāvṛtti is in disagreement with the Cāndravyākaraṇavṛtti and presents similar positions found in the Jainendra Mahāvṛtti. Moreover, I show that one can find reasons for the authors’ different opinions on the matters in question. In addition, I consider the possible source(s) from which the authors’ views were taken.

78 17th World Sanskrit Conference, 2018 Vyākaraṇa

Bitextual Meaning in Two Pre-Navya Vyākaraṇas: The Case of Rāmacandrācārya and Jīva Gosvāmin Radha Blinderman Harvard University (Cambridge, MA, USA)

Two grammars of the 15-16th centuries, namely Rāmacandrācārya’s Prakriyākaumudī and Jīva Gosvāmin’s Harināmāmŗtavyākaraṇa, which are two of the earliest grammars to critique the Prakriyākaumudī, contain verses with bitextual meaning (śleṣa). They simultaneously talk about grammar and the divine, often expressing the author’s Vedāntic views. Like the later Navya texts, they make extensive use of religious examples and devotional maṅgala verses. However, unlike later Navya texts, they also make use of śleṣa.

In this paper, I will examine the use of śleṣa and the nature of grammatical critique in these texts. I will argue that śleṣa furthers the project of making grammar sectarian, which was begun in the brahminical context by Vopadeva in the Mugdhabodha. While in poetry śleṣa is primarily about producing multiple meanings, in grammar it is also about producing puṇya. I will discuss the relation between bitextual meaning and puņya, beginning with the Mahābhāṣya and ending with the “sectarian” grammars, and their possible connection with an author’s desire to grammatically sanction a greater variety of Sanskrit words. What does it take for Rāmacandra or Jīva to sanction a Sanskrit word or reject it as ill-formed? Is their primary goal to sanction more words?

In analyzing instances of śleṣa and authors’ critiques and defenses of Sanskrit words, I will pay attention to their views on Vedānta. In the case of Rāmacandra, I will consider his Vedāntic views as quoted in Viṭṭhala’s commentary on the Prakriyākaumudī. In the case of Jīva, I will discuss relevant portions of his Ṣaṭsandarbha, Kramasandarbha and Bhaktirasāmŗtaśeṣa which deal with semantics, poetics and theologies. This paper will explore the role of śleṣa in the history of grammar, respond to Belvalkar’s critique of “sectarian” grammars as having no history, and finally discuss the implications of the absence of śleṣa in the Navya grammars.

79 Vyākaraṇa 17th World Sanskrit Conference, 2018

The Verbal Root Bhū in the Mādhavīyadhātuvṛtti of Sāyaṇa: Problems and Solutions of Certain Traditional Grammatical Questions Beatrice Bonino EPHE, Université Sorbonne Nouvelle - Paris 3 (Paris, France)

The Mādhavīyadhātuvṛtti (MDhV) is a commentary on the Dhātupāṭha of Pāṇini, a list of verbal roots annexed to the Aṣṭādhyāyī. This work is attributed to Sāyaṇa (14th century), the eminent scholar at the court of Vijayanagara empire, especially famous for his extensive commentaries on various Vedic texts. Its analysis is considered crucial for several reasons. On the one hand, it reflects the use of grammatical rules and their arrangement in order to derive the correct forms, a tradition which the text both presupposes and perpetuates. On the other hand, particularly in the section concerning the root bhū ‘to be, exist’, a remarkable quantity of passages extrapolated from philosophical works, such as the Vākyapadīya of Bhartṛhari, forms the core around which the commentary develops and takes its structure. This latter point tends to confirm the philosophical importance of the MDhV, besides the role the text plays in the tradition of Vyākaraṇa, and the centrality of the semantic analysis of being (sattā) in both the syntax and the philosophy of language. In the first part of the text, concerning the prakriyā (process of derivation) of the verbal root bhū sattāyām ‘to be, in the sense of being, existence’, Sāyaṇa discusses in a very detailed way some of the central questions concerning the Aṣṭādhyāyī of Pāṇini, i.e., the arrangement of the rules 1.3.3 hal antyam and 1.1.71 ādir antyena sahetā and the undesired consequence of mutual dependence (itaretarāśrayatva). In this paper, we will highlight some of the solutions the author of the MDhV offers, and compare them with the more well-known interpretations of the Pāṇinian sūtras belonging to other important commentators.

80 17th World Sanskrit Conference, 2018 Vyākaraṇa

Underdetermination in the Aṣṭādhyāyī? Jo Brill University of Chicago (Chicago, IL, USA)

This paper looks at the sūtras governing reduplication (starting from 7.4.58) and focuses on yaṄ and yaṄluK forms for verbal roots with vocalic ṛ. I review the available guidance, both practical and theoretical, in hopes of ruling out the possibility that there are two distinct, correct derivations. ur aT (7.4.66) teaches that in the reduplicated syllable, a vocalic ṛ is replaced by short a. guṇo yaṅlukoḥ (7.4.82) begins a section pertaining specifically to yaṄ and yaṄluK, and teaches that the vowel of the abhyāsa is replaced by guṇa (this time using the technical term). When deriving intensive/ frequentative forms for verbal roots with vocalic ṛ, both these sūtras apply. Furthermore, they produce the same result. Which sūtra should the grammarian use? Does it matter? Bhaṭṭoji Dīkṣita places 7.4.82 in the section on yaṄ and yaṄluK. He gives 7.4.66 earlier, in the section on liṭ for bhvādi roots. Modern authorities mostly but not entirely rely on 7.4.66: Joshi and Roodbergen use it to derive narnarti from √nṛt, but in an earlier work Joshi uses 7.4.82 to derive ajarghāḥ from √gṛdh. Grimal et al. use 7.4.66 for dozens of yaṄ and yaṄluK derivations from dhātus with final or penultimate ṛ.

After consulting various commentaries, I will attempt to adapt approaches laid out in two papers given at this conference in 2009. Candotti points out that the disposition of examples may illumine authors’ intentions. In this case, the Kāśikā gives forms of nṛt and vṛt under 7.4.66, while the examples under 7.4.82 have either i or u as radical vowel. Scharf demonstrates that precedence questions naturally arise for pairs of sūtras with “overlapping domains;” this balābala question is extensively addressed in the paribhāṣā literature. Scharf formulates further principles for situations in which traditional instruction apparently falls short.

81 Vyākaraṇa 17th World Sanskrit Conference, 2018

The Origin and the Development of the Subanta Genre: Some Reflections Lata Mahesh Deokar Savitribai Phule Pune University (Pune, MH, India)

Śabda- and dhāturūpāvalīs occupy an important position in the early years of a student of Sanskrit in India. The former includes nouns in the three genders. These are further classified according to their endings. Each noun in this list represents a particular class of nouns, which has similar declensional forms in all the cases. Students were expected to learn by heart the śabdarūpāvalī with the help of a list of certain representative nouns. In the pāṭhaśālā type of education system, such representative nouns and pronouns are enumerated in the form of mnemonic verses. This particular genre of Sanskrit grammar is not considered scholarly and hence is hardly studied. The roots of representative word-lists can be traced back to grammatical texts of Pāṇini and Śarvavarman. In these grammars, grammatical operations specific to nouns ending in a particular sound and belonging to a particular gender were taught with the help of a representative term such as agni. Such terms are called nāyakas ‘leader’ in the early tradition. Later with the rise of prakriyā texts, grammatical rules were rearranged keeping in mind the declension of representative nouns and pronouns. Such sections dealing with nominal declensions later developed into independent texts giving rise to a new genre of subanta or syādyanta texts. For the mnemonic purposes such texts were abridged in the form of abstracted word- lists, which became popular in the traditional pāṭhaśālās.

The proposed paper will try to study the origin and development of the subanta genre by taking a historical approach. It will compare and contrast various available word-lists in mediaeval texts and the pāṭhaśālā tradition in order to understand their composition and motivation. This will throw light on this hitherto neglected genre of Sanskrit grammatical literature.

82 17th World Sanskrit Conference, 2018 Vyākaraṇa

Anonymous Borrowings and Chronology of Texts Mahesh A. Deokar Savitribai Phule Pune University (Pune, MH, India)

Scholars like Haldar, Keith, and Dwivedi believed that the Durgaṭīkā was written by the same Durgasiṃha, who composed the vṛtti on the Kātantrasūtras. However, Yudhiṣṭhir Mīmāṃsak in his Saṃskṛt vyākaraṇaśāstra kā itihās argued against these scholars and established that the author of the Durgavṛtti and that of the Durgaṭīkā are different persons. Based on textual evidence, he proposed 9th century CE as a probable date of the Durgaṭīkā. D. G. Koparkar in the introduction to Durgasiṃha’s Liṅgānuśāsana also considered the author of the Durgavṛtti and that of the ṭīkā as two different persons and assigned to the latter a date between 700 and 950 CE. He fixed this lower limit for the tīkā on the basis of Ugrabhūti’s Śiṣyahitānyāsa (about 1000 CE), a commentary on the Durgaṭīkā. According to Koparkar, Alberūni in 1030 CE knew Ugrabhūti’s commentary by the name Śiṣyahitāvṛtti.

In the first half of the 10th century CE, an erudite Sri Lankan monk Ratnamati, alias Ratnaśrījñāna (900–980 CE), composed a scholarly commentary on the Cāndravṛtti called Cāndravyākaraṇapañjikā (c. 920s–930s). Although we do not know much about the exact chronology of the Durgaṭīkā and Cāndravyākaraṇapañjikā, their relationship is beyond doubt. There are parallel passages in both these texts, which not only speak in favour of the relationship between the Durgaṭīkā and the Cāndravyākaraṇapañjikā, but also suggest the posteriority of the former to the latter. This proposed paper will try to establish the relationship between these two texts with the help of illustrations and would argue in favour of the indebtedness of the Durgaṭīkā to the Cāndravyākaraṇapañjikā. Consequently this would help us to decide the date of the Durgaṭīkā with more precision. This paper would thus improve our understanding of the interrelationship of different grammatical traditions, which are generally believed to be totally distinct from each other.

83 Vyākaraṇa 17th World Sanskrit Conference, 2018

किया विम रशः Shreyansh Dwivedi Haryana Sanskrit Academy (Panchkula, HR, India) काल-शबस वुतत रथ पा णिनीयधातुपाठे ‘कल’ शब-सं खानयोः (भादिः 325) ‘कल’ केपे (चुरा दिः 59) ‘कल’ गतौ सं खाने (चुरा दिः 254) च इतादयो धातव उपलभने। आचायो यासो नि र क े (2.7.24) “काल-शबो गत रथकः (2.5.1) कालयते निषनः” (2.7.24) इति मनुते । “कालः कालयते रगति -क रमणः” । कीरसामी (२। ५। १) “कलयतायुः कालः” इतुकवान् । भ रतृह रिणापुकं, ‘‘जल-यन-भमावेश-सदशी भिः पवृ तिभिः। स कलाः कालयन ा ः रव कालाखां लभते वि भ ुः ’’ (वाकपदीयं कालसमु. 14) इति । कालय ति उततेः पाक् सकारणेषु लीनान् भावान् बहिः कि प त ी ति काल इतनथेन नामा पसिद: कालो वि श व ै चि तहेतुः। सरवाः कलाः सरवान् भावान् पव रतयन् स बहा भिधः वि भ ुः । अ त एव बहणः सातनतशकिरेव काल इति भ रतृह रिणा सिदा नितम्, “अधा हितकलां यस कालश किमुपा शिताः। जनादयो वि क ा र ा ः षड्भावभेदस योनयः।”।(वाकपदीयं बहकाणम् 3) इति । िकयैव काल इतिसन ् पक े नागेशोऽरिच ं पदशरयित। पिसद-पिरमाणा िकयैव काल इित सीकरणे नागेशानुसारमनवसािस। यदि कि य ा ि त ि र क ः काल इति कशि त् सीकु रयात् तदा पि कालमखणम् अमता स कालः कणपदा रथरपेण ववह रतवः। कणपचयाच मुह ा दरत ी न ा ं ववहाराणामुपप ति : करतवा। “आदे पके कणोपाधे नि रवकुमशकतम्। उतर-सं योगाव चिन: कि य ेि त चेत् तसा विशेषण- विशेष-समन-रपते तयाणाम पि सि र त ा त ् कण-ववहार- नियामकताभावः। अतिरिकते, सिदोऽ तिरिकः कणपदा रथ इति ततचयैरेव काल-मुह ारत दि -ववहारोपपतौ कि म ख ण ेन तेन ? कि ञ , पसिद- परिमाणेतुकिरसंगता, तसा अपि कियानरसेव परिचेदकतेऽनवसापतिरिति दि क ् (वाकरणमहाभाष-पदीपोदोतः 84) । नागेशः कण-पवाह एव काल इतमनत। सः सांखाचा रयाणां शब-तनातायाः प रिणाम-वादम पि समि थतवान्। यतो हि ”पकृतेः पिरमाणस िववतसर वाितभङुरस िवभोः कणस धारायाः कालतात ् यदा शब-तनाता पिरमाण एव िदगत ् कालः" (वैयाकरणिसदानलघुमञषू ा पृ.839-840)। नागेशः योगद रशनस सांखद रशनस च मतेन पभा वितोऽ सि। तस कालसमनी वि च ा र ो वैयाकरणानां पसिद-काल- वि ष य क - वि च ा र ेभ ो भि न त ा ं भजते। स-मतानुकूलं नागेशो भाषोकीर् विभज अरथ कृ त व ा न ्। वसुतो नागेशः कणस सतां पागेव सीकृतवान्। कणोऽ पि कि य ा स न ा न स ा भ न र े ति ष ति अतः कि य ा -भेदाय कालसावशकता वरतते। अतः कि य ैव काल इति पकः सीक रतवः।

84 17th World Sanskrit Conference, 2018 Vyākaraṇa

Coordination in Pāṇini’s Metalangauge Nandini Dilip Ghag IIT Bombay (Mumbai, MH, India)

Dependency grammars developed for parsing natural language sentences are believed to have their origins in the grammar of Pāṇini. One of the key issues in dependency grammars is “coordination.” Scholars like Amba Kulkarni (2015) and Peter Scharf (2015) have studied various aspects of parsing Sanskrit sentences using dependency formalism. However, we have not found any published study so far which attempts to study coordination in the meta-language used by Pāṇini. In the present paper, as a broad framework, we attempt to study coordination as treated by Pāṇini and his tradition. The specific focus is on “ca.” The grammar of Panini does mention the cārtha while dealing with compounding and the commentators develop the theme further and discuss various intricate points from which insights can be drawn and applied even in modern contexts. The later Pāṇinian grammatical tradition which uses Navya-Nyāya language also discusses the issue of coordination. Tatacharya N.S.R. (2006: 371-396) gives a detailed description of these traditional sources which forms the basis of our study for this purpose. We focus on the study of coordination used by Pāṇini in his metalanguage (in which the word form assumes significance over meaning according to A. 1.1.68) which was highlighted by Joshi and Bhate (1983) and (1984).

85 Vyākaraṇa 17th World Sanskrit Conference, 2018

Syntax in Nāṭyaśāstra― Some Resemblances With Aṣṭādhyāyī Athira Jathavedan Sree Sankaracharya University of Sanskrit (Kalady, KL, India)

There had been many grammarians and grammatical schools before and after Pāṇini, but Pāṇinian grammar is considered as the perfect one. Before Pāṇini, Yāska, the author of Nirukta, had put forward the theory that the origin of all nāmas are from dhātus. This was made the foundation of the Pāṇinian programme. The astounding minimisation achieved by Pāṇini in his Aṣtādhyāyī is its speciality over other works. In order to achieve this, the important techniques that he had employed were pratyāhāras and anubandhas. He has dealt only with syntax, which also includes semantics. In Nāṭyaśāstra, Bharata has divided abhinaya as āṅgika, vācika, āharya and sāttvika. Nāṭyaśāstra says that the body of nāṭya is vākya, the word (vāci yatnas tu karttavyo nāṭyasyaiṣa tanuḥ smṛtā, Nāṭyaśāstra 15.2). Moreover he describes vācikābhinaya as comprising of nāma, ākhyāta, nipāta, upasarga, samāsa, taddhita, sandhi and vibhaktis. He describes samskṛta as comprising of aṅgas like vyañjanākṣara, svara, sandhi, vibhakti, nāmapadas and words based on different dhātus, etc. As in Pāṇini, Bharata also describes svarasthāna and vyañjanasthāna. But he differs from Pāṇini in the case of svarajanyas, that are not like pratyāhāra. Bharata asserts the primacy of words and its importance in nāṭya, thus Nāṭyaśāstra has a component dealing with vyākaraṇa. Like Pāṇini, Bharata also has brought down 13,42,17,726 samāvṛtta into twenty six chandas. Thus, like Pāṇini the sūtrakāra, Bharata also had achieved minimisation. Pāṇini was aware of the nāṭyasūtra, which is believed to be authored by Śilāli. Hence he calls an actor “Śailālina naṭa” who is referred to as “Śailālika” in Nāṭyaśāstra. We can also find references to nāṭyasūtra in Pāṇini (Pārāśaryaśilālibhyāṃ bhikṣunaṭasūtrayoh, Aṣṭādhyāyī IV.III.110). Here we discuss the grammatical and syntactic contributions of Nāṭyaśāstra on the basis of the 15th chapter, “Chandovibhāga”, which clearly points to its resemblance with Aṣṭādhyāyī.

86 17th World Sanskrit Conference, 2018 Vyākaraṇa

Considering the Commentaries on Kratuyajñebhyaś Ca (Aṣṭādhyāyī 4.3.68) Prasad P. Joshi Deccan College PGRI (Pune, MH, India)

Pāṇini, in his rule: kratuyajñebhyaś ca (A. 4.3. 68) introduces the suffix –ṭhañ (= -ika) in the sense of ‘occurring’ and ‘a commentary’ after the name of a work to be explained, provided that such a work relates to a kratu or a yajña. The words kratu and yajña both mean sacrifice. The question is why Pāṇini has used these two synonyms in the rule. Patañjali says that both these words are necessary in the rule. Saying merely kratu will refer to the sacrifices which bear the name kratu, such as Agniṣṭoma and not to the other sacrifices like Pañcodana. Saying merely yajña will have the same consequence. The Kāśikāvṛtti justifies the use of two words saying that kratu means a Soma sacrifice, so the word yajña is necessary in the rule to include sacrifices other than that. The Siddhāntakaumudī mentions that kratu and yajña both stand for Soma sacrifices. On the strength of the mention of both these words, the non-Soma sacrifices are also to be understood in the rule. The Bālamanoramā states that since both the words are established in the sense of Soma sacrifice, any one of them may be considered as futile in the rule. The commentators thus offer different answers to the question. Paul Thieme has not discussed the issue in his book Pāṇini and the Veda. The present paper aims at reflecting on the above mentioned explanations of the commentaries, while considering the use of words kratu and yajña elsewhere in the Aṣṭādhyāyī. It also refers to the works relating to rituals and to the kośas to ascertain the semantic difference between the words mentioned in some commentaries.

87 Vyākaraṇa 17th World Sanskrit Conference, 2018

What Is the Purpose of Restating “dā” in Aṣṭādhyāyī 5.3.19 “tado dā ca”? Yūto Kawamura University of Oxford (Oxford, England)

A 5.3.15 sarvaikānyakiṃyattadaḥ kāle dā introduces the affix dā after the pronominal tad terminating in seventh-triplet endings on condition that reference is made to a time. By this rule obtains the derivate tadā ‘then’ corresponding to the string tasmin kāle. Despite this, A 5.3.19 tado dā ca also provides that tad in seventh-triplet endings takes dā under the same condition, thus resulting in the same derivate. Whereas Kātyāyana and Patañjali consider it meaningless to state dā again in A 5.3.19 (tado dā ca → tadaś ca), four solutions to this problem are offered by some other grammarians. The aim of the present paper is to consider their validity and Pāṇini’s intention in restating dā in the rule. It shall be shown first that three of the four solutions are unacceptable. What one must pay careful attention to is the remaining explanation mentioned in Kaiyaṭa’s Pradīpa: There is a difference between the two tadās derived by A 5.3.15 and A 5.3.19; the former serves to derive tadā́ (tad + Ṅi + dā́) with high pitch on its last vowel and the latter to derive tádā (tad + Ṅi + ádā) with high pitch on its first vowel (A 3.1.3 ādyudāttaś ca). That is, A 5.3.19 is to be read as tado ’dā ca. Although tadā́ is a regular formation, tádā also could be secondarily formed due to various linguistic factors (e.g., analogy with táthā). It is therefore possible that the form tádā did exist in the language Pāṇini describes and he accounted for it by A 5.3.19. In this context, it is compelling that this form is actually found in Rgvedḁ Khila 1.8.1 as transmitted to us.

88 17th World Sanskrit Conference, 2018 Vyākaraṇa

On Pāṇini 1.1.5 Kṅiti Ca Paul Kiparsky Stanford University (Palo Alto, CA, USA)

Before sārvadhātuka and ārdhadhātuka suffixes, guṇa replaces the last segment of a base by P. 7.3.84 sārvadhātukārdhadhātukayoḥ, and a short penult by 7.3.86 pugantalaghūpadhasya ca. P. 1.1.5 kṅiti ca states that there is no guṇa replacement before Kit and Ṅit suffixes, such as -Kta and -CaṄ. Traditionally, kṅiti in 1.1.5 is understood as a nimittasaptamī. 1.1.5 would then say that guṇa substitution does not apply when the cause of guṇa is Kit or Ṅit. This blocks guṇa in bhid-Kta, but fails to block tiP from triggering guṇa in dhi-Śa-tiP. Here the antaraṅga-paribhāṣā is invoked: i, u → iy, uv in dhi-a, sru-a by 6.4.77 has priority over guṇa in dhi-a-ti, a-su-sru-a-t. Then, in dhiy-a-ti, a-su-sruv-a-t, i, u are no longer penults, so guṇa is no longer applicable. However, the antaraṅga-paribhāṣā has been discredited; in fact these are the only remaining putative pieces of support for it.

Joshi and Roodbergen (1991) proposed that kṅiti is a parasaptamī, and relied on the maxim yena nāvadhānaṃ tena vyavahite ’pi for bhinna. Kiparsky (1982) suggested reading the locative in both ways. Both of these solutions are problematic (Cardona 1991). The extended -principle (Joshi & Kiparsky, ABORI 2005) predicts the correct derivation in dhi-Śa-tiP and similar forms. As originally formulated, the siddha-principle applies at each point in a derivation to determine which of the rules applicable at that point should take effect. The extended siddha-principle scans entire derivations and chooses the one in which siddha-relations are maximized. It gives 6.4.77 aci śnu… priority in dhi- Śa-tiP, where it yields dhiyati, to which guṇa is no longer applicable. This analysis vindicates the traditional nimittasaptamī reading of 1.1.5, adds to the evidence for the extended siddha-principle, and eliminates the last residue of the problematic antaraṅga-paribhāṣā.

89 Vyākaraṇa 17th World Sanskrit Conference, 2018

Pāṇini’s Use of Śeṣa ‘Remainder’ and the Definition of the Bahuvrīhi Masato Kobayashi University of Tokyo (Tokyo, Japan)

Pāṇini uses the word śeṣa (“remainder”) sixteen times in the Aṣṭādhyāyī (Aṣṭ.). While the word “remainder” sounds subordinate or marginal, śeṣa appears to have more positive meaning in some cases (Sarangi 1985). For example, the genitive, which denotes a wide range of relationships, is defined by śeṣa in Aṣṭ. 2.3.50 (ṣaṣṭhī śeṣe), and Aṣṭ. 4.2.92 (śeṣe) heads a large section of taddhita suffixes up to 4.3.133, after which yet other taddhita rules follow. Śeṣa may even be comparable to utsarga (“general rule”) in some cases in that both have broader domains than and are weaker to specific rules. In the samāsa section, Pāṇini defines the bahuvrīhi compound as “śeṣaḥ” (Aṣṭ. 2.2.23). While Patañjali interprets śeṣaḥ as the yet unexplained nominative case triplet, the phrasing of this sūtra needs further investigation: Didn’t Pāṇini mean śeṣaḥ simply as “remaining compounds” as Kāśikā interpreted, and if so, couldn’t he have phrased 2.2.23 as a general rule?

In this paper, I will first discuss Pāṇini’s sūtras with śeṣa, Patañjali’s discussion on them, and draw generalization about the use of this word—i.e., how it is different from our understanding of “the remainder,” and in what respect it is different from notions such as utsarga. Then I will delineate the bahuvrīhi as a group that primarily consists of exocentric compounds excluding those discussed so far, but also includes miscellaneous adjective compounds. Some such compounds, like upa-viṃśāḥ (“about twenty”), pūrvottarā (“northeast”), sa-putraḥ (“with a son”), even look less typically exocentric than exocentric karmadhārayas such as pañca-kapāla (“five-potsherd [oblation]”) (2.1.51). The fact that Pāṇini phrased residualness and exocentricity in two rules (2.2.23 and 24) also suggests that residualness rather than exocentricity is the primary definition of the bahuvrīhi.

90 17th World Sanskrit Conference, 2018 Vyākaraṇa

Some Reflections on the Concept of Counterexample and the Translation of a Counterexample Sentence in Vaiyākaraṇa-siddhānta-kaumudī Irawati Kulkarni IIT Bombay (Mumbai, MH, India) Malhar Kulkarni (IIT Bombay)

Pratyudāharaṇa or a counterexample is an important part of the structure of the Sanskrit grammatical commentary literature. Kulkarni (2012) has demonstrated the structure of the text of the Kāśikāvṛtti (KV) and the place of the counter example in it. Ajotikar, Kulkarni and Scharf (2016) studied the counterexamples from the KV in a detailed manner. They mentioned a 6 point agenda for study, out of which the 6th point, namely, the translation of the sentence describing a counterexample was not covered in that publication. We aim to study this aspect in this paper and we focus on the counterexamples found in Vaiyākaraṇa-siddhānta-kaumudī. We focus on ‘x kim y’ type of counterexamples. One of the important examples of this kind is: aci kim kumārī śete (Vaiyākaraṇa- siddhānta-kaumudī on the Aṣṭādhyāyī 6.1.102: akaḥ savarṇe dīrghaḥ). “Why do we say ‘when a vowel follows?’ Observe kumārī śete.” (Vasu 1906)

We note that the translation of Vasu does not explicitly bring out the underlying conceptual layers of the counterexample. We observe that there exist following conceptual layers in the counterexample sentence: (i) uddiṣṭapadaviśiṣṭābhāvaḥ (ii) tadabhāvaviśiṣṭaṃ sūtram (iii) tādṛśasūtrasya śabdarūpotpattyanukūlapravṛttiḥ (iv) tajjanita-iṣṭānutpatti-aniṣṭotpatti-anyatararūpavyabhicāraḥ (v) tadvāraṇoddeṣyakapraśnoddiṣṭaśabdasya sūtre sthitisārthakyam. In order that these conceptual layers get captured in a translation, we propose to translate the counterexample sentence mentioned above, in this paper.

91 Vyākaraṇa 17th World Sanskrit Conference, 2018

Some More Reflections on the Role of the Nyāsa and the Padamañjarī in Reconstructing the Textual History of Transmission of the Kāśikāvṛtti Malhar Kulkarni IIT Bombay (Mumbai, MH, India) Eivind George Kahrs (University of Cambridge)

As the two direct commentaries, the Nyāsa (Ny) and the Padamañjarī (Pm) serve as a very important tool in reconstructing the text of the Kāśikāvṛtti (KV). Kulkarni and Kahrs 2016, showed that evidence available from these two commentaries can be classified under two major categories: direct and indirect. It also discussed two variant readings noted down by the Padamañjarī and correlated them with the available manuscript material and drew conclusions about the textual transmission of the KV in the pre-Pm era. Kulkarni 2000, Kulkarni 2002 and Kulkarni 2013 brought to light various ideas which highlight importance of the Ny and the Pm in the task of this reconstruction. The present paper reflects upon all these ideas and attempts to present a perspective to deal with them in a cohesive manner to explain the textual transmission of the KV.

92 17th World Sanskrit Conference, 2018 Vyākaraṇa

On Bhartṛhari’s Redefinition of Karman: *Kriyāviṣayatvaṃ Karmatvam Hideyo Ogawa Hiroshima University (Hiroshima, Japan)

In the Sādhanasamuddeśa of his Vākyapadīya, Bhartṛhari devotes forty-five kārikās (VP 3.7.45–89) to dealing with a karman kāraka. This karman section is organized strategically. A brief synopsis of the section is as follows:

1. (45–46) Classification of kāraka entities to be assigned to the karman category 2. (47–66) A 1.4.49 2.1. (47–53) Definitions of nirvartya, vikārya, and prāpya 2.2. (54) Independence and dependence of a karman 2.3. (55–66) karmakartṛ 3. (67–79) A 1.4.51 4. (80) A 1.4.50 5. (81–86) MBh on A 3.4.26 6. (87) Vt. 1 on A 1.4.49 7. (88–89) Distinction between sakarmaka and akarmaka

Bhartṛhari argues that the reformulation of A 1.4.49: kartur īpsitaṃ karma can reasonably cover the domains of A 1.4.50–51, so that it is proper to suppose VP 3.7.47–80 to be meant for explaining A 1.4.49. Noticeably, after discussing utterances such as paktvaudano bhujyate, he states VP 3.7.87 to propose such a semantic definition of a karman as arouses the question of on what criterion verbs are classified into two divisions: sakarmaka and akarmaka. The definition is: to be a karman is to be a kriyāviṣaya, ‘a domain of an action’. It is well known that, in his Vaiyākaraṇamatonmajjana, Bhaṭṭoji Dīkṣita defines a karman as a locus (āśraya), which Kauṇḍa Bhaṭṭa paraphrases as kriyājanyaphalāśraya, ‘a locus of a result to be brought about by an action’. No studies have so far been made as to the question of where later Pāṇinīyas’ concept of a karman as a locus of such a result is derived from. The present paper aims at showing that Bhartṛhari proposes the above-stated semantic definition of a karman and that, because of Pāṇinīyas’ concept of viṣaya, the definition paves the way for later Pāṇinīyas’ formation of such a concept of a karman.

93 Vyākaraṇa 17th World Sanskrit Conference, 2018

वाकरणोदाहरणकावेषु लट्लकारपयोगवै विधम् Yogesh Nitinbhai Pandya Darshanam Sanskrit Mahavidyalaya (Ahmedabad, GJ, India) आचा रयकेमेना भिमतानुगुणं सारसतं वाङयं चतु रधा विभकम सि । तदथा- शासं, कावं, शासकावं, कावशासञे ति । यसिन् कावे वाकरणा दिशासाणां वुत तिजानमुप ति षाप यिषु भिः कविभि शासततम भिनिवेशते ततावशास मिति वप दिशते । कि न ु कालानरे कावशासे ति पदं सा हितशासीयलाक णिकगनानां कृ त े बाहलेन ववहतमभूत् । अतसनेहदशा मिमामपाक रतु कैि श दि द दि र ेत दि ध क ा व ा न ा ं कृ त े “उदाहरणकावम्” इति पदववहारः अका रि ।असां परमराया ं बहिभः वाकृतलङृितशासपयोगपारदशिभः किविभः वाकरणशाससोदाहरणकावानुपिनबबिनरे । भटि कावम् , रावणा रजुनीयम् , वासुदेव विजयम् , सुरपराघववम् , सुगला रथमाला इतादी नि सनि वाकरणोदाहरणकावा नि । के र ल ी य वि द ा सं ः एत दिधकावपणयने शी रषणं सानं बि भ ति । पसोतव विषयसयम सि शोधपतेऽ सिन् यत् पायेण संसृतभाषायाः ऐदञयुगीनो वागववहारो लडा दिदशलकाराणां सामान निदि षसनभेषेव परिसीमितो वरीव ति । तेषु दशलकारेषु लट्लकारस “स,ह,शशत् , नु” इता दिपदानां साहचये कालानरेऽिप पयोगाः पिसदिन। परन ु कावोदाहरणािन िवना तषे ा ं पयोगाणा ं जानं दघु रटम।् अषाधाया ं तृतीयाधाये दि तीयतृतीयतुरीयपादेषु तत तत लकारा रथाः वि भि न ा ः पोकाः। अतोऽनुसनाता शोधपतेऽ सिन् वाकरणोदाहरणकावानालम लटः पयोगवैिवधं पतुषूषित ।

94 17th World Sanskrit Conference, 2018 Vyākaraṇa

वि द ग ब ो ध ः – वाकरणशासे यशोभूषणगनः Ranganathan Raghunathan Chinmaya Vidyalaya (Chennai, TN, India) वि द गबोधः इतयं गनो वाकरण विषयातको वरतते। अयं गनः शी मिशभूप तिनामा कविना पणीतः। एष गनः षट्कारका णि सोदाहरणं सविशदञ वाखा ति। पदरपेण वि र चि त एष वाकरणगनः कारका णि तथा ततंबनी नि सूता णि वा तिका नि च 160 पदेषु सुवकं पतिपादयति। वि द गबोधः अद यावत् न पका शितः। मदपुरी कृ तिसंरकणालये (GOML) करगजपते मु दिता एका पतिकृतिः उपलभते। इयं पतिकृतिः गनालयस भूतपू रवसंरककेण चनशेखरेण सं पा दिता। अस गनस अनाः पतिकृतयो वि द न इति NCC दारा जायते। भण रकर् सं शोधनसं सायाम् एका पतिकृतिः, एका पतिकृतिः लकव् वि श वि द ा ल य स गनालये, अपि च लहरसे पञाब वि श वि द ा ल य े पतिकृतिदयं च वि द ेत इति ततोि ल खि त म । ् पायशः सा हितशासे ऩूनं पतापरदीयसदशाः बहवः यशोभूषणगनाः वि द न े । वाकरणशासेS पि कतिचन यशोभूषणगनाः उपलभन एव । उपलभमानेषु यशोभूषणपबनेषु वि द गबोधो मुखतमः। पकृतगने शी मिशभूपः कारका णि तथा तेषां पभेदान् च सोदाहरणं नि र प य ति । कारकेषु अवधेयाः सवेS पि वि ष य ा ः असिन् उप निबदाः। अस गनस वै शिषम सि यत् सवेS पि उदाहरणशोकाः राधाकृ षावा शित सनि। विभकय रथानां पतिपादना रथपयुका नि दषाना नि शीराधाकृ षयोः लीलाके लिविवरणपरा णि वि द न । े तता पि विशेषतः राधायाः सुदढा अननसुलभा पेमा भकिः दोतते। भू रिशः राधामाधवयोः वि र ह र प ो वि प ल म शङारो वि व कि त ः वरतते । अयं गनः अनया सरणा गीतगो विनेन साममे ति। गन मिमं लघुभ किकावम् उत शासकाव मित पि अभिधातुं पारयामः। कविना सीकृतो वि ष य ः विभकय रथाः, आशितमा रगसु भकिमा रगः। वि भ क ा ः रथ भक परथ क ा श न प र ा इति शम्। वैरागाय कथाशु ति रमधु रिपोः सं पदते के व लं भकिः साधुजनपसङर चिता मुकयै भृशं कलते। ततंभोगसुखाय यदव ति तनहं वयसेऽधुना बुदा तं समुपा दिश सररजां शानैव यतलते।। वि .बो शोकः 104

95 Vyākaraṇa 17th World Sanskrit Conference, 2018

इतिहाससाकिभूतानि पा णिनिसूता णि Ankit Jitendrakumar Raval Shree Somnath Sanskrit University (Veraval, GJ, India) पा णिनिना अषाधायां वि र चि त ा नि सूता णि वाकरणदषा तु शि र च त र प ैः राराजने कि न ु ऐतिहासिकदषापि सूताणेता नि पमुखं सानं भजने । पा णिनिना वि र चि त षे ु सूतेषु वाकरण नियमैः सह वि वि ध ा ः पाचीन विदाः, देश-पदेशानां पाचीननामा नि , पकृ तिद रशनं, भौगो लिकी परिसितिः, लोकववहारसम निनः नैकाः वि ष य ा ः उपपा दिताः। अत एव पा णिनेरषाधायी न के व लं वाकरणगनः अपि तु वि श स ऐतिहासिकगनोऽसि। पा णिनिना रचितेसिन् ‘का पिशाः षक् ’ 8।2।55।। इति सूते ऐतिहासिकसमनि वसुदयं दशते। एकः का पिशीपदेशोलेखः अनच सूतसासोदाहरणरपेण का पिशायनं मधु, का पिशायनी दाका च । सं पापैः पुराततावशेषैः जायते यत् वरतमानकाले काबुलपदेशस पाशे सि त ः बेगामनगरम् एव पाचीना का पिशीनगरी भवेत् असैव पमाणीकु रवन् आह च चि नि य ा ति क ः Hiwan Tseng : ‘Kapishi city as the ancient capital of Koshani.’ अपर के च न वि द ा सं ः पमाणय नि यत् - पाचीना का पिशी वरतमानकाले काबुलपदेशस को हिसानं का फिरिसानं वा भवितुमरहति यतो हि वरतमानकालेऽपि एते पदेशाः दाकाणाम् उतादने वि शवप सिदाः सनि । सूतेणैव जायते यत् बहवषेभः पागेव पदेशोऽयं दाकोतादने वि श प सि द ं भवेत् । का पिशायनं मधु इति उदाहरणेन जायते यत् का पिशां जातैः दाकाफलैः वि शि ष ं मधु नि रमाणं भव तिस यच विशप सिदम् आसीत्। पुरातत विचकणैः कृ त े सं शोधने मधुपाता णि अपि पापा नि तेन च जायते यत् पदेशोऽयं दाकोतादने मधूतादने च बहवषेभः विशप सिदः। ‘का पिशाः षक् ’ इतनेन सूतेण इतिहाससमनी एता नि वसू नि पापने तहि अना नि सूता णि दषा तु अषाधायी ऐतिहासिकः गनरपेणैव वकुं वयं सम रथाः। तददेव ‘कमोजालुक् ’ 8।1।175।। सूते सिन् उलि खि त ः कमोजपदेशः वरतमानसः अफगा निसानमधे सि त ः पामीरप रवतस वि स ा र ः एवं च ‘कचा दिभश’ 8।2।132।। सूते गुजरातस कचपदेशस उलेखः पापते । भौगो लिकदषा पा णिनिना सूतं रचितं ‘ ति क क ु त ् परवते’ 5।4।147।। सूते सिन् ति क क ु त ् परवतस उलेखः पापते । पदेशोऽयं डॉ.कीथमते वरतमाने ति क ो ट नामा प सिदः। ‘Vedic lndex’ नामके गने पदेशसास वि स तृ र प ेण व रणनं कृ तं । ‘ सि न ुत क शि ल ा दि भ ो ऽ ण ञौ’ 4।3।93।। सूते सिन् पोकः तक शिला शबः पाचीन विदासानकेनं सारय ति । ‘पारायणतुरायणचानायणं वरतयति‘ 5।1।72।। अत पारायण-चानायणा दि व रणनेन पाचीनम् अधातद रशनम् । ‘योजनं गच ति ’ 5।1।78।। इति पा णिनिसूते बहवषेभः पाक् पच लिता अन रगणना पद ति दशते। एतादशाः नैकाः ऐतिहासिकविषयाः पा णिनेः सूतेषु नि ग दि त ा ः । एवं रपेण पा णिनीसूता णि ऐतिहासिकदशटापि अतीव महतं वह नि अत एव वकुं शकते यत् इतिहाससाकिभूतानि पा णिनेः सूता णि।

96 17th World Sanskrit Conference, 2018 Vyākaraṇa

From Kātantra to Kāśikā: The Development of the Indigenous Sanskrit Grammar Pratik Gajanan Rumde University of Göttingen (Göttingen, Germany)

Despite a great deal of research on Pāṇinian grammar carried out till today, the history of indigenous Sanskrit grammar continues to stay, to a large extent, in the dark. This holds true especially for the period following Patañjali, the author of the Mahābhāṣya, and the first eight centuries of the Common Era—thus almost for a millennium.

Thanks to several pertinent studies in the recent years, the chronological sequence of the grammatical treatises available from this period has been determined with a considerable amount of certainty as follows - Kātantra, Jainendrasūtra, Cāndrasūtra, Cāndravṛtti, Mahāvṛtti, Kāśikā. However, a detailed account of the relationship of dependency amongst these texts remains to be an object of further research. In the last couple of years, researchers have extensively discussed the topic whether the text of Pāṇinian sūtras found in Kāśikā and the Vṛtti thereupon is under the influence of the Cāndrasūtra and Cāndravṛtti. Backed by a wide array of evidence, they have presented arguments for and against this statement. Along the same lines, a comparative study of Kāśikā with Jainendravyākaraṇa comprising of the sūtras and the Mahāvṛtti could reveal important facts pertaining to the proposed indebtedness of Kāśikā to this non-Pāṇinian tradition of Sanskrit grammar. The paper aims at presenting the scenario displayed by such a comparison made as a part of the Ph.D. research under the above-mentioned title and thereby tries to shed light on the history of grammatical ideas incorporated in these texts.

97 Vyākaraṇa 17th World Sanskrit Conference, 2018

Which Comes First the Affix or the Base? The Case of Lyap Peter M. Scharf IIIT , The Sanskrit Library (Hyderabad, AP, India)

The derivation of forms with the affix lyap, such as prasutya ‘after pressing’, in which lyap replaces ktvā in compounds by A. 7.1.37 समासे ऽनञपुवे को लप्, raises challenges to the principle that an internally conditioned operation takes precedence over an externally conditioned one (antaraṅgatva) because the rule depends on compounding, and compounding constituent words (pada) is externally conditioned with respect to the replacement of an affix (pratyaya) provided after a root (dhātu). Yet commentators and scholars generally have the compounding precede the replacement. An additional challenge to the principle concerns the priority of the replacement with lyap over root replacements. In the Mahābhāṣya on A. 2.4.36 अदो जिरलपिग कि ि त and on A. 7.1.37, Patañjali concludes that the mention of lyap in A. 2.4.36 is an indication that lyap occurs in initial instruction (upadeśa) despite the principle that an internally conditioned operation takes precedence. The immediate inference is that the replacement of the affix occurs prior to the replacement of the root ad by jagdh provided by this rule. However, this view is unacceptable because Patañjali in A. 2.4.35 आरधधातुके accepts that the affixes mentioned in rules under this heading are locatives of intended domain (viṣayasaptamī) rather than locatives of subsequent occurrence (parasaptamī) so that it is not necessary that the affixes actually be present. Similarly, under A. 6.1.50 मीना तिमिनो तिदीङां लपि च, commentators accept the term lyapi as a viṣayasaptamī. Moreover, Patañjali explains that the root-replacements taught by this rule occur before affixes are provided. It is necessary that lyap occur before stem changes, not before the root replacements. Hence the root replacements occur before the affix provision in the intended domain (viṣaya) of the affix lyap and of affixes that condition guṇa and vrddhi.̥ Likewise ktvā is replaced by lyap before but in the intended domain of compounding.

98 17th World Sanskrit Conference, 2018 Vyākaraṇa

Haribhāskara on the Paribhāṣā, “yadāgamās tadguṇībhūtās tadgrahaṇena gṛhyante” Yiming Shen University of Oxford (Oxford, England)

In the Aṣṭādhyāyī system, paribhāṣās (metarules) are indispensable elements that help interpret sūtras and clarify the prakriyā (technical derivation). The paribhāṣā “yadāgamās tadguṇībhūtās tadgrahaṇena gṛhyante” (ypbh), tentatively translated as “Āgamas added to their āgamins [= tad = yad], being subordinate to their āgamins, are [also] referred to by the designation of the āgamins,” is a paribhāṣā dealing with āgamas (augment; e.g., the initial a in imperfect forms like abhavat). It aims at removing such difficulties as encountered in the prakriyā of lavitṛ “he who cuts”, where the āgama i, due to its intervening position, prevents the replacement of ū by o conditioned by the immediate following of the suffix tṛ (Aṣṭādhyāyī 7.3.84). As āgamas are indispensable elements in the Aṣṭādhyāyī system, the ypbh arguably has great importance. This importance is borne out by the fact that the ypbh is found in all the nine Paṇinian paribhāṣā texts collected in Abhyankar’s Paribhāṣāsaṃgraha.

One of these texts is Haribhāskara’s Paribhāṣābhāskara, a paribhāṣā text that has been very little studied, and the current paper aims at presenting and evaluating the arguments on the ypbh therein. In his commentary on the ypbh, Haribhāskara treats ypbh’s prayojana (purpose; e.g., in the form sarveṣām), jñāpaka (indicator; e.g., Aṣṭādhyāyī 7.2.4), as well as gives a detailed technical discussion on the topic of svara (accent; e.g., in the āgama yāsuṭ found in forms like bhūyāt “may [it] be”) in relation to the ypbh. After presenting these materials in Haribhāskara’s commentary, I will critically evaluate the arguments and offer some critical remarks—for example, on the peculiarity in Haribhāskara’s style of paribhāṣā commentary writing, as well as on Haribhāskara’s role in the two- millennium-long history of interpreting the ypbh. To my knowledge, this is the first time that Haribhāskara’s commentary on the ypbh is treated in detail.

99 Vyākaraṇa 17th World Sanskrit Conference, 2018

Anekāntavāda as a Grammatical Device Balram Shukla University of Delhi (Delhi, India)

In the vast Sanskrit śāstric literature, we observe that philosophers utilize grammatical derivation frequently to back their philosophical stands, in many ways. On the other hand, grammarians, while deriving the words, seldom stick to philosophical presuppositions of a particular school of thought. Jain grammarians, on contrary, use the pivotal theory of - anekāntavāda (theory of many-sidedness of reality), as a directive principle for their grammatical derivations. Almost all Jain grammarians were monks, and because of their engrossed practices of Jain canons, they intentionally or unintentionally tend to make the environment of grammar highly religious. Most of them begin their grammars with the aphorism, “the derivation of the word is possible only through anekāntavāda.” To accept various aspects and sides of reality is the main proposition of anekāntavāda. While studying the tradition of grammar, we strongly feel that grammar also has the same view towards the words and its derivations, and hence grammatical operations tend to be manifold. Having a philanthropic attitude towards all the śāstras, grammar cannot delimit itself to a single school of thought. In the Mahābhāṣya, Pataňjali shows his reluctance towards sticking by one- dimensional dogmatic presuppositions several times. This is why anekāntavāda suits the nature of grammar, and Jain grammarians have been successful in introducing this religious principle as a directive meta-rule for all the derivational operations of their grammar.

In this paper we discuss:

1. How this philosophical theory of anekānta is so suitable to the grammar

2. How the Jain grammarians could prove that both the derivation and learning of the words are possible only through Anekāntavāda,

3. Do the Jain grammarians, by mixing religion with the grammar, attempt to make grammar as a means to liberation as Bhartṛhari and others have done?

100 17th World Sanskrit Conference, 2018 Vyākaraṇa

मीमांसावाकरणयोः समनानुशीलनम् Somveer University of Delhi (Delhi, India) असिन् पते यथाशी रषकं शासदयस समनः एवं पकारेण पतिपादते यथा– वि भ कि म ा शि त “सकु भि रजुहो ति ” | ऊहमा शित “रकोहागमलघवसनेहाः पयोजनम्” | पाबलदौ रबलमा शित “शु तिलिङवाकपकरणसानसमाखानां समवाये पारदौबलर मथिर वपकषादर ”् | िलङ्लोडािदपतयबोिधतभावनामािशत “िलङािदिवषयेण िनयोगाखने धमेण पयकु ः” | पतिषेधपरयुदासावाशित “ सि द ं तु पसजप तिषेधात्” “पाठात् परयुदासः, पितानांठ संजाकरणम्” | शबवाचां जा तिमा शित “जाताखायामेक सिन् बहवचनमनतरसाम्” इता दिः ।

101 Vyākaraṇa 17th World Sanskrit Conference, 2018

Kasmāt in Nirukta: From Which Root? Why? Whence? Or on the Purpose(s) of Yāska’s Etymologizing Paolo Visigalli New York University Shanghai, Shanghai Normal University (Shanghai, China)

Kasmāt is often used by Yāska to introduce words up for analysis. According to Durga’s commentary, kasmāt should be supplied whenever Yāska etymologizes a word, even though it is not explicitly mentioned. What does kasmāt mean? Scholarly views differ.

Kahrs (1983: 231) has noted that Sarup (1920-27) and other modern commentators understand kasmāt “to be construed with a supplied dhātoḥ, ablative of dhātu- ‘verbal root’.” Kahrs argues that this interpretation superimposes modern linguistic ideas that are alien to Yāska’s conceptual universe. For Kahrs (1983: 233), Yāska was not concerned with finding out the root from which a given word derives, but wanted to explore “why is something called what it is called?” Kahrs proposes to translate kasmāt as “why?; for what reason?”. For a more recent restatement of his position, see Kahrs (1998: 24; 35). Recently, Scharf (2013: 229) has taken kasmāt as kasmāt dhatoḥ, arguing that for Yāska “a certain nominal derives from a certain verbal root.”

Who is right? What does kasmāt mean? The questions are important because at stake is a general interpretation of Yāska’s etymological project. To answer such questions, the paper explores all the occurrences of kasmāt in the Nirukta and commentaries, but also considers the differing scholarly interpretations, as well as the discussions on the ‘aims’ (prayojanas; Nirukta chapter 1) that nirvacana aims to achieve.

102 17th World Sanskrit Conference, 2018 Vyākaraṇa

A Study of the Gaṇapāṭhas in the Printed Editions of the Kāśikāvṛtti Balasaheb Wagh K. J. Somaiya Bharatiya Sanskriti Peetham (Mumbai, MH, India) Malhar Kulkarni (IIT Bombay)

Gaṇas are an integral part of Pāṇini’s grammar. The exact nature of these lists has been a matter of study ever since the grammar of Pāṇini came to be known to the west. Several scholars attempted to present these lists of words with a critical study to the scholarly world. Kapil Dev Shastri presented a critical edition of the Gaṇas. Birwe presented a list of Gaṇas comparing different systems of grammar. All these, and other studies so far, referred to the text of the Kāśikāvṛtti as a base reference. The editions of the KV available to these studies did not contain, however, a critically edited text of the KV.

We have undertaken the task of critically editing the Gaṇas with the help of the critically edited text of the KV. As a first step in that direction, we would like to present the current situation regarding the known Gaṇas as available in the printed editions of the KV. There are more than 10 printed editions of the KV available so far. We compare each one of them and try to show the interrelation of them. We also trace the sources on which they are based and link them with the manuscript tradition of the KV.

103 Vyākaraṇa 17th World Sanskrit Conference, 2018

Svārthika Suffixes vs Endocentric Taddhita Derivatives Malgorzata Wielinska-Soltwedel Ludwig Maximilian University of Munich (Munich, Germany)

In later grammatical works, such as the Siddhāntakaumudī, the svārthika suffixes are considered as forming a subclass of the secondary (taddhita) suffixes. However, Pāṇini himself neither teaches svārthika suffixes nor says that any suffixes are added in the sense of their own bases (svārthe). The word svārthe appears for the first time in Kātyāyana’s vārttikas (13 times) and svārthika in Patañjali’s commentary (33 times). Patañjali does not restrict the usage of the terms svārtha/ svārthe and svārthika exclusively to the secondary suffixes. For him, the feminine suffixes ṭābādi are svārthika and he regards the intensive suffix yaṄ and the desiderative suffix saN as svārthe added, in which he partly follows Kātyāyana. The big shift in understanding of both of these terms can be seen in the Kāśikā. While for Patañjali, apart from the samāsānta (and ṭābādi) suffixes, only single suffixes are svārthika (or svārthe added), the authors of the Kāśikā state that the svārthika suffixes begin with the rule Pāṇ 5.3.1. Their view is shared by most of the later grammarians, even if the borders of various svārthikaprakaraṇas could suggest some difference of opinion on that topic. The purpose of this paper is, firstly, to examine how the grammarians beginning with Kātyāyana and Patañjali characterize the svārthika suffixes and whether the suffixes taught in Pāṇ 5.3. and 5.4. are really covered by these remarks. In the second step this traditional description will be compared with the modern concept of endocentric derivatives and the question will be discussed whether this new approach offers a better description of the suffixes taught in Pāṇ 5.3. and 5.4. than the traditional term svārthika and, as a final point, why this is not the case.

104 17th World Sanskrit Conference, 2018 Vyākaraṇa

On the Application of P.4.3.134, 140 and 144 Toru Yagi Osaka Gakuin University (Osaka, Japan)

vīrakāṃsyam upādāya kāñcanaṃ samalaṅkṛtam | avatīrṇā tato raṅgam draupadī bharatarṣabha || (Mbh 3.176.30) “Carrying the ’s goblet, which was made of gold and finely wrought, she descended into the arena, O bull of the Bharatas.” (van Buitenen)

P.4.3.134, 140 and 144 belong to a group of sūtras (P.4.3.134–168) most of which introduce taddhita suffixes after padas ending with the sixth case ending in order to form derivatives which denote the transformation or the portion of what the nominal stems of the padas denote. For example, both kāncana- and kāncanamaya- mean the transformation of kāncana- ‘gold’, i.e. something made of gold. The latter, however, occurs only occasionally, which may be due to metrical restriction. In the present essay, I would like to examine the application of these three rules to kāncana-, mainly basing myself on Pāninīyas.

105 Epics 17th World Sanskrit Conference, 2018

4. रामायणं महाभारतं च - Epics

Multiplications and Divisions in the Ānanda-Rāmāyaṇa Vidyullekha Aklujkar University of British Columbia (Vancouver, BC, Canada)

Multiplications and divisions occur for multiple reasons in many stories in the आनन-रामायण (AR), a medieval Sanskrit text describing the post-Battle-of-Laṅkā daily life of Rāma. Men, women, children, entire processions of soldiers and even rivers and books get split, or doubled, tripled and then rejoined. (सारकांड, सरग 12.84-5; याग काण, सरग 3.4-11; पू रण काण, सरग 2.53-7, etc.) While charting out the different patterns of this narrative device in the AR, my paper proposes to analyze the reasons behind the doubling or splitting of personalities in AR in light of Wendy Doniger’s arguments and insights in Splitting the Difference (1999). Doniger argues that the Ancient Greeks and Indians are cousins and that all women are sisters, and she claims that similarities in sisterhood far outweigh the cultural differences reflected in cousinhood. While I agree with her latter statement when it comes to sexuality, I shall show that in the AR, the doubling and splitting, both transitive (splitting another) and intransitive (splitting oneself), happen for many other rather mundane but culturally significant reasons. They range from the necessities of Rāma’s being in two places at once to honour and please the devoted sages living in two places, to the cloning of a lost baby by a distraught sage in order to escape the imminent wrath of the mother. I shall argue that the culture-specific complexities of the relationship between God and devotees, or God and the residents of the three worlds, etc., play a major role in the multiplications and divisions of the AR. My analysis will also highlight the AR’s light- hearted treatment of ultimate divinities and playful use of folk tales within popular scriptures in order to bring the Divine into daily life.

106 17th World Sanskrit Conference, 2018 Epics

The Naming System in the Mahābhārata Anil Kumar Arya Jawaharlal Nehru University (Delhi, India)

The purpose of naming is to recognize a specific person, thing, place, etc. Without using the name of anything, it’s very difficult to communicate or describe. Ancient India has two types of system for naming. The first is nāmakaraṇa-saṃskāra, which is a ritual for naming a child by parents under the guidance of preceptor. The second system includes the characteristics, qualities, merits, actions, birth place, parents, family etc. The Indian naming system has great philosophical virtue, as it shows the origin, development and progress of Indian culture and society. The naming system was first prescribed in the Vedas and later Vedic literature. The same tradition is followed in literature or epic such as the Rāmāyaṇa and the Mahābhārata.

The Mahabharata is the face of Indian society, culture, traditions, religion, rituals or history of several dynasties. This great epic offers a perfect example of the traditional Indian naming system. It has thousands and thousands different names. Sometimes a single character owns several names, such as Kṛṣṇa or Arjuna. The reason for having different names is that one name shows one quality, while another shows another. For example – Kṛṣṇa is also called Govinda. Kṛṣṇa means the attraction of people but Govinda shows that he is a protector of cows. Names also arise from the family, such as for Arjuna—Bhārata, Paurava, Kauravya etc. Bhārata means born in dynasty of Bharata. Names derive from the mother’s name, like for Yudhiṣṭhira, who is also called Kaunteya, by tacking suffix from his mother’s name, Kuntī. The name “Pāṇḍava” is derived from the name of King Pāṇḍu. A name derived from a place, and used for Draupadī, is Pāñcālī, which comes from her birth place Pañcāla.

This research paper describes social and philosophical points of Indian naming system and shows many deep theories behind giving name. This paper will be helpful for understanding culture, tradition, religion, Indian history, anthropology, political geography, and genealogy.

107 Epics 17th World Sanskrit Conference, 2018

On Pūjā to the Buddha in the Lalitavistara and Pūjā to Nārāyaṇa in the Nārāyaṇīyaparvan: Further Notes on Intertextuality in the Mahābhārata and Early Buddhist Texts Greg Bailey La Trobe University (Melbourne, Australia)

This paper explores the use of pūjā and cognates, as well as other words for “devotion”, in two strongly devotional texts, one Buddhist, the other Hindu. Pūjā and its derivatives occur at least one hundred and nineteen times in the Lalitavistara (LV), a text where the Buddha is designated with various names such as atideva, devadeva, īśvaravaro, lokanātha and frequent comparisons with a figure called Nārāyaṇa. In this text the imprint of devotionalism seems much stronger than in other Buddhist texts of a comparable age. Its focus on one specific figure with such a degree of devotional intensity invites comparison with other similar texts, and I suggest that the Nārāyaṇīyaparvan (NP) is a likely candidate. There is even a definition of the mahāpuruṣa in the NP (MBh. 12, 331, 23-27), one showing clear parallels to the description of the same in the LV (Ch.7).

In addition to the analysis of pūjā in both texts, I also investigate what kind of socio-economic background might have produced such texts, listing specific social classes and forms of wealth mentioned in both texts. Though invariably speculative, it is essential to begin investigating the material conditions that lie behind these texts, not just the ideas conveyed in them.

108 17th World Sanskrit Conference, 2018 Epics

The Krauñca Bird of the Rāmāyaṇa: Its Identification From Sanskrit and Tamil Sources Nagamanickam Ganesan Institute of Asian Studies (Chennai, TN, India)

There had been many efforts to identify the krauñca bird mentioned in Sanskrit texts. In “A Bird Bereaved” (JIP, 1998), Julia Leslie focuses on ornithological data as well as an important verse in the Rāmāyaṇa’s Southern Recension, and concludes that krauñca is the Indian Sarus crane. However, my paper brings in new data for the identification of the krauñca bird through a comparison between Sanskrit and Dravidian classical texts and the writings of ornithologists. The krauñca has a Dravidian name, aṉṟil in Sangam Tamil texts such as Akanāṉūṟu, Naṟṟiṇai and Kuṟuntokai. In the Tamil version of the Skandapurāṇa, Skanda-Murukan splits open the asura who stood in the form of the krauñca mountain, called “aṉṟil kuṉṟu” in -period literature. Ornithologists like M. Krishnan and S. T. Baskaran have recorded that ibises are still called aṉṟil in the villages of Tamil Nadu. While Leslie had done a detailed analysis of seven common bird names—snipes, curlews, egrets, herons, storks, flamingos, and cranes—she missed taking into account another important family of waterbirds. Among the wading birds of India, three species of Ibis are extant. The epithet tāmraśīrṣa referred to in the description of the krauñca refers to the Indian Black ibis (Pseudibis papillosa) with a red head. While the head of the sarus crane is not red on top, and its range does not extend to South India, the Indian epics are describing krauñca birds in Hampi, Karnataka. Ibises are a major class of waders, and the red-headed Ibis is identified as the krauñca bird, showing its identity from 2000 years of Tamil literature. Also, in Dakshina Karnataka district, there is a place called Kariñja, and also one near Bombay. In addition to Aryan etymologies for krauñca, a Dravidian etymology with the meaning “black bird” is suggested by using these toponyms and old Tamil texts.

109 Epics 17th World Sanskrit Conference, 2018

Religion and Politics in the Āśvamedhikaparvan of the Mahābhārata James Hegarty Cardiff University (Cardiff, Wales)

The Āśvamedhikaparvan is a rich and complex text. It has most often been studied with a view to determining the extent and provenance of its ritual details or as a setting for the Anugītā— Kṛṣṇa’s ‘recapitulation’ of the Bhagavad Gītā. More generally, it is seen, and often dismissed, as a late addition to the Mahābhārata that is indicative of processes of textual expansion and development. Its ‘lateness’ has not always been interpreted as a problem, however; Tamar Reich, in her 2001 paper, “Sacrificial Violence and Textual Battles: Inner Textual Interpretation in the Sanskrit Mahābhārata,” interprets the Āśvamedhikaparvan as indicative of ongoing cultural debate about the meaning of sacrifice and violence. This paper predates the resurgence of hypotheses with regard to the production of the Mahābhārata over a short time period, as advanced, most volubly, by Alf Hiltebeitel. The present paper will argue that, notwithstanding its title, the Āśvamedhikaparvan can be read as text that comments on far more than just the nature, form and significance of Vedic ritual or even sacrifice and violence more broadly conceived. It will be explored as a complex commentary on a wide variety of competing religious and political ideologies in early South Asia. The ramifications of such a reading of the Āśvamedhikaparvan for theories of the authorship and transmission of the Mahābhārata will also be explored.

110 17th World Sanskrit Conference, 2018 Epics

Static Epithets in Vālmīki’s Rāmāyaṇa Krešimir Krnic University of Zagreb (Zagreb, Croatia)

The paper will focus on the presence of certain number of repeating epithets referring to particular characters in Vālmīki’s Rāmāyaṇa. Among these there are some which are applied exclusively to a single character in the epic, and other, more common, which are applied indiscriminately to different characters. In the first group we can count for example epithets as ‘akliṣṭakarman’ or ‘dharmabhṛtām vara’ applied exclusively to Rāma. Among those which are used to describe different characters are, for example, a very common epithet ‘mahātman’, or patronymic ‘rāghava’. Both types of such epithets can pose specific problems for exact understanding of the intended meaning of the poet. Following the oral epic literature theory as formulated in its basic form by M. Parry and A. B. Lord, we could conclude that at many places static epithets do not add any specific description to the character described, but are mere metrical verse-fillers which help oral poet to keep the pace of the recitation while still remain in the prescribed metrical requirements. But, at closer examination, we can see that epithets, at least these applied exclusively to Rāma, can be approved both on the contextual and metrical ground, i.e. that they are semantically relevant, and not semantically empty, as hard core oral literature theory would like them to be. On the other hand, more universal static epithets will be shown as semantically less pregnant, and sometime actually completely empty. Such an approach and awareness of the problem could have impact on the way we understand the text, and finally translate it to our respective languages. I will try to prove the conclusion that oral epic literature theory can partially be applied to Rāmāyaṇa, and that familiarity with it can help us make correct decision when understanding and translating epic text.

111 Epics 17th World Sanskrit Conference, 2018

Curse as a Facilitator of Action and Terminator of Complexity in the Mahābhārata Himanshu Kumar University of Delhi (Delhi, India)

The curse is a major motif in the Mahābhārata which changes the destiny of a host of eminent characters. It aims at punishing the person whom it is directed at while its opposite viz. boon is supposed to bring welfare. The effects of curse are generally terrible and far-reaching and are in the form of death, destruction, separation, loss of progeny, miserable life or defeat on the battlefield. Many a time the punishment given is disproportionate to the gravity of the crime which is often unintentional or accidental in nature. But there are several instances in the text which showcase how a curse can be a blessing in disguise to a person while a boon is capable of bringing more harm than good. This paper will explore the ways in which curses end up furthering action instead of complicating it and ensure that the loose threads are neatly tied towards the end. The battlefield proves to be the arena where a lot of curses display their potency thus having a major impact on the outcome of significant combats. Through them, a number of characters are able to honour their oaths by avenging their loss themselves or through the means of others. They also make the lives of a host of characters easier by controlling their fates. Thus, the paper will shed light on the unusual ways in which curses have been employed in the text.

112 17th World Sanskrit Conference, 2018 Epics

Ambā’s Speech to Bhīṣma (Mbh. I, 96, 48.1 – 49.2) Roberto Morales-Harley University of Costa Rica (San José, Costa Rica)

The paper examines the speech in terms of the duality of the central elements that are mentioned: the wife/husband participants, the father/daughter reasons, and the moral/social components of . Female characters tend to play key roles in the Mahābhārata (Kalyanov 1977-1978, McGrath 2011). Can Ambā, even after her reincarnation as Śikhaṇḍin(ī), be considered as one of those pativratās ( 2012)? Unlike her sisters Ambikā and Ambālikā, Ambā never fulfills the rākṣasa vivāha with Vicitravīrya and, therefore, never endures the niyoga with Vyāsa. Nonetheless, she remains devoted to Śālva. By switching the emphasis from the Udyoga Parvan to the Ādi Parvan, a contribution can be made in viewing Ambā “the wife” as the predecessor of Ambā “the female ascetic”. The analysis of Ambā’s speech follows some of the methods of Argumentation Theory (Perelman and Olbrechts-Tyteca 1971): a lexical review of the terms that encompass cultural values, a rhetorical examination of the figures of speech that serve an argumentative function, and a logical reformulation of arguments into syllogistic structures. Nyāya and Alaṅkāraśāstra compliment the modern approach.

From each of the dualities proposed a conclusion is drawn. (1) From the point of view of the wife, the choice aspect of the svayaṃvara, even though mutual, depends mostly on her. (2) The justification of such choice rests, from a masculine perspective, in emotional aspects, but, from a feminine perspective, in rational pondering. (3) According to the interpretation of dharma, the social duty of the wedded wife outweighs the moral duty of the warrior. In sum, Ambā identifies herself as a devoted wife and, paradoxically, it is precisely this devotion that drives her to renounce her female condition.

113 Epics 17th World Sanskrit Conference, 2018

The Mahābhārata and the Iliad: A Comparison Hetal S. Patel Hemchandracharya North Gujarat University (Patan, GJ, India) Dilipkumar Chimanlal Patel (Hemchandracharya North Gujarat University)

The paper is an attempt to analyze the two respective epics, which have a special place in Eastern and Western cultures, from thematic, stylistic and ethical points of view. The paper is a comparative study of how both epics represent the respective value systems of their societies. The Mahābhārata shows that virtue is rewarded and vice is punished. The concept of transformation in characters is absent in the Indian context. In contrast, the Iliad, like the Greek tragedies, shows how the character of Achilles evolves throughout the poem from a great warrior to a man of honour. The Iliad is an epic which begins with a reluctant warrior (Achilles) who because of his disagreement with Agamemnon refuses to take part in the Trojan War. The epic narrates how various attempts are made to placate Achilles and how the death of his best friend Patroclus arouses his wrath and makes him fight valiantly killing Hector in the war. On the other hand, The Mahābhārata deals with the reluctant fighter Arjuna who refuses to fight with his kith and kin. It is at this juncture that Lord Kṛṣṇa, the charioteer, gives Arjuna the knowledge of dharma and adharma – of right and wrong. Lord Kṛṣṇa teaches him what is rightful duty in the part of the Mahābhārata known as Bhagavad-Gītā.

The paper also investigates how both epics uphold and underpin their ethical message for the world. In the process, the paper examines in detail the hexametric structure of Homer’s epic and the various material devices adopted by Veda-Vyāsa in the Mahābhārata. The paper concludes with observations regarding how the value systems of these epics are reflected in their respective cultures and in the literary works of these cultures.

114 17th World Sanskrit Conference, 2018 Epics

Different Sections, Different Textual Histories: Evidence of the “Observer Effect” in the Written Transmission of the Mahābhārata Wendy J. Phillips-Rodriguez National Autonomous University of Mexico (Mexico City, Mexico)

This paper will look into the textual history of different sections of the Mahābhārata in order to understand why some of them were transmitted with greater amounts of variants while some others display a larger degree of homogeneity in the different manuscripts that witness them. Even though diagrams produced by means of phylogenetic methods will be used to visualize the divergences between the textual history of discrete episodes of the epic, the emphasis will be on answering the following set of questions: Was the practice of comparing Mahābhārata manuscripts selective and is it possible to find evidence of that in the textual history of the epic? Why certain passages were transmitted differently than others? In what degree does the history of reception has modeled the history of transmission?

This paper starts from the proposition that a similar phenomenon to the “observer effect” in physics (the theory that the simple act of observing will introduce some changes into that which is being observed) also takes place in textual transmission. In other words, the more frequently a passage gets read (or copied, or compared), the more chances it has to introduce changes. Such changes, however, do not always occur in the expected ways: more popular episodes tend to display a greater degree of uniformity (e.g. Bhagavadgītā), while less sought-after sections (e.g. lists of gifts or items) came down to us bearing larger amounts of variants. The evidence provided by these findings will make it possible to observe how the Mahābhārata developed its own peculiar dynamic of reception and re- composition.

115 Epics 17th World Sanskrit Conference, 2018

Some Unique Aspects of the Ahalyā Story in the Mahābhārata Raj G. Rajan Independent Scholar, USA

The most common source of the Ahalyā story is the Rāmāyaṇa, which presents two slightly different versions of the events. A completely different account is described in the Mahābhārata, where it is embedded in the Cirakārin story. But this Ahalyā story is rarely cited or described. Doniger (Splitting the Difference) and Sohnen-Thieme (“The Ahalyā Story”) have discussed various accounts of the Ahalyā story in great detail. But neither one even mentions the Mahābhārata account. No other version of the Ahalyā story is as unique as the one reported in the Cirakārin episode. This is the only place where both Indra and Ahalyā are not cursed and, unbelievable as it sounds, there is no mention of Rāma. Gautama is protrayed as a compassionate ṛṣi who forgives Indra and Ahalyā and accepts her even after her sinful act. And we are told that, after this event, Gautama never lived separately from his wife.

It is difficult to explain how the Ahalyā story can be told without the presence of Rāma. How could we justify the strangeness of this story? Could it be because Bhīṣma (or Vyāsa) does not want the sinful Ahalyā to have contact with Rāma and attain mokṣa through him? Or could it be due to a softening attitude towards women after the sufferings described in the Strī Parvan? But, in the end, Bhīṣma’s misogyny asserts itself when he tells us, in the last śloka of the chapter, that Gautama went to heaven with his son but there is no mention of Ahalyā.

116 17th World Sanskrit Conference, 2018 Epics

If the Thighs Are Not Hit, You Must Acquit: Madhva’s Reading of the Gadāyuddha Episode Vishal Sharma University of Oxford (Oxford, England)

In the Mahābhārata’s climatic battle between Bhīma and Duryodhana, Bhīma breaks the rules by crushing Duryodhana’s thighs and subsequently stepping on his head. This leads to a war of words between Kṛṣṇa, who defends Bhīma to some extent, and Duryodhana that culminates with the gods showering flowers (puṣpavṛṣṭi) upon Duryodhana. This is problematic for Ānandatīrtha aka Madhva, who considers himself to be an incarnation of Vāyu and reincarnation of Bhīma and Hanumān. In his Mahābhāratatātparyanirṇaya, Madhva pays close attention to this episode and ultimately exonerates Bhīma of any wrongdoing. This paper examines the exegetical techniques that Madhva uses to defend Bhīma and Kṛṣṇa against accusations of wrongdoing in his battle with Duryodhana, and how he reframes the puṣpavṛṣṭi episode. Madhva’s reading of the gadāyuddha is important because it reinforces his moral vision of the Mahābhārata. It is also tied to other key arguments he makes in his Mahābhāratatātparyanirṇaya on the faultlessness (nirdoṣatva) of Viṣṇu and on Bhīma as the ideal devotee. In the first part of the paper, I examine how Madhva defends Bhīma’s decision to break Duryodhana’s thighs. In his retelling of the episode, Duryodhana performs a last-minute headstand to trick Bhīma, and Bhīma does not hit below the navel. In the second part of the paper, I look at how Madhva breathes new theological meaning into the act of Bhīma crushing Duryodhana’s head by steeping the episode in Vedic revelation, specifically the Ṛṣabhasūktam. Finally, I examine how Madhva justifies the shower of flowers that the gods rain over Duryodhana, and look at its implications for his moral vision of the epic. Reference will also be made to Vādirāja’s commentary on the episode.

117 Epics 17th World Sanskrit Conference, 2018

Changing Patterns of Women’s Resistance – Śakuntalā’s Journey From Śakuntalopākhyāna to Abhijñāna-śākuntalam R. Shobha Maharani’s Arts, Commerce and Management College for Women (Bangalore, KA, India)

In this paper I would like to dwell upon the patterns of women’s resistance portrayed in Sanskrit literature. The patterns of resistance varied from time to time. The same narrative re-presented by a different poet at a different historical time period might exhibit a totally different pattern. My emphasis here is to observe the changes in the patterns of resistance of women from the epic period to the classical period. It is interesting to study these patterns, as they are watermarks of ancient Indian women’s struggle for justice. Revisiting Sanskrit literature from the perspective of women might help us to understand these subtle aspects of women’s resistance, which are reflected through the situations depicted here. For this purpose I have selected the narrative of Śakuntalā, which appears for the first time in the Mahābhārata and later transmutes into classical form in Kālidāsa’s masterpiece Abhijñāna-śākuntalam. Śakuntalā had to face conflicting situations with Duśyanta at two important and crucial moments of her life – (1) when Duśyanta proposed to marry her, and (2) when Duśyanta later refused to accept her. The reaction of Śakuntalā to these two situations is about something more than just accepting the proposal or condemning his refusal. In this paper I wish to dwell upon Śakuntalā’s response to Duśyanta, focus on the pattern of her resistance in the epic and place it in comparison with that of Kālidāsa’s play. My interest lies in analysing the way in which Śakuntalā deals with this situation in the two texts and identify the change in the patterns of resistance. This also reflects the change in the image of women from the epic period to the classical age, wherein all the unique role models of the epic are transformed into stereotypes in the classical age.

118 17th World Sanskrit Conference, 2018 Epics

Bhīṣma, an (Un)reliable Narrator Zuzana Špicová Charles University (Prague, Czech Republic)

Bhīṣma is one of the most important narrators in the Mahābhārata, and is generally deemed a reliable one, especially in Śāntiparvan and Anuśāsanaparvan, where he is endowed with a boon of divine vision by Kṛṣṇa (12.52.14–21). On other occasions, he relies on his numerous sources, including ṛṣis and spies (e.g. 5.189.18; 5.193.58), and on his own memory. The proposed paper will examine the linguistic and narratological means whereby Bhīṣma’s reliability is established in Śāntiparvan and Anuśāsanaparvan, as opposed to his two previous upākhyānas in Udyogaparvan (Ambopākhyāna) and Bhīṣmaparvan (Viśvopākhyāna). The paper will mainly focus on the special position of Ambopākhyāna (5.170–193), where Bhīṣma narrates partly the events he witnessed himself, partly portions previously narrated to him by more or less reliable sources, and partly incidents with no named sources at all. While most of the narrators in the Mahābhārata are detached from the events narrated and use the third person, Bhīṣma as the narrator of Ambopākhyāna never fails to draw the reader’s (or the listener’s, i.e. Duryodhana’s) attention to the fact that it is he who is the narrator and the protagonist of the story, especially by constantly using the first person and relating himself to other characters and their thoughts. The paper will also show that the reliability of Bhīṣma as a narrator varies in accordance with the change of genre not only between the parvans and upākhyānas, but also in the course of Ambopākhyāna itself, and that the closer the text comes to the point where Śikhaṇḍinī changes her gender with the yakṣa Sthūnakarṇa, the more mythological and even fairytale-like the narrative becomes, and the less Bhīṣma’s reliability as the narrator is maintained.

119 Epics 17th World Sanskrit Conference, 2018

Book 4 of the Mahābhārata And the Omphale-Heracles Story: Methodological Questions Fernando Wulff Alonso University of Málaga (Malaga, Spain)

In other papers, I have argued that Book 4 of the Mbh is written on the basis of several written sources of the Greco-Roman story of Heracles and Omphale and, secondarily, from other stories of Heracles. This paper looks to explore two interrelated components: the evaluation of comparative theories and of the methods used by the author of the Book to deal with his sources. I propose eleven approaches and defend that my hypothesis meets them for Book 4:

For the general evaluation of common components: 1) “Principle of improbability”: quantity and quality of common elements. 2) Density. 3) Bizarre or fanciful elements. For the research on those common components from the perspective of probing the method of borrowing sources and of using characters: 4) Textual use: similar words or expressions. 5) Organization along the lines of a given Greco-Roman document/text (“litmus test of the essential identity of two given stories”). 6) The use of a given Greco-Roman work/author. 7) The use of different Greco-Roman works/authors. 8) The use of different works of a given author. 9) Use of a Greco-Roman character for the construction of a Mbh character. 10) Use of a Greco-Roman character for the construction of several Mbh characters. 11) Use of several Greco-Roman characters for the construction of a Mbh character.

In particular, I am developing point 5. In this case, the adaptations cover the whole of Book 4 from beginning to end and involve three different, borrowed structures from the Heracles and Omphale story. I am also developing point 4, direct textual uses: The example of the stories of Kīcaka, Bhīma, Draupadī/ Faunus, Heracles, Omphale (Mbh 4.13-23, 4.13 and 21 in particular and Ovid, Fasti 2.304-358).

This research has important implications concerning, i.a., the author of Mbh, his cross-cultural context, methods, date, and the validity of the received text.

120 17th World Sanskrit Conference, 2018 Purāṇas

5. पुराणा नि - Purāṇas

Unmasking Viṣṇu: Advaita and Viśiṣṭādvaita Commentaries on the Viṣṇu Purāṇa Sucharita Adluri Cleveland State University (Cleveland, OH, USA)

Between the 12th to the 15th centuries, two commentaries on the Viṣṇu Purāṇa (VP) were composed by Viṣṇucitta (~12th CE) and Śrīdhara (13th-15th CE). Known as the Viṣṇucittīya and Ātmaprakāśa, they are interpretations of the purāṇa from the perspectives of Viśiṣṭādvaita and Advaita Vedānta, respectively. The goal of this paper is two-fold. First, by reading the VP through the lens of these two commentaries, it discerns the purāṇa’s role as a text of persuasion for larger theological contexts, such as Vedānta. Read side-by-side, we see that Śrīdhara in his exegesis of the purāṇa is cognizant of Viṣṇucitta’s interpretation. Second, it broadens our understanding of the Viṣṇu Purāṇa as not simply a root text, but as a textual tradition comprising the commentaries on it as well. To illustrate these issues, this paper evaluates sections of Viṣṇu Purāṇa I.2 on the concept of Viṣṇu and the exegetes’ attempts at decoding the nature of the deity. Overall, a consideration of Viṣṇu Purāṇa’s literary history as embodied in these commentaries broadens our view of the genre of purāṇa as a network of textual connections propagated within interpretive communities responsible for purāṇic transmission.

121 Purāṇas 17th World Sanskrit Conference, 2018

Kṛṣṇa’s Son Pradyumna as Kāmadeva and Māyin in the Viṣṇu, Bhāgavata and Brahmavaivarta Purāṇas Christopher Austin Dalhousie University (Halifax, NS, Canada)

Kṛṣṇa’s son Pradyumna appears first as a significant figure in the Harivaṃśa (cir. 3rd century CE), and remains over the following centuries an important character in the Sanskrit renderings of Kṛṣṇa’s life as well as in many Brahminical and Jain kāvyas. His signature narrative involves his abduction as a young child, sexual maturation, acquisition of magical power from his foster mother and wife Māyāvatī, the slaying of the demon Śambara, and the boy’s revelation of his identity as Kāmadeva reborn after his incineration by Śiva (and that of Māyāvatī as Rati reborn). This episode is included in the kṛṣṇacaritas preserved in the Viṣṇu (cir. 5th century CE), Bhāgavata (cir. 9th) and Brahmavaivarta (cir. 15th) Purāṇas. Across these sources, the role and meaning of māyā and kāma in Kṛṣṇa’s life evolve in significant ways, and it is these two concepts in particular which bear most directly on the changing mythic significance of Pradyumna. This paper digests a chapter of a forthcoming monograph on Pradyumna, and examines how the evolving bhakti contexts of the best-known Vaiṣṇava Purāṇas necessitated changes in the paurāṇikas’ understanding of the meaning and identity of Kṛṣṇa’s handsome and māyā-manipulating son.

122 17th World Sanskrit Conference, 2018 Purāṇas

Birdcalls Across Itihāsa: How Avian Exposition in the Mārkaṇḍeya Purāṇa Answer an Epic Riddle Raj Balkaran University of Toronto (Toronto, ON, Canada)

Birds narrate the Mārkaṇḍeya Purāṇa. Why is this? Narrative enframement plays a crucial role in contextualizing Sanskrit literature. Frame narratives invariably embellish the themes innate to the tales they frame, themes often cleverly encoded within. The narrative frame of the MkP betrays acute awareness of the frame of the Mahābhārata. The Purāṇa’s birds are direct descendants of the very same Śārṅgakas escaping devastation in the cataclysmic burning of the Khāṇḍava forest. This hair- raising episode serves as the monumental terminal frame of the Ādi Parvan, which, as the Book of Beginnings, itself serves as inaugural frame for the epic as a whole. This paper examines the clever manner in which the Mārkaṇḍeya Purāṇa harkens to the Śārṅgaka episode, engaging the epic through its own avian artistry. Building on the work Alf Hiltebeitel (2007) and Simon Brodbeck (2009), this paper reexamines the Śārṅgaka episode in light of the story of Śṛṅgin, arguing that the Mārkaṇḍeya Purāṇa’s avian frame answers a core riddle embedded within the great epic’s own masterful enframement, one equally embodied in the plight of the Śārṅgakas. In doing so, the Mārkaṇḍeya Purāṇa not only demonstrates a sophisticated cultural literacy pertaining to Sanskrit narrative literature, it also elegantly executes its own ideological agenda, harkening to India’s Great Epic all the while.

123 Purāṇas 17th World Sanskrit Conference, 2018

Critical Observations on the Daśagrīvarākṣasavadhacaritam, an Unpublished Rāmopākhyāna Anasuya Bhowmik The Asiatic Society (Kolkata, WB, India)

The Rāmāyaṇa of Vālmīki, which is frequently quoted in the writings of the later period, is one of the most popular epics in the world. Most of the Vaiṣṇavaite Purāṇas have incorporated either one or some portions of the Rāmakathā in their writings. The trend has also been noticed at the time of critically editing a Vaiṣṇavaite Purāṇa titled Vahnipurāṇa, where, at the end of the purāṇic portion, almost the entire Rāmakathā (without the Bālakāṇḍa and Uttarakāṇḍa) had been included. This Rāmakathā shows some interesting deviations from that of the epic Rāmāyaṇa.This story starts through the dialogue between King Ambarīṣa and the sage Vasiṣṭha on the incarnation of Lord Viṣṇu as Rāma, and the Goddess Lakṣṃī as Sītā in this earth. Vasiṣṭha mentions two specific curses which caused their incarnations, which seems to be a new interpretation, and not found in the Vālmikī Rāmāyaṇa.

Probably this Rāmakathā has been influenced by the Southern recension. In the beginning, the author started with Rāvaṇa’s geneology and tried to glorify Rāvaṇa, choosing the title as Daśagrīvarākṣasacaritam or Vadhacaritam. It is debatable whether this Rāmakathā is a part of Vahnipurāṇa or was incorporated later on. Here Rāma is more human than a god and his first entry was noticed in the sixth chapter titled Viśvāmitrayajñasamāpti. Discrepancy on the record of the ages of Rāma and Sītā was also noticed. Though the writer used lot of verses with little variation from that of Vālmīki’s Rāmāyaṇa, yet he tried to use those in different poetical metre in his writing. These and some other observations will be elaborated in the main article with quotations as far as possible.

124 17th World Sanskrit Conference, 2018 Purāṇas

Analysis of a Group of Sthala-Māhātmyas Appended to the Sahyādrikhaṇḍa, an Early Modern Regional Sanskrit Text From Western Deccan Nicolas Dejenne Université Sorbonne Nouvelle - Paris 3 (Paris, France)

In the wake of my presentations in the Purāṇa section of the 16th WSC (Bangkok, 2015) and at the 8th Dubrovnik International Conference on the Sanskrit Epics and Purāṇas (2017), I will pursue the analysis of the Sahyādrikhaṇḍa of the Skandapurāṇa at it was edited by the Goanese polymath J. Gerson da Cunha in Bombay in 1877. The last section in this edition consists in five sthala-māhātmyas of places situated in Western Deccan, ie the Candracūḍa- or Candreśvara-māhātmya (8 adhyāya-s), the Nāgāhvaya-māhātmya (2 adh.), the Varuṇāpura-māhātmya (2 adh.), the Kāmākṣī-māhātmya (4 adh.) and the Māṅgīśa-māhātmya (12 adh.). After a tentative identification of those places and an overview of the contents of those māhātmyas that da Cunha has gathered in a group of appendices to the Sahyādrikhaṇḍa proper (“have been added almost all the Mahatmyas or legends in connection with the foundation of temples along the Sahyadri range, hitherto unedited, and which are considered to be its supplements”), we will study in which way those māhātmya-s bear testimony to the continuous relevance in the early modern period of such purāṇic kind of texts to establish and assess the relative importance of sacred places and social groups at the level of a regional context.

125 Purāṇas 17th World Sanskrit Conference, 2018

Mapping the Sacred Vitastā: A Study Based on the Sthalapurāṇas Sushma Jatoo IGNCA (Delhi, India)

The antiquity of the river Vitastā of Kashmir is well established as it is mentioned in the oldest available literature of mankind i. e., the Rgveda (X.75.5). Presently known as river Jhelum, the lifeline of Kashmir valley, the river Vitastā originates from the Verinag spring, and gushes down the foothills of Pir Panjal and meanders across the valley of Kashmir, and is constantly fed by the rivers and rivulets before merging with the river Chenab. The river continues to surge till date not only through the traditional textuality of Kashmir, but also, in the deep psyche and collective memory of generations of Kashmiri people, who owe their very existence to this sacred river. The river Vitastā assumes importance in the Sthalapurāṇas and Māhātmyas, such as the Ādipurāṇa, Nīlamata Purāṇa, Bhṛṅgīśa Saṃhitā, etc. These sources venerate the river as the embodiment of Umā/Pārvatī. Also, this river finds mention in all the historical Sanskrit sources of Kashmir, i. e., the Rājataraṅginīs of Kalhaṇa, Jonarāja, Śrīvara, etc. Hence, while the aforesaid Sanskrit sources describe the origin, mapping, sanctity and significance of the river and its associated tīrthas; the Kashmiri Sanskrit chronicles provide the historical perspective to the river.

The present study is an attempt to establish the relevance of aforementioned Sanskrit sources with regards to the mapping, understanding and sanctity of the river Vitastā and its tīrthas. Alongside, the continuity of traditional celebrations of anusthānas and utsavas, mentioned in the above sources, regarding the veneration of this sacred river on specific tithis will be also be mentioned, and a few select and relevant visuals will be presented.

126 17th World Sanskrit Conference, 2018 Purāṇas

Investigating the Mārtaṇḍa Māhātmya of Bhṛṅgīśa Samhitā Sudhir Kumar Lall IGNCA (Delhi, India)

The Bhṛṅgīśa Samhitā is a sthalapurāṇa of Kashmir and is highly venerated as a traditional source for describing the tīrthas of Kashmir in the form of Māhātmya. The Mārtaṇḍa Māhātmya of the Bhṛṅgīśa Samhitā is a dialogue between Bhairavī and Bhairava, where Bhairavī enquires about the origin and the significance of the Mārtaṇḍa and Bhairava answers her queries by narrating the associated stories regarding its legendary origin, significance and observances like bathing, worship, charity, meditation, oblations to manes, etc. which are performed at this sacred destination. The present paper is an attempt to study the origin and significance of Mārtaṇḍa, the thirteenth offspring of Aditi, as compiled in the Saṃhitā. Mārtaṇḍa occupies a prominent place in the history and culture of Kashmir as we find a gigantic rock temple erected in honour of this deity in Anantanag district, though the present complex is in ruins. Nearby is situated the Bhavan, where still a large number of Kashmiri people visit and offer oblations to their manes, much in accordance with the prescriptions and descriptions given in the said text. The study puts in perspective the importance of this genre of Sanskrit literature and shows the relevance of further and serious explorations in this field. An account of the Mārtaṇḍa temple and nearby sacred bodies will be presented with the help of some visuals, highlighting the textual basis of the above-mentioned Māhātmya, and culling out references from other relevant textual and historical sources of Kashmir. The complex has caught the attention of many a traveller, and a brief reference to these will be recounted. In conclusion, it will be demonstrated that it is worthwhile to study the traditional sources in a proper framework to understand a particular sacred geography in its cultural context.

127 Purāṇas 17th World Sanskrit Conference, 2018

Satī and Saṃdhyā: Two Sides, One Coin? Ludovica Loria Leiden University (Leiden, Netherlands)

This paper will focus on the connections between the well-known Purāṇic myths of the goddess Satī and the one of Brahmā’s creation, which also involves the goddess Saṃdhyā. The former myth is thought to be connected to the satī ritual, both because the goddess and the practice bear the same name, and because both Satī and the satīs immolate themselves in the fire. In fact, however, in her act of self-immolation, Satī is not at all behaving as a faithful wife (satī), leaving her husband Śiva in pain and despair for her death. The latter myth, i.e. Saṃdhyā’s, also involves the goddess’ self-sacrifice, this time the reason being her sense of guilt due to having seduced all the gods created by Brahmā. The reason for Saṃdhyā’s self-immolation appears similar to that of the widows’ who, according to the brahmanical ideology, are both responsible for their husbands deaths, and dangerous in the sense that they are not controlled by any male figure anymore, as it should always be throughout their life according to the Manusmṛti (9.2–3). Could the myth of Saṃdhyā be related to the satī ritual, and thus, to the Satī myth as well? If so, not only could the two myths be considered as two versions of the same story, but also the brahmanical ideology supporting the self-immolation of widows would find its justification in the myth of Satī/Saṃdhyā and/or vice versa. The topic will be investigated with reference to textual evidence and through the analysis of some passages from the Purāṇas including both the myths.

128 17th World Sanskrit Conference, 2018 Purāṇas

Union With Śiva, Viṣṇu’s Ultimate Goal? Sanne Mersch Leiden University (Leiden, Netherlands)

Three of Viṣṇu’s manifestation myths (Narasiṃha, Varāha and Vāmana) have been incorporated into the Skandapurāṇa, a Śaiva Purāṇa from the sixth/ seventh century. The myths have been changed in various respects and in this paper, I will discuss one of these innovations: the story does not end, when Viṣṇu’s manifestation has completed his task. In the case of the Narasiṃha myth (SP 71.48—end) and the Varāha myth (SP 109—110), Viṣṇu does not give up his adopted form himself, and in the case of the Vāmana myth (SP 117.21—121.22), Viṣṇu becomes a dwarf again, unwillingly, because of the sin of pride. I will argue that these newly invented “afterlives” of Viṣṇu’s manifestations is part of the Skandapurāṇa authors’ objective to incorporate Viṣṇu into their Śaiva framework. One of the methods they used in order to reach this goal was to “Śaivize” the myths. To show this, I will focus on the boon that Viṣṇu receives from Śiva, when he finally leaves his manifestation form and returns to his own divine body. In the Narasiṃha myth, Viṣṇu gets the boon of being the slayer of Daityas, in the Varāha myth, he receives the Pāśupata teaching, which is the highest doctrine according to the Skandapurāṇa, and in the Vāmana myth, he receives again a Pāśupata vow, after which Viṣṇu reaches union with Śiva and becomes Viṣṇuśaṃkara. This is the climax of an increase in boons and that of the “Śaivization” of Viṣṇu and his manifestation myths.

129 Purāṇas 17th World Sanskrit Conference, 2018

Structural Analysis of the Gaṇeśa Sahasranāma as Found in the Gaṇeśa Purāṇa, with the Khadyota Commentary by Bhāskararāya Les Morgan Independent Scholar, San Francisco, CA (USA)

Close examination of the structure of the Gaṇeśa Sahasranāma as found in the Gaṇeśa Purāṇa shows clear parallels to other sources for the worship of Mahāgaṇapati, including the Nityosava, a text written in 1745 CE by Jagannātha Bālakṛṣṇa Śrutapeṭava (Umānandanātha). The paper will explain the organization of the Gaṇeśa Sahasranāma, with particular focus on passages that suggest a specific pūjā was being suggested by the selection and arrangement of names. As a class of Sanskrit text, the Sahasranāma literature provides an encyclopedic view of how particular deities were understood. Details of the names and epithets included are useful for estimating dates of composition and placement of a text within a larger tradition. My approach to the topic is based on current work translating Bhāskararāya’s Khadyota commentary, which has not been translated into English previously. Bhāskararāya’s Khadyota commentary is of particular value to help put the Gaṇeśa Sahasranāma into perspective as reflecting an established ritual tradition. My research includes a detailed review of the Khadyota commentary with Dr. Ram Karan Sharma, former President of the International Association of Sanskrit Studies. My conclusion is that the ritual aspects of the Nityosava’s Mahāgaṇapati pūjā closely parallel portions of the Gaṇeśa Sahasranāma, suggesting that both texts were mindful of a shared tradition.

130 17th World Sanskrit Conference, 2018 Purāṇas

The ‘Sthala’ Analysis of the Karavīra-Māhātmya Madhavi Raghav Narsalay University of Mumbai (Mumbai, MH, India)

Śrī Karavīra Māhātmya, a sthala māhātyma pertaining to the formation of the sacred geography in and around the temple of the Goddess Mahālakṣmī, alias Ambābāī, of Kolhapur (district in the state of Maharashtra), is a self-proclaimed section of the Padma Mahāpurāṇa comprising 73 chapters. It is to be noted that Kolhapur and its surroundings are also known as Karavīra. The text of this māhātmya has been edited by Prof. G. V. Tagare using seven manuscripts located in Kolhapur and Pune. However, existing works (Dhere 2009, Khole 2003, Sabnis 1928) studying the origins of the Goddess Mahālakṣmī and of Kolhapur as a city provide subordinate treatment to the Karavīra Māhātmya. As a result, the processes of acculturation and appropriation (the ‘sthala’ dimensions) of this māhātyma remain under-explored. Exploring them systematically can help develop new scholarly insights around the evolution of the Temple of the Goddess Mahālakṣmī, regarded as one among the three and a half Śaktipīṭhas located in Maharashtra. Drawing insight from interviews with relevant stakeholders in the Kolhapur region, site visits, and a detailed textual analysis, this research will provide a comprehensive sthala analysis of this sacred text.

131 Purāṇas 17th World Sanskrit Conference, 2018

Tying of Maṇi and Viṣṇudharmottara Purāṇa (Ch. 2.109) Mrunal Suhas Patki Deccan College PGRI (Pune, MH, India)

The Viṣṇudharmottara Purāṇa is one of the eighteen major purāṇas and is considered to be ancient by the scholars. The text is divided into three kāṇḍas. It touches various topics. Medicine is one of them. The 109th chapter in the second kāṇḍa of this Purāṇa discusses the usage of maṇis in the Atharva Veda. The chapter is a dialogue between sage Puṣkara and Rāma. Rāma requests the sage to teach him the vidhi of those maṇis that are tied to accomplish desires and to get protection from mishaps. The chapter plays a vital role in the study of the concept of maṇi, which is specifically found only in the tradition of the Atharva Veda.

Chapter 2.109 discusses some maṇis depicted in the Atharva Veda. It also includes maṇis apart from the available Ātharvaṇic Saṃhitās. The aim of this paper is to analyze this chapter on the basis of the following categories: (1) Ritual for maṇis, (2) Names and shapes of maṇis, and (3) Purpose and place of tying for maṇis. This paper will help in understanding the concept of maṇi as perceived in the text that is outside the Atharvavedic tradition.

132 17th World Sanskrit Conference, 2018 Purāṇas

Deceptive Gods and Virtuous Demons of the Devīpurāṇa: Morality at the Fringe James F. Pierce University of Pennsylvania (Philadelphia, PA, USA)

While the incorporation of the indigenous feminine divine stands as the most notable expression of the Devīpurāṇa’s extra-Brahmanical spirit, the portrayal of other mythic beings supports the valuation of the nonorthodox as well. Throughout epic and Puranic literature, the gods engage in ongoing struggles against a host of semi-divine or supernatural creatures that in English translation are often grouped under the rubric of “demon,” or the slightly more accurate “daemon.” Despite variations in their pedigree and inherent features, these daemonic beings – whether they be Rākṣasas, Asuras, Daityas, Dānavas, Bhūtas, Piśācas, Vetālas, or others – are generally considered morally suspect and essentially malevolent. As the Devīpurāṇa depicts, however, such is not necessarily the case. Though Puranic daemons may threaten the established hierarchies of cosmic authority and power as structured by Brahmanic norms, the narratives of the Devīpurāṇa demonstrate that daemons are capable of fundamental goodness, while the gods engage in nefarious activity in order to maintain their elevated supernal status. So what then marks the fundamental difference between gods and daemons if not virtue? And why would the Devīpurāṇa present the Asuras as venerable while simultaneously emphasizing the necessity of their defeat at the hands of ignoble gods? The analyses of this paper suggest that just as the Devīpurāṇa assimilates the feminine divine from the fringe yet renders it subject to masculine control, so it recognizes the validity of other non-orthodox divine entities but underscores the necessity of ultimate Brahmanic authority. Narratives depicting the compliance of upright Asura kings and valiant elephant-headed Vināyakas convey the message that the Brahmanical establishment deems the peripheral worthy of inclusion, but requires adaptation for entrance into the orthodox center. Though the Devīpurāṇa respects the integrity of the cultural Other, it continues to demand submission to Brahmanical hegemony.

133 Purāṇas 17th World Sanskrit Conference, 2018

Talking to Tradition: A Study of Śukasaptati and the Purāṇas Anusha S. Rao University of Calgary (Calgary, AB, Canada)

Generic distinctions have always been a rich source of debate in Sanskrit literature, particularly with genres like the purāṇas, kathās, and ākhyāyikās. The kathā is not easy to classify, due to its associations with the religious texts of the Jains and Buddhists on the one hand and regional folk narratives on another. The relation between the kathā genre and the purāṇas is a challenging one to study because of the fluid intertextuality of these works. In fact, Ludo Rocher argues that there was no essential difference between the itihāsa-purāṇa, ākhyāyikā and kathā (The Purāṇas, 81).

The Śukasaptati, a kathā work written before the 12 C. CE, is known for its depictions of clever adulterous women who escape from seemingly impossible situations with wit and alacrity. My paper will show how this work draws its stories from sources such as the Mahābhārata but uses intertextuality to problematize the didactic tradition that informs its content. This creative manipulation of and response to tradition, I will argue, can be regarded as a core feature of both the kathā and purāṇa genres, justifying Rocher’s statement that other distinctions between the two could well be superfluous.

134 17th World Sanskrit Conference, 2018 Purāṇas

Quests and Questioning in the Mārkāṇḍeya Purāṇa: Intertextual Frames and the Boundaries of Genre Elizabeth Rohlman University of Calgary (Calgary, AB, Canada)

It has been said that every purāṇa must “get right with the Mahābhārata.” If this is true, no purāṇa reconciles its relationship with the great epic more directly and thoroughly than the Mārkāṇḍeya Purāṇa, framed as it is in a quest to resolve four questions regarding the a-dharmic themes of the epic. Taking its discourse with the Epic as a starting point, this paper examines the Mārkāṇḍeya Purāṇa as an ideal exemplar of the puranic genre through an exploration of its use of intertextual reflexivity, or intertextuality, as a compositional process. In particular, the paper looks at the four dharmic questions posed by to, first, the Sage Mārkāṇḍeya and, later, the four birds as signifiers of the narrative and dharmic design of the text. The framing questions of the Mārkāṇḍeya Purāṇa establish both a boundary and a lineage in relationship to the Epic. These questions serve not only as a structure for the frame narrative, but as an announcement of the texts intention to revisit, reframe, and reinvent the dharmic teachings of the Mahābhārata. Thus, if the Mahābhārata is the story of the education of the Dharma King (Hiltebeitel 2001), then the Mārkāṇḍeya Purāṇa might be envisioned as a re- education, not of an individual king, but of its readers and listeners at large. The paper will use this frame of intertextual engagement and reeducation to argue two points: first, that the use of intertextuality as a form of compositional process is the defining characteristic of the puranic genre; an second, that its use of intertextual framing in relation to the Mahābhārata makes the Mārkāṇḍeya Purāṇa the model exemplar of this feature of puranic composition.

135 Purāṇas 17th World Sanskrit Conference, 2018

The Yoga of the Śiva Purāṇa Stuart Ray Sarbacker Oregon State University (Corvallis, OR, USA)

The Purāṇa literature represents a crystallization of various strata of Hindu thought and practice, including vaidika, smārta/paurāṇika, and tāntrika traditions. The unity and diversity of Hindu thought in the Purāṇas make this literature an ideal context to study the development and transmission of living Hindu traditions. This paper examines the practice of yoga in the Śiva Purāṇa, demonstrating how the Purāṇas represent a locus classicus for the representation of Hindu yoga in narrative and didactic form. With respect to narrative, the paper will examine the notion of Śiva as Yogeśvara and Yogācārya, demonstrating how the deity embodies the practice of yoga in his iconographic representation as lord of yoga, master (guru) of the Pāśupatas, and the progenitors of the Sāṃkhya philosophical system (, Āsuri, and Pañcaśikha). With respect to the didactic aspect of yoga, the paper will examine the frameworks of yoga presented in the Śiva Purāṇa, including a five-type categorization (mantra-, sparśa-, bhāva-, abhāva-, and mahāyoga) as well as aṣṭāṅgayoga and ṣaḍaṅgayoga systems. It will be argued that the representation of aṣṭāṅgayoga, which figures prominently in the Śiva Purāṇa, can be linked directly to the Pātañjala Yoga system on account of its referencing of both Yogānuśāsana and Yogaśāstra literature. This paper will also demonstrate how does the text frame yoga practice within sectarian, gender, and caste identity and elaborate on the dynamics of the student-teacher (guru-śiṣya) relationship, including discussions of initiation (dīkṣā) and the evocation of ecstatic states of the disciple through śaktipāta. Lastly, I will discuss accounts of accomplishments (siddhi) and impediments, or omens (upasarga), in the Śiva Purāṇa, which provides perspective on Śaiva conceptions of transfiguration through the practice of yoga.

136 17th World Sanskrit Conference, 2018 Purāṇas

Metrical and Formulaic Patterns in the Purāṇas: A Computational Approach Sven Sellmer Adam Mickiewicz University in Poznań (Poznań, Poland)

The paper is based on a comprehensive database of metrical and formulaic patterns in the anuṣṭubh verses of 13 Mahāpurāṇas. This database, which will soon be made available via the Internet, was constructed and analyzed in a similar way as the one concerning the Mahābhārata described and used in: S. Sellmer, Formulaic language in the Mahābhārata, Poznań 2015. After a general overview, patterns specific to singular Purāṇas will be pointed out, cases of internal variation inside of one text will be discussed, and differences between the formulaic language of the Mahābhārata and the Purāṇas will be highlighted. It is hoped that analyses of this kind, which will be readily conductible by all interested scholars on the basis of the data prepared by the author, will not only enhance our understanding of purāṇic language and versification, but can also be fruitfully combined with more traditional philological techniques.

137 Purāṇas 17th World Sanskrit Conference, 2018

Reading Purāṇas as Literature: Does the Viṣṇu Purāṇa Have a Plot? McComas Taylor Australian National University (Canberra, Australia)

For centuries scholars have read purāṇic texts for insights into philosophy, theology, mythology and to a lesser extent, history and geography. In his recent writings, Greg Bailey has suggested ways in which we might read purāṇas as literature. The application of literary theory to purāṇic texts may reveal the inner workings of the texts and reveal how they do things that their audiences expect them to do. Hayden White has also taught us to read history as literature. By reading 19th-century historiographies through the lens of literary criticism, White claims to have revealed the authors’ historical consciousness, and was able to make statements about how historians create and activate ideas about the past. One of the ways he approached this was to look at “emplotment”, that is, the ways in which historians arranged historical events into a coherent narrative. In so far as purāṇas purport to be “ancient narratives”, or narratives of “ancient times”, is it possible to discern emplotment in these texts? This paper starts by exploring emplotment in the Viṣṇu Purāṇa as a typical exemplar of the genre. I begin by asking whether indeed the Viṣṇu Purāṇa has a plot. The answer is more complex and surprising that one might expect.

138 17th World Sanskrit Conference, 2018 Purāṇas

The Appeal of the Asura - Strategies of Affect in a Regional Purāṇa Noor van Brussel Ghent University (Ghent, Belgium)

Throughout Hindu imagery, we are confronted with asuras dying at the hands of merciless goddesses. From the pan-Indian to the local level, this battle of the eternal adversaries endures, albeit in different forms and locations. This is also the case in Kerala, where a narrative tradition developed around Bhadrakāḷi and her victory over asura king Dārika. Alongside many other texts, rituals, and performances, this tradition gave rise to a regional purāṇic text known as Bhadrakālīmāhātmya. Taking a closer look at the way the character of the asura functions in this Sanskrit text, has led me to conclude that his character is endowed with an underlying strategy of affect. The way the asura’s narrative is construed, constructed and led through an array of emotional states, is tempting the audience to empathize. David Shulman briefly explored similar strategies of affect in his major work Tamil Temple Myths. Here he links the identification of a purāṇic audience with what he calls the category of the ‘demon devotee’ to motives of bhakti, and argues that empathy brings the human devotee closer toward liberation. In this paper, I would like to dig deeper into this kind of strategic empathy and connect it to processes of authority creation, legitimization, and theories of crossing and dwelling. The character of the asura will thereby be presented as the nexus of a complex narrative conversation that contributes to the creation of a dynamic regional goddess in this regional purāṇa.

139 Tantra Studies 17th World Sanskrit Conference, 2018

6. तंत विम रशः - Tantra Studies

Stunning Bonds (Pāśastobha) of the Tantrasadbhāva Jung-Lan Bang University of Hamburg (Hamburg, Germany)

In Saiddhāntika, pāśastobha is mentioned as one of the eight pratyayas (signs of accomplishment) achieved by mantras and udghātas. Later, it is associated with visual evidence of a successful initiation, i.e. an initiand’s falling on the ground. The Tantrasadbhāva, one of the Śaiva Trika works, elaborates a doctrinal argument about the pāśastobha in the ninth chapter on general initiation (samayadīkṣā). Its authority of teaching on the pāśastobha was attested by Kashimirian authors, e.g. Abhinavagupta mentions the Tantrasadbhāva as the source of secret mantras for stunning bonds (paśastobha) in his Tantrāloka 29. He introduces these mantras by formulating encrypted verses instead of directly quoting lines of the Tantrasadbhāva. However, some discrepancies are found between mantras given in the Tantrasadbhāva and ones Jayaratha decoded from the Tantrāloka in his commentary. This paper will examine the doctrine of pāśastobha found in the Tantrasadbhāva and its related textual problems which may furnish evidence of the transmission of the Tantrasadbhāva.

140 17th World Sanskrit Conference, 2018 Tantra Studies

Śākta and Pratyabhijñā Sources in Vīraśaiva Vedānta Jonathan Duquette University of Oxford (Oxford, England)

In the wake of Appaya Dīkṣita’s Śivādvaita work in the 16th century, Vīraśaivas elaborated their own brand of non-dualist Vedānta. Both formulations of Vedānta grant an important ontological role to Śiva’s śakti but espouse different non-dualist hermeneutics. While Appaya overtly aligned his position with Śaṅkara’s pure non-dualism, Vīraśaivas plainly rejected māyāvāda and the implications of an avidyā-based metaphysics. In this talk, I discuss how two early modern Vīraśaiva scholars — Svaprabhānanda Śivācārya and Maritoṇṭadārya Śivayogi — distinctively rely on Śākta-oriented sources and Pratyabhijñā terminology to defend their own realist take on Vedānta.

141 Tantra Studies 17th World Sanskrit Conference, 2018

Observations on Meter in Early Śaiva Shaman Hatley University of Massachusetts (Boston, MA, USA)

This paper concerns the principles governing śloka verse in the early Śaiva tantra corpus, with a focus on the highly non-classical idiom of the Brahmayāmala and related literature. Topics include the role of meter in the occurrence of non-standard (“Aiśa”) forms, the parameters for hypermetry, metrical variants (vipulā), the metrical treatment of anusvāra, sandhi consonants, “spurious” visargas, stem forms, and issues of orthographic variation and corruption. While the influence of Middle-Indic phonology and grammar on the language of Śaiva tantras is well known, it will be argued that Middle-Indic metrical principles are also influential, and in fact account for many seemingly unmetrical verses.

142 17th World Sanskrit Conference, 2018 Tantra Studies

Initiatory Ideals in Tantric Buddhism: Abhayākaragupta on the Initiation of the Superior Student by the Superior Master Harunaga Isaacson University of Hamburg (Hamburg, Germany)

This paper will investigate aspects of how, according to the works of Indian tantric Buddhist teachers, the central tantric ritual of initiation varies in the details and even fundamentally in the manner of its performance depending on the level of the spiritual faculties of the initiating master (ācārya) and the initiand.

In particular, the teachings of Abhayākaragupta, one of the most celebrated and influential (also for Tibetan Buddhism) masters of the 12th century CE, concerning the “ideal” case of the initiation of a student of the highest spiritual faculties by a master of the highest spiritual faculties, will be presented and elucidated. Abhayākaragupta does not make his view on this case explicit in his famous manual of maṇḍala ritual, the Vajrāvalī. However he discusses it explicitly, and in details, in the sixth chapter of the Abhayapaddhati, his commentary on the Buddhakapālatantra. The published edition of this text (Chog Dorjee, Sarnath, 2009) is unsatisfactory on many points; Abhayākaragupta’s remarkable and detailed account of the ritual, which takes place almost entirely meditatively, will be discussed on the basis of a new edition (by the speaker) of this chapter, excerpts of which will be given in a handout. Drawing also on material from Abhayākaragupta’s encyclopedic commentary on the Sampuṭatantra, the Āmnāyamañjarī (of which a bilingual Sanskrit-Tibetan manuscript has recently become available in facsimile), an overall picture of this author’s views will be presented. I shall also demonstrate the direct influence of Abhayākaragupta’s teachings on a younger contemporary of his, Ratnarakṣita, as visible in that author’s commentary Padminī on the Saṃvarodayatantra.

143 Tantra Studies 17th World Sanskrit Conference, 2018

The Tradition of Sun Worship in Kashmir Advaitavadini Kaul IGNCA (Delhi, India)

The identification of the sun with the supreme consciousness has been a phenomenon throughout the Indian tradition. This identification can be seen concretised in the Ādityahṛdayam, a stotra from Valmīki’s Rāmāyaṇa. Meditation on the sun in the heart and reciting this stotra is believed to enable one to conquer all types of enemies, external as well as internal. It is widely revered as one of the powerful stotras, and this is true in Kashmir as well. The architectural marvel—the sun temple in Kashmir dating back to the 8th century, CE—provides further evidence of sun worship in Kashmir. Mārtaṇḍa, meaning “one who infuses life into the egg of the universe,” is one of the renowned sun temples of ancient India created at a significantly chosen location. Another important reference is the Sāmbapañcāśikā, a mystical hymn that has remained a prominent stotra among Kashmiri Śaivas to present day. Though of an unknown date, it presents both Vedic and Tantric symbolism in praise of the sun as an existing entity that illuminates the universe and as the supreme consciousness hidden in the inner heart of each individual. The only available commentary by Kṣemarāja has interpreted it from the Trika Śaiva perspective.

The Nīlamata Purāṇa prescribes the worship of the sun. There have been specific dates for the worship of the sun. At the time of one specific date there used to be the practice of drawing a sūrya maṇḍala by the house ladies. There are many other practices which can be traced as related with sun worship. The presentation aims at reconnecting the lost links between theory and practice.

144 17th World Sanskrit Conference, 2018 Tantra Studies

Goddess as “Reflection” in Abhinavagupta Mrinal Kaul Manipal Centre for Philosophy and Humanities (Manipal, KA, India)

Abhinavagupta’s presentation of the Trika is deeply imprinted with elements of the Krama and it is highly probable, as suggested by Sanderson (2007), that all of his Krama work should be seen as work from within the territory of the Trika. The Kālī worship propounded by the Krama tradition gradually transformed from an idea of performing physical ritual into the process of internal realization of that ritual. Emphasis was given to the visualization of Tantric goddesses within one’s own mind, understood as the powers of one’s own cognition. In the Tantrāloka (TĀ) the chosen deity to be meditated upon is represented by the goddess Kālasaṃkarṣaṇī. It is the same goddess Kālasaṃkarṣaṇī in her highest state who is further equated by Abhinavagupta with Trika’s syllabary- goddess Mālinī. This Kālasaṃkarṣaṇī is the causal agent of five acts (pañcakṛtya) because of which this universe becomes manifest. One of the definitions of Kālasaṃkarṣaṇī is as she who “maintains her relation with the manifest as image and counter-image (bimba-pratibimba)” (Timalsina 2015). She is also defined as the one who manifests her internal reality into the external while maintaining complete identity of the external with herself. At the same time, in her externally manifested form, she is able to maintain the distinction of one form from the other as, for instance, in the case of a reflected image in a mirror, where one can see images in the same shape, size etc. exactly as they are in their original form. In her reflected form she is also characterized as the one who manifests simultaneously in her true form as pure consciousness, along with the object that is being reflected in her, thus making the former manifest along with herself. This paper will focus on the nuances of the representation of “reflection” (pratibimba) as Trika-Kālīkula-Krama goddess/es in the works of Abhinavagupta.

145 Tantra Studies 17th World Sanskrit Conference, 2018

On the Transmission of the Pratyabhijñā to South India Yohei Kawajiri Chikushi Jogakuen University (Fukuoka, Japan)

As is well known, some Kashmiri manuscripts have a number of fragmentary notes in the margin. Among these notes, Prof. Ratié and I have discovered new fragments of Utpaladeva’s Īśvarapratyabhijñāvivṛti (henceforth Vivṛti) which seemed to have been lost. An examination of these newly discovered fragments show that the Vivṛti was certainly transmitted in Kashmir even after the 13th or 14th century, and suggest that the Vivṛti had already been fragmentary when marginal notes were made. However, it is not clear whether or not the Vivṛti, as well as these marginal notes, were transmitted to South India, and what the status of Utpaladeva and Abhinavagupta is in South India.

In order to clear up these questions, this paper will show how the Īśvarapratyabhijñāvimarśinīvyākhyā (henceforth Vyākhyā), which is a south Indian commentary on Abhinavagupta’s Īśvarapratyabhijñāvimarśinī (henceforth Vimarśinī), comments on the four verses Abhinavagupta interprets differently from Utpaladeva, and make clear whether South Indian scholars know of the Vivṛti or not.

The Vyākhyā does not refer to the interpretations given in the Vivṛti, and we have not found any fragments of the Vivṛti in the Vyākhyā. Besides, Maheśvarānanda (ca. 1275–1325 CE), who is also South Indian and often quotes the Pratyabhijñā works, do not mention the Vivṛti in his Mahārthamañjarīparimala. It is likely that the Vivṛti was not transmitted to South India, or, at least, it was not accessible to the author of the Vyākhyā and Maheśvarānanda. This seems one of the reasons why, in South India, Utpaladeva was underestimated as compared to Abhinavagupta.

146 17th World Sanskrit Conference, 2018 Tantra Studies

The Making of Tantric Rādhā: A Reading From the Śrīkṛṣṇayāmala Madhu Khanna Jamia Millia Islamia (Delhi, India)

Rādhā and Kṛṣṇa are among the striking figures of Hindu mythology. Rādhā’s pristine origin being difficult to trace, her “multiformity” has been accepted as one of her unique features. This paper examines the making of Tantric Rādhā based on a select reading from the Śrīkṛṣṇayāmala, composed in the style of purāṇic narrative with lucid descriptions of the love sports between Rādhā and Kṛṣṇa. Chapters 13-22 of this text show a marked influence of the Śrīvidyā school of Śākta Tantra, whose presiding deity is the goddess Tripurasundarī. The paper proposes to examine the Vaiṣṇava-Śākta- synthesis that takes place in the narrative through the appearance and intervention of Tripurasundarī. The Śrīkṛṣṇayāmala attests to the contribution of the cult of Tripurasundarī to the evolving self- identity of the Tantric Rādhā. It goes to show an attempt to integrate some elements of the Śākta Tantric worldview in the Vaiṣṇava bhakti fold through several forms of literary transmissions.

147 Tantra Studies 17th World Sanskrit Conference, 2018

अथ रववेदीया भिचारक रमणां पकृ तिसेषां सामा जिकसंद रशश Anil Kumar Jawaharlal Nehru University (Delhi, India) अथ रववेदीयानां मनाणां दि ध ा वि भ कि रि ति शा निपौ षिकारकाः, अभिचारबोधकाश। पथमपकारकेषु मनेषु पायः सामाि जकायुवे दिक सिदानानांमथ तथा च अभिचारबोधकेषु पायः अभिचारक रमाणेव वि श द ी क ृ त म । ् वाराहीतनानुसारेण सपलकणा तिका तन विदा तेषु षट्क रमानरगते अ भिचारक रमणां गणना भव ति अथ रववेदे एषा वि द ा एनजा लिकक रम-माया- कृ त ा-पपञ मिताद भिचारक रमा दिनामान रगते वि ष य मि द ं विसरेणोपन सितं ऋ षिणा। षड् विधोऽ भिचारक रम अवबुधते ते कमशः शा निक रम, वशीकरणम्, समनम्, वि द ेश न म ् , उचाटनम्, मारणञ। तनपरमरायां एता नि षट्क रमाणि पायः साधनायाः एका पद तिः वरतते। अनेसु सांखयोगा दिपसानेषु पतिपादिते समजातसमाधौ तन सिदिः भव ति। तततः पा पिः, पाकामम्, वशितं, एशितम् सवे पायः अभिचारक रमाणेव। आधु निकतनपरमरायाः षाट्क रमाणि अभिचारक रमाणेव। सि दि मि म ं पाप जनाः सा रथपतिपू रतरथमेतेषां पयोगः कु रवनि स तदैव अथ रववेदे इदं नि नि त ं करम वि ध त म ् । वेदे वि हि त ं यद भिचारक रमणां पयोगः न के वलं शतिु वघातायैव भवित अिपतु िचिकतायै , सकीयस रकायैरिप पयोगः दशते। वैिदकसमये एतेषा ं पयोगः दषु जनेभो दण विधानाय, अथ च मान सिकी चिकिताय एवासीत्। मानवजीवनस कलाणाया पि एतेषां पयोगः भव ति स। सरपदंश- वृि शकाघाता दिसमये विषोपशमनाया भिचारक रमणां पयोगोऽपुपलभते। वै दिककाले सुयोगपातमनु दिश वि द ा य ा ः पसारो भव ति स। पसुतेऽ सिन् शोधपते मुखतया वेदसमतम भिचारक रमणां सरप-भेदा दिपुरसरं सामाि जकजीवने राजनी तिकजीवने चास पभावः पद रशते। अने चाधु निकतन विदया सह तुलनाऽ पि भविषति। शोधपतेऽ सिन् अने धातवाः बि न व ः – १. भारतीयसंसृताव भिचारक रमणः सरपम् २. अथ रववेदे पतिपादिताभिचारक रम ३. अथ रववेददिशाऽ भिचारपकारा णि ४. अ भिचारक रमणः पयोकारः ५. अभिचारस फलम् ६. अभिचारक रम, आधु निकी तन विदा च ७. सामाि जकजीवनेऽ भिचारस पभावः ८. अभिचारपयोगे राजस हसकेपः ९. अभिचारपसंगे राजादेशसोदाटनम् १०. अ भिचारक रमणः सामाि जकसनभे समीका ११. आधु निकसमाजमवलमेतेषामुपादेयता

148 17th World Sanskrit Conference, 2018 Tantra Studies

Upāyas for Eternal Bliss in Traita Philosophy Gowri T. Raghavendran Ethiraj College for Women (Chennai, TN, India)

The Traita philosophy, based on non-dualistic Tantric Śaivism, is unique from the other major philosophies of Sanātana Dharma, viz., Advaita (Non-Dualism), Viśiṣṭādvaita (Qualified Non- Dualism) and Dvaita (Dualism) in two ways – (a) It expresses a path of meditation (dhārana) to mokṣa juxtaposed to the Jñāna, Bhakti & Prapatti, and Karma yoga paths ascribed to Advaita, Viśiṣṭādvaita and Dvaita respectively; (b) It is independent of the concept of “karma,” while the other 3 mentioned above have “karma” as an essential ingredient. The Traita philosophy rests on the idea that Śiva is absolute and Śaktī is an indistinguishable aspect of Śiva, through which Śiva/Bhairava can be realized. The paper here tries to bring out the essence of the means or upāyas outlined in the Traita philosophy, that are to be followed to attain mokṣa, with a special reference to the text Vijñāna-Bhairava in the Rudrayāmala tantra.

149 Tantra Studies 17th World Sanskrit Conference, 2018

Kerala Ritual Manuals and the Bali-Offering S. A. S. Sarma EFEO Pondicherry (Pondicherry, TN, India)

The offering of bali refers to the propitiatory oblation of food that is usually made to secondary deities after the worshipping of the principal deity. Though this ritual predates tantric literature, it is in the tantric literature that we find elaborate ritual formulas for the offering of bali. Similar to the temple āgamas of the Tamil-speaking South, the Kerala ritual manuals in Sanskrit also contain detailed outlines for offerings of bali, which are to be made daily as well as on other occasions, especially during the annual temple festival, for which two bali offerings are described in detail, namely propitiatory oblation of rice inside the temple complex (śrībhūtabali) during the festival days, and the grāmabali that is to be offered in the village near to the temple, in a garden, in a forest or at a crossroads on the day before the ceremonial bath. There are four more balis that are known to be performed in Kerala temples on special occasions, namely, the jayābali for Śiva,vijayabali for Viṣṇu, durgābali for Durgā and kaumārabali for Skanda. But of these four bali offerings, only the jayābali is still in use.

In this paper, I would like to discuss in detail the bali-offering and the related rituals that are discussed in the Kerala ritual manuals, and compare them with other texts, especially the South Indian temple āgama texts. Apart from this, the bali offerings that are followed in the village temples of Kerala, such as the ‘vaṭakkunvātil’ (‘northern door’) performed for the Goddess, will also be briefly discussed. Some Kerala inscriptions also mention bali offerings and these will be adduced, so as to give an idea of the historical background to this ritual as performed today.

150 17th World Sanskrit Conference, 2018 Tantra Studies

From Text to Kriyāvidhi: Relating Lalitopākhyāna with the Navāvaraṇapūjā Saranathan Sasikala University of Madras (Chennai, TN, India)

Lalitopākhyāna (LU), which occupies the last portion of the Brahmāṇḍapurāṇa, is one of the three important texts of the Śrīvidyā Upāsana (the other two being Lalitāsahasranāma - LS and Devīmāhātmya - DM). Apparently LU deals with the story of Lalitā and her conquest of the demon Bhaṇḍāsura. But the greatness of the text lies in its esoteric sense relating to the Navāvaraṇapūjā and symbolic references to the Śākta philosophy. For example, the names of the characters of the story have a religious and philosophical import as explained by Bhāskararāya in his Saubhāgyabhāskaram, a commentary on LS. For example, Bhaṇḍāsura represents the impure jīva, overpowered by the three mala-s or impurities (āṇava, māyika and kārmika). Śrī Lalitā stands for samvid, parā śakti, ahampadārthajñāna, ātmānubhūti, parāhanta, the power of self-revelation. Śrīcakrarājaratha, the chariot of Lalitā, is the entire cosmos (macrocosm), the śarīra (body) microcosm, and pure intellect (antaḥkaraṇa, devoid of all the three impurities). For the chariot symbolism, one may refer to the verse, ātmānaṃ rathinaṃ viddhi śarīraṃ rathameva ca / (Kaṭhopaniṣad, 1.3.3). Different steps of the chariot represent different stages in the worship. The gateways of Lalitā’s fortress represent the Navāvaraṇas. Reference to the navāvaraṇa pūjā is made in Lalitopākhyāna chapters 36 (śriyantraprakāropāsanādi kathanam), 37, 38 (mudrālakṣana-prakārādi kathanam) and 39 (devīdarśanadīkṣāvarṇanam)

The episode of Bhaṇḍāsura gives the message that ostentation (dambha) and egoism (ahaṃkāra) are the prime obstacles for self-realization and show that spiritual life alone does not make a person a matured and evolved human being. The episode in a subtle way explains that in order to overcome the obstacles in the path of realization of the self, one should be humble and sincere.

Many passages of LU are related to Śrīcakrapūjā. For example, we can compare santānavāṭikā (LU. 28.138) with santānavāṭikāyai namaḥ.

151 Tantra Studies 17th World Sanskrit Conference, 2018

Visualizing Protection: The Iconography of the Divine in the Netra Tantra Patricia Sauthoff SOAS University of London (London, England)

Within the iconography of practice, the Netra Tantra, allows for and even encourages the worship of its main deity, Amṛteśa, through the worship of a host of other deities. This brings about an examination of the conflict between public and private practice, especially within the tantric sphere. I introduce the form of Amṛteśa called Mṛtyujit or Mṛtyuñjaya. This allows me to establish a connection between Mṛtyujit, the mṛtyuñjaya mantra, and rituals that aim to conquer death in Vedic, Purāṇic, and Tantric literature. The iconographic narratives of Śiva allow me to analyze the forms of the deity presented in the Netra Tantra. The appearance and name of Amṛteśa develops from the formless to the manifestations of other deities, said in the Netra Tantra to be forms of Amṛteśa. The practice of worshipping Amṛteśa in the guise of other Brahminical deities allows the practitioner to use the protective mṛtyuñjaya mantra while simultaneously adhering to calendrical rites and festivals that centre on those other deities.

152 17th World Sanskrit Conference, 2018 Tantra Studies

Whatever He Speaks Becomes Mantra: The Evidence for the Śākta Transmissions Among the Kālamukhas of the Atimārga Jason Schwartz University of California, Santa Barbara (Santa Barbara, CA, USA)

Assembling a range of mostly unstudied epigraphical evidence in Sanskrit and highly Sanskritized Haḷekannaḍa, this paper for the first time provides concrete documentary evidence of the wide-scale dissemination of the cult of the Brahmayāmala, the Paścimāmnāya, and the Trika within the central Deccan. We can trace these traditions through their transmissions within discrete associational network of townships in central Karnataka, largely managed by merchant guilds, within which homage was paid to Kālamukhācāryas who describe themselves as knowers of the line of the , the line of the Goddess, the line of the definitive doctrine, and the line of the Śaiva Āgamas. As we shall see, the supposedly “secular” mercantile culture of the medieval Deccan was in actuality inextricable from the ritual and juridical life-world under the direction of Kālamukhas who operated in a social domain within which “All-India” trade conventions served as the ideal venue for inculcating regional Śūdra warlords into the worship of Bhairava while at the very same time preaching the virtues of the commissioning of icons and the construction of sacred structures. Indeed, in confirmation of what has been suggested by Alexis Sanderson, what our documentary record makes evident is the utter centrality of the Pratiṣṭhā tantras and the role of the guru as pratiṣṭhācārya in enabling these Kālamukhas to forge strong ties with the wider community. Particular emphasis will be placed on the Piṅgalāmata, which was actively used within the networks under discussion. Moreover, the Piṅgalāmata’s chapter on pratiṣṭhā offers an astonishing depiction of esoteric installations as forming a backdrop for acts of mass charity that cultivate a wider public above and beyond the domain of initiates.

153 Tantra Studies 17th World Sanskrit Conference, 2018

The Structure and Meanings of the Heruka Maṇḍala in the Buddhist Ḍākārṇava Scriptural Tradition Tsunehiko Sugiki Hiroshima University (Hiroshima, Japan)

The Buddhist tantra named Ḍākārṇava (“Ḍāka’s Ocean”) was, I consider, composed in the eastern area of the Indian subcontinent sometime between the late 10th and the early 12th centuries. The 15th chapter of the Ḍākārṇava teaches an elaborate and large-scale maṇḍala, whose chief deity is Heruka. This presentation aims at (1) presenting a part of a new critical edition and an English translation of the Sanskrit Ḍākārṇava 15, (2) examining its relation to other Sanskrit works, and (3) clarifying the structure and meanings of the Heruka maṇḍala and how to understand them.

154 17th World Sanskrit Conference, 2018 Tantra Studies

New Sources for the Saraha Corpus Péter Dániel Szántó University of Oxford (Oxford, England)

Saraha is arguably the most famous tantric Buddhist siddha and his writings in Apabhraṃśa, the famous dohās, have received quite a lot of attention. In this talk I would wish to present two completely unstudied new manuscripts of his dohā collection. I will speak a little bit about their transmission, the quality of their readings, and we may be able to infer from this information. I will argue that one of the recensions very likely shows a link to Western India, as it long has been assumed on linguistic grounds. I will also argue against Schaeffer’s assumptions about the editorial history of the text, which I believe to be based on a crucial misreading.

155 Tantra Studies 17th World Sanskrit Conference, 2018

How Śāktism Began Judit Törzsök Université Charles-de-Gaulle Lille III (Lille, France)

This paper does not propose to solve the problem of how Śākta tantric currents evolved. It provides, however, some textual passages concerning pantheon, ritual, and doctrine that may throw light on the possible ways in which these currents used previously existing scriptures and practices. The main Śākta scriptures examined come from about the seventh century, CE: the Brahmayāmala and the Siddhayogeśvarīmata, neither of which has been fully edited as yet.

The paper highlights (without being exhaustive) some of the sources that may have contributed to the formation of Śākta tantrism. These include Vedic ritual and mantra elements adopted through the Atimārga or otherwise, whose influence can be seen in the tantric fire rituals and in the use of certain mantras. Among the mantra adaptations one notable example is that of the Aghora mantra, which provides the basis for the pantheon layout of the Trika. Another important question is the way in which non-esoteric (laukika) mother goddess (mātṛ) cults may have contributed to the formation of Śākta tantric currents. Since much has been said about this topic (e.g. Hatley 2007 and 2012), the present paper concentrates on the question of the circle (maṇḍala) of mothers mentioned by Varāhamihira and the way in which they may appear in Śākta tantric contexts. Finally, the existence and influence of ḍākinī worship is discussed, which often appears as clearly distinguished from mother goddess cults in the tantric context.

These and other elements were employed, from the very beginning, in the ritual and doctrinal framework shared with what became the Śaiva Siddhānta. This model of development may show, among other things, that Śākta tantrism was not intended to be obscure or esoteric, as it is often assumed, but rather comprehensive and syncretic in its spirit, as its later exegetes suggest.

156 17th World Sanskrit Conference, 2018 Tantra Studies

Magical Grimoire in the Vajrabhairavatantra Aleksandra Wenta University of Oxford (Oxford, England)

My presentation intends to highlight the challenges faced while preparing the first edition and translation of the Vajrabhairavatantra from an incomplete Newari palm-leaf manuscript and a paper manuscript also found in the NAK by focusing, for example, on various stylistic and grammatical oddities that can throw some light on the history of the tantra itself, as well as by reconstructing the missing parts of the Vajrabhairavatantra from the Sampuṭa-tantra (Szántó). Further, I intend to investigate a few selected magical recipes from the Vajrabhairavatantra vis-a-vis similar ritual procedures contained in the Śaiva Guhyasūtra arguing that they were both drawing on a common magical substratum that was not specifically Buddhist or Śaiva but simply followed the models that already preexisted from a very long time. Nevertheless, the Vajrabhairavatantra also contains specifically Śaiva elements and therefore, the text is a good example of textual continuity between the Śaiva and the Buddhist tantric material that will be investigated during my presentation.

157 Ritual Studies 17th World Sanskrit Conference, 2018

7. वि ध न षु ा न वि म रशः - Ritual Studies

The Ritualization of Divine Love in the Puṣṭīmārga by Śrī Vallabhācarya Chinmay Goswami Veer Narmad South Gujarat University (Surat, GJ, India)

The fifteenth-century Vaiṣṇava saint Vallabhācārya developed a unique set of rituals for worshipping Lord Kṛṣṇa. These rituals, called “sevā,” were based on references from the Vedas, the Bhagavad-Gītā, the Brahmasūtras, and the Bhāgavatapurāṇa. The introduction of sevā in Indian society greatly contributed to the academic field of Sanskrit through the production of treatises and the creation of an abundant philosophical literature, including the Sevākaumudī, the Vidvanmaṇḍana, and the Siddhāntarahasya. Hundreds of granths, treatises, and poems were written in Sanskrit by Vallabhācarya, his descendants, and followers. This total corpus of literature was created out of love for Lord Kṛṣṇa.

My paper will present the liturgy for ritual use developed by Vallabhācarya and will demonstrate how these verses were integrated with sevā. In sevā, the image of an infant Lord Kṛṣṇa is bathed, fed, and readied just as we take care of a child. The image (svarūpa) is awakened with soft music. Loud instruments such as cymbals and drums are not played. Likewise, just as we do not give chilled or hot food to a child, so too, God is treated in the same way. While dealing with any child, a person’s caste, creed or wealth are unimportant. This is also the case for the person performing sevā. By applying this logic, Vallabhācarya was able to reach a wide spectrum of the society and not just Brahmins. The daily ritual of sevā was based on pure love with nothing expected in return. As an important figure in the North Indian bhakti movement, Vallabhācarya demonstrated that rituals cannot just be mundane and repetitive but must be expressive of the divine love we have in our hearts.

158 17th World Sanskrit Conference, 2018 Ritual Studies

How Are Heads Regarded in Funeral Rites? Atsuko Izawa International College for Postgraduate Buddhist Studies (Tokyo, Japan)

The Agnicayana—the ritual of piling the fire-altar—is, so to speak, a funeral performed while in life. It had an influence on funeral rites held in ancient India. In this paper, I compare the ancient funeral rites with the Agnicayana in order to clarify how heads are regarded in these funeral rites.

People perform the Agnicayana for the purpose of being reborn in the heavenly world. In this rite, they make preparations for life in the yonder world: before piling the fire-altar with bricks, the ground for the altar is ploughed, the seeds of some plants are sown, sand is scattered, and many kinds of items including animal heads are placed there. Above all, placing animal heads beneath the altar— human, horse, cow, sheep, and goat—is, among the Śrauta rituals, peculiar to the Agnicayana. Although we can find myths about severing heads in Vedic literature—and there is actually a ritual called the Pravargya whose origin is explained by this type of myth—there is no ritual in which actual heads are employed aside from the Agnicayana. Moreover, the desire to have a head in the yonder world is expressed only concerning the Agnicayana. Funeral rites are mentioned in various kinds of texts, and the descriptions differ from each other. For example, according to Śatapatha Brāhmaṇa 12.5.2.14, the head and the mouth of the deceased should be covered with the omentum (vapā) of the anustaraṇī she-animal (cow) before cremation. The vapā is the most important part of the animal at animal sacrifices, and it is offered to Agni. These kinds of ritual procedures of the funeral rites seem to reflect the desire for a head in the yonder world.

159 Ritual Studies 17th World Sanskrit Conference, 2018

Giving the Bride and Binding the Organ of Thought: A Meaningful Sequence of Rites Anne Keßler-Persaud Heidelberg University (Heidelberg, Germany)

The kanyādāna, one of the central elements of the marriage ritual as performed in today’s Hindu traditions, is apparently not given much attention by the Gṛhyasūtras. These texts do, however, name various rites concerning the organ of thought (manas) which the Indian tradition locates in the heart, or more descriptively, in the chest cavity (hṛdaya). These rites include the “salving together” taught by the ritual texts of Atharvaveda and Ṛgveda. The sāmavedic Gṛhyasūtras add the touching of the bride’s hṛdayadeśa which is prescribed by the Yajurveda sūtras as well, mainly the Taittirīya texts. The other Yajurveda traditions focus on the praise of Sarasvatī instead. One of the rites in question is also prescribed for the upanayana: The teacher touches the pupil’s upper chest. With the paridāna this ritual further comprises a gift comparable to the kanyādāna.

On the basis of the textually prescribed succession of nuptial rites and by means of inter-ritual comparison with the upanayana it will be explored whether there is any structural uniformity or school-specific consistency regarding the position the various manas-related rites are given within the marriage ritual. This method of “ritual empiricism” allows to ask for two things: (1.) functional dependencies between the concerned rites and the ones surrounding them and (2.) historical dependencies between the Gṛhyasūtras teaching these rites. Regarding the second point, a line of development will be suggested, starting off with Kauśikasūtra. Regarding the first point, it will be shown that the rites focussing on manas secure the nuptial bond’s conclusion in semantically different ways that depend on their position within the ritual. These rites give the dharmic bond a liturgical irrevocability for which the party of the bride-takers has to put “their lives” at stake, or a so- to-say physical reality which reassures the bride-givers by anticipating the bride’s incorporation into the groom’s family.

160 17th World Sanskrit Conference, 2018 Ritual Studies

Right of Śūdras to Acquire Brahmavidyā: An Analysis Kaushal Panwar University of Delhi (Delhi, India) Mukesh Kumar (University of Delhi) Nitin Kumar (University of Delhi)

Religion is the foundation of Indian culture. India is a deeply religious country. The culture of no other country can be compared with the depth and comprehensiveness of the Vedic culture and its capacity to coopt its opponents. The concept of communion of vyasti (the individual) and samasti (the cosmic) is India’s key gift to the world and it is centuries old. The Vedas are the ancient fount of Vedic culture and they are four in number-1) Ṛgveda 2) Yajurveda 3) Sāmaveda and 4) Atharvaveda. This knowledge tradition was taken ahead in the form of the Upaniṣads, which were composed by compiling the knowledge of the Vedas. And that is why the Upaniṣads are known as the last part of the Vedas – the Vedānta. It was based on the spiritualism as elucidated in the Upaniṣads that Ācārya Bādarāyaṇa wrote the Brahmasūtra, which, today, is known as the Vedānta philosophy. Brahmavidyā is considered important among subjects of Vedānta. Who is eligible to acquire it and who is not, is the subject- matter of scriptures right from the Vedas to Vedānta. The right to acquire knowledge began with the upanayana saṃskāra. In the Vedic age, the acquisition of knowledge began after the upanayana saṃskāra, which was imparted as per the norms of the varṇa system. From the Vedas, as we move towards the Upaniṣads, we find no norm regarding the need for any saṃskāra for acquiring brahmavidyā. That is why, before dwelling on the right to acquire brahmavidyā, as enunciated in the Vedas and , it is necessary to refer to the right of undergoing the upanayana saṃskāra. According to varna norms, only the dvijas were entitled to upanayana saṃskāra. Some references show that in the ancient Saṃhitā age, Śūdras also underwent upanayana saṃskāra and they also had the right to read the Vedas. The Vedas say that all men have the right to read them. But whether there is similar saṃskāra for Śūdras is the subject matter of this paper.

161 Ritual Studies 17th World Sanskrit Conference, 2018

Practice of Saṃskāras in Assam, a North-Eastern Part of India: A Note Jagadish Sarma Gauhati University (Guwahati, AS, India)

The Saṃskāras or the sacramental rites are said to be the religious purificatory rites and ceremonies for sanctifying the body, mind and intellect of an individual, so that he may become a full-fledged member of the community. The Saṃskāras are performed at different stages of the life of a person. The numbers of Saṃskāras are different as per the different Smṛtis. Assam, a North-Eastern part of India, known as Kāmarūpa or Prāgjyotiṣpura in the epic and post-epic period, was an independent country since the epic age. Being in the eastern periphery and far away from the centre of the mainstream, it developed its own socio-religious customs and ritualistic procedure without deviating from the basic principle and within the broad framework of the Vedic culture. The Vedic culture was deep-rooted and the study of the Vedas was also carried on in this state strictly in accordance with the prescribed norms and approved procedure has been testified by the royal land grant inscriptions from the 7th century A.D. onwards. In the region of Assam, Assamese Hindu families performed ten kinds of Saṃskāras. Some of the Assamese Hindu people follow the rules and regulations as lay down by the Smṛti-digest writers, viz. Raghunandana and Halāyudha at the time of performing these rites. Most of Assamese people follow the procedure as stated by the Smṛti-digest writers of the Kāmarūpa School of Dharmaśāstra in regards to perform these rites. In this present paper, an attempt has been made to trace some aspects in performance of the Saṃskāras by the Assamese Hindu people of this region of India.

162 17th World Sanskrit Conference, 2018 Ritual Studies

An Overview of Kāmyeṣṭis as Per Śrautasūtras With a Special Reference to Āyuṣkāmeṣṭi U. K. V. Sarma Amrita Vishwa Vidyapeetham (Bangalore, KA, India)

“Dharme vedāḥ pramāṇam” is a regnant dictum across all the orthodox systems of Indian philosophical literature. It means that Vedas are known to be the authority on Dharma. Dharma is the chief element of the Puruṣārthas: the indigenous design of the four ends of human life. Righteousness, justice, duty etc., are the possible meanings of this word Dharma. The earliest literary work, namely the Dharmasūtras, which forms one of the constituents of the branch of study called Kalpa, deals with religious and civil law, sacraments, and personal conduct among other things. Interestingly, according to the Mīmāṃsā school, the term Dharma is defined as “yāgādir eva dharmaḥ [sacrifices and suchlike only form dharma].” It means that sacrifices, charity, austerities etc., as enjoined by the Vedas, are considered to be Dharma. “Dharmo rakṣati rakṣitaḥ” is a popular quote which says that Dharma, being protected by way of being performed, protects the performers. Hence, well-performed sacrifices (Dharma) ensure the welfare of the society, protecting it from peril.

Kṛṣṇayajurveda: one of the four Vedas of Indian Vedic literature, chiefly addresses various sacrifices to be performed. Kalpa, being one of the auxiliary limbs (Vedāṅgas) of the Vedas, sheds more light on the subtleties of techniques involved in the rites mentioned in Kṛṣṇayajurveda under the section “Śrautasūtras”. Among the many sacrifices explained therein, one class of those sacrifices are known as kāmyeṣṭis, which are performed in order to fulfill an individual’s or a society’s legitimate desires. Many, pertaining to this category, are in practice even in present times. For instance, kārīrīṣṭi, sāṅgrahaṇīṣṭi and āyuṣkāmeṣṭi are a few of the popular kāmyeṣṭis in the present age. The present paper discusses the kāmyeṣṭis in general with a special emphasis on āyuṣkāmeṣṭi through a thorough study on the same.

163 Ritual Studies 17th World Sanskrit Conference, 2018

वि व ा ह स ं स ा र े वि हि त ा न ा ं वि वि ध कि य ा ण ा ं महतं सनेशश Sharda Sharma University of Delhi (Delhi, India) संसाराणां ववसा भारतीयसंसृतेरतीव महतपू रणा ववसा वरतते। नि ष ेक स ं स ा र ा त ् पारभ अनेषीप रयनं षोडशसंसारा: सी कियने। यद पि धरमशासेषु संसाराणां सं खा विषये वैमतं दषिपथम् आया ति तथा पि वरतमानसमये षोडशसंसारा: पायश: सवैरेव सी कियने। सं सारा: वसुत: मानव नि रमाणस वि वि ध ा नि सोपाना नि इति मनने। सं सारो नाम गुणानराधानमुचते। सं सारै : मनुषेषु गुणानाम् आधानं कि य त । े मना दिसृ तिकारै: संसाराणां वि ध ा न ं वि वि ध च र ण षे ु यथाकालं आवशकतानुरपं वि हि त ं वरतते । सं सारे षु वि हि त : मनाणां वि नि य ो ग : वि वि ध ा : कि य ा श वि शि ष म ् उदेशं पूर यितुं कम पि वि शि ष ं सनेशं च पदातुं तत वरतते। यद पि मानवस सरवाङीण: वि क ा स : समाजववसाया नि ा णरम ं च संसाराणां मूलं के न भ ूत म ् उदेश मितुचते तथा पि समेषाम पि संसाराणां सं -सं वि शि ष म ् लकमपि भव ति। भारतीयसमाजववसायां चतार आशमा: कमश: बहच रयाशम:, गृहसाशम:, वानपसाशम: सं नासाशमश सनि। चतु रणामपि आशमाणां पृथक् -पृथक् करतवमुदेशं च शासेषु निि द षं वरतते। एतेषु चतु रषपि आशमेषु गृहसाशम: जेष: शेषतमश इति शासकारै: एकसरेण सीिकयन।े यतो िह संसारोऽयं समाजं विषत: समिष ं पित परे यित। तयाणामिप आशमाणा ं बहच रयाशमस, वानपसाशमस सं नासाशमस च जीवनयापनाय आथि कं भारं गृहसाश मिण एव वह नि। अत एव गृहसाशमस महतं पतिपादयन् मनुर पि आह- यथा नदीनदा: सवे सागरे या नि सं सि तिम्। तथैवाश मिण: सवे गृहसे या नि सं सि तिम्।। (मनु. ६.९०) गृहसाशमस पारम: समावतनर संसाराननरं िववाहसंसारेण भवित। समाजं पित िकं कतवर ं, पितपतो: परसरं िकं कतवर ं, गुरजनाना ं पित च िकं कतवर िमित बोधियतंु तत िववाहसंसारे िविनयकु षे ु मनषे ु ततवै िविहतासु िकयासु च समकया पतिबोधितम्। वि व ा ह स ं स र े बहव: वि ध य : करमकाणरपेण वरवधूभां अनुषीयने। के च न वि ध य : समूय के च न च सातनतेण अनुषीयने। यथा- मधुप रकविधि :, गोदान विधि :, हसालमन विधि :, हदयालमन विधि :, लाजाहोम विधि :, शि ल ा र ो ह ण वि धि :, सपपदी विधि :, सू रयावलोकनविधि :, धुवद रशन विधि :, अरन तिद रशन विधि :, सुमंगलीकरण विधिशे ति। ये अत वि ध य : सं के तिता: तेषाम ् साकं े ितकं महतं िकं कश तत सनेश: िनिहत: इतेव शोधपतऽे िसन ् सूकमतया िविवच तत िनिहतं रहसमुदाटियषते। अपिच तेषां सं सारे षु वि हि त ा न ा ं वि ध ी न ा ं मनोवैजा निकरपेण वकौ समाजे च क: पभावो भव ति ? कथं च वके: स रवाङीण विकासे समाजस ववसां ववसाप यितुं च तेषां योगदानं भव ति इति पतेऽ सिन् विवेच यिषते।

164 17th World Sanskrit Conference, 2018 Ritual Studies

Hindu Saṃskāras: Ritual Practices Among the Hindu Dogras of Jammu Shobhana Sharma Jawaharlal Nehru University (Delhi, India)

Rituals play an important role in the lives of Hindus. Their everyday life is influenced by one or another ritual. Saṃskāras, i.e rites of passage, are reckoned as a kind of religious purification/ expurgation which consists of various rites and ceremonies to sanctify the individual’s body, mind and intellect. It is believed that there are sixteen saṃskāras from garbhādhāna (conception) to antyeṣṭi (death), through which every Hindu has to pass in his/her lifetime. These rites of passage or life-cycle rituals are thus enormously meaningful for idiosyncratic life course of an individual in terms of embedding the social in the individual. This paper intends to study the pre-natal saṃskāras which includes garbhādhāna, puṃsavana and sīmantonnayana (as part of sixteen saṃskāras) and interpret the significance of rites and rituals of Hindu Dogras of Jammu (Jammu and Kashmir, state in the northern part of India, South Asia). The framework would be comparative in nature; such that rituals of Dogras would be critically analysed against pre-natal saṃskāras mentioned in Hindu classical texts in order to bring forth the similarities and variations - historical as well as cultural ones.

165 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

8. - Poetry, Drama and Aesthetics &'1B(C'DE

Universality of the Tenets of Nāṭyaśāstra: An Analysis in Terms of Applicability to Shakespearean Plays With Special Reference to Hamlet Arjun Bharadwaj Amrita Vishwa Vidyapeetham (Bangalore, KA, India)

Shakespeare is universally acknowledged as one of the most important playwrights of the West, and The Tragedy of Hamlet, Prince of Denmark, is one of his finest plays. The Indian treatise on theatre art, the Nāṭyaśāstra has laid the foundation for all performing arts of the country and its influence is evident even beyond its political borders. The proposed paper attempts to examine Shakespearean plays with special focus on Hamlet, using the aesthetic theories documented in the Nāṭyaśāstra. Structural aspects such as the arthopakṣepas, arthaprakṛtis, avasthās, sandhis, kinds of heroes, prosody, diction and other parameters will be analyzed. The paper will also analyze the plays with qualitative aspects such as the sthāyi-bhāva of the Shakespearean characters and the associated anubhāva, vibhāva and vyabhicāri-bhāvas, culminating in the different rasas. It will be shown that the definitions given in the Indian tradition are universal in their applicability as they lend themselves to the plays composed in a different culture altogether. Moreover, it will be seen that the aesthetic principles conceived in theory by Bharata are closely followed in practice by Shakespeare, though the latter probably never had any exposure to work of the former. It only proves that the theoretician on the one hand and the playwright on the other, both supreme in their own right, have converged in their approach to theatre art, though they belonged to different times and places. The analysis in the paper is based on the original text of the Shakespearean plays and on the Nāṭyaśāstra and Abhinavabhāratī.

166 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

On the Textual History of the Bhāminīvilāsa With Reference to the Paṇḍitarājaśataka Timothy C. Cahill Loyola University New Orleans (New Orleans, LA, USA)

First published in 1870, the little known Paṇḍitarājaśataka is a collection of verses that feature poems attributed to Jagannātha, the well known 17th century literary critic. The text bears a close relation to the Prāstāvikavilāsa, the first section of the author’s famous Bhāminīvilāsa. Written in a variety of meters, these poems extol the virtues of the good and advise great men on how to protect themselves against sycophants. Many of these poems are allegories, disguising their true message through presentations of nature (e.g., bees, birds, elephants, etc). Using philological methods we will assess diachronic change with reference to manuscript evidence and the text’s first transmission to Europe in the mid-19th century. By considering the distribution of the verses within the two texts, the use of initial rhyme schemes and ‘elegant’ phonetic patterns, we hope to demonstrate the Paṇḍitarājaśataka’s relevance for establishing an early form of the Bhāminīvilāsa’s first chapter. The paper references the collation of a dozen manuscripts, fifteen editions and two early translations; we assume an authorial text and linear development for the Bhāminīvilāsa.

The Paṇḍitarājaśataka functions as an anthology, importing many poems from other authors. Two contemporary anthologies of Jagannātha’s poems label certain poems as additional (avaśiṣṭa) or spurious (saṃkṣipta), but they do not identity authorship or otherwise attempt to locate them within literary cultures of India. Relying on recent research, we identify the authorship of some its poems. Beyond this, we consider to what extent the fluidity of subhāṣita literary collections compels us to consider this text as part of any ever-shifting presentation of maxims and gnomic poetry that deserves to be modeled on newer forms of philology that aim to represent a community’s reception and celebration of poems—including their imagined attribution to a single author.

167 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

काकुवको किरलङाररपेण सीकरणीया न वे ति अलङारशासोक दिशा काकुवकोकेरलङारत विवेचनं वा चिका भिनये उपयोग नि रधारणं च। Pokhraj Chakraborty Gurudas College (Kolkata, WB, India) अलङारेषु काकुवको किः प सिदालङाररपेण मनते। भामहेन रदटेन ममटेन वि श न ा थ न े च काकुवको किः वकोकलङारस भेदरपेण सी कियते। वि ष य ेऽ सि न ् रदटेनोकम्— “ वि स ष ं कियमाणादि कषा सर विशेषतो भव ति। अरथानरपतीतिरयतासौ काकुवकोिकः”। िकयमाणादचु ायरमाणात ् सरिवशेषात ् सुसषरपेण कलनारिहताऽथानर रपतीितः काकुवकोिकिरतथः।र िकन ु काकुवकोकरे ङारतिनरपणिवषये आलङािरके षु मतभेदो वततर ।े पाठधमरताद ् उचारणवतािदतथो राजशेखरेण काकुवकोकेरलङारतं खणितम्। तेनोकं कावमीमांसायाम्— “अभिपायवान् पाठधरमः काकुः, स कथमलङारी सात्”। कावानुशासनगने हेमचनेणा पि काकुवकोकेः अलङारतं नि र ा सि त म— ् “ भि न क णधनिधीSरैः काकु रित भिधीयते”। काकुः पाठधमरस भेद एव। पिसदाथानर रपतीितताद ् आननवधनर ाचायेण काकुवकोिकः गुणीभूतवङस पकारभेदरपेण सीिकयते। भरताचायेण नाटशासस काकुसरवञकाधाये काकोः सरपं नि र पि त म । ् तत साकाङानिराकाङाभेदेन काकोभेददयमुकम्।अतः काकुवको किः अलंकाररपेण सीकरणीया न वे ति पशो वि द त । े अत लकणीयं पाठधरमतात् सभावतया काकुवको किः पयोग नि रभरा, येन अनेभोऽलङारेभोऽस विलकणतं पयोग विषयतं च पतीयते। काकुवकोकौ काकोः पयोगादेव अरथानरस पती ति रभवति , येन सौन रय वि ध ी य त । े अत लकणीयं काकुवको किः संलापध मिणी, वकृशोतृ नि रभSरेत रथः। अत एव वा चिका भिनयसोपादानरपेणापसोपयोगो वि द त । े काकोः पयोगादेव वा चिका भिनये उपयुकानां सं लापानां सौन रय वि ध ी य त । े “अलङारः” इति शबस वुततनुसारेण अलङारशासोक दिशा काकुवकोकरे लङारतिनरपणं ,वािचकािभनयस सौनयरिवधाने एतदपु योगिनधार णं ,पाठधमरतात ् पयोगिनभरर तया एततातनतकथनञ शोधपतसासोदेशम् ।

168 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

A Case Study on the Concept of “Anvarthatva” and Its Application in the Śiśupālavadha of Māgha with Reference to Words for Mountain Satyanarayan Chakraborty Independent Scholar, Kolkata, WB (India)

This is a statistical analysis of the words for “mountain” as used by Māgha in the Śiśupālavadha in the backdrop of the huge vocabulary for it in the lexicons like the Amarakośa and others. Works mentioned above have 29 words like acala, adri, giri, etc. Words used for mountain by Māgha on 54 occasions are adri, śaila, naga, giri, etc. – sixteen in total. Words generally taken as synonyms do not bear the same connotation and Sanskrit poets are careful in selecting words to make it anvartha or connotative. Māgha mentions this concept of “anvarthatva” with regard to puṇḍarīkākṣa for Kṛṣṇa , calā for Lakṣmī, mṛgalāñchana for the moon, etc, but, not with regard to words for mountain. Māgha had no intention to mention different aspects of mountains to show further connotations of the word “mountain.” This is evident as two different words for mountain are used in the same verse, e.g., śaila and naga or giri and acala. Interesting to note that five cantos has the word “giri” in the very first verse each. Again, the same word “giri” has been used in the second verse immediately following its mention in the first (5.2 and 9.2). Giri and adri are used 11 and 10 times respectively. Thus 21 out of 54 i.e., about 40% is covered by 2 words only. Māgha had a fascination for two words, which are related to stone, which is praised for pressing Soma plant. 75% of words are covered by five words, namely, adri, śaila, giri, naga, and acala. Interestingly “parvata” has not been used at all. Words like ahārya, śṛṅgī, sthāvara, etc. could have been profitably used.

169 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

Madhurāvijayam Mahākāvya of Gangādevī: A Literary Analysis Voddugalaiah Girish Chandra Karnataka Sanskrit University (Bangalore, KA, India)

Gaṅgādevī, a most eminent Sanskrit poetess (14th cent. A.D.), wrote a beautiful mahākāvya named Madhurāvijayam, also known as Vīrakamparāyacaritam. Though unsung, it is an excellent mahākāvya in which Gaṅgādevī beautifully depicts the life and conquests of her husband Kampana, the son of Bukka, a renowned king of the Vijayanagara dynasty, a prominent empire in the history of South India.

The mahākāvya however, runs into nine cantos and the text of the last three cantos is partially unavailable. The most appealing features of this mahākāvya are the uncomplicated and mellifluous style of writing and the poetic dexterity with which the authoress cultivates the vīra rasa in delightful and sublime fashion. Patriotism, shoreless love towards nature, adeptness in the microscopic description of various aspects of life and human dispositions, opportune and abundant vocabulary, outstanding ability to concatenate the concepts of numerous branches of knowledge into the alaṅkāras like upamā, rūpaka etc., the skill of bringing the characteristics of concatenate in natural manner - are the commendable merits of the poetess Gaṅgādevī.

It is conspicuous that the author is deeply influenced by mahākavi Kālidāsa. She herself asseverates that there is no poet unbeholden to Kālidāsa, all the same, while adopting any description from erstwhile master poets she metamorphoses it in the smithy of her splendent erudition and presents it with such a freshness as if it is her own imagination. Over and above, she produces many exquisite portrayals marked with her ingenious and unprecedented imaginations. The present paper is an attempt to draw out the literary merits of this mahākāvya as well as to expound and appraise the literary elements such as alaṅkāra, guṇa, dhvani, aucitya, etc., that are brought to bear for the rasasiddhi.

170 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

Bilhaṇa, Kalhaṇa, Jalhaṇa Whitney Cox University of Chicago (Chicago, IL, USA)

This presentation is intended to as a contribution to the history of the reception and interpretation a major work of medieval kāvya, the Vikramāṅkadevacarita (VADC) of the itinerant Kashmirian poet Bilhaṇa, completed ca. 1086. Bilhaṇa’s mahākāvya was a biography of the Western Cālukya suzerain Vikramāditya VI (r. 1076-1126); its final sarga includes a departure from the narrative conventions of classical mahākāvya, consisting of an independent praise-poem to the poet’s home country of Kashmir, and a stylized autobiography and travelogue detailing the poet’s early life and the events that brought him to the Cālukya court at Kalyāṇa. Despite Bilhaṇa’s work as a royal eulogist, the poem is suffused with a caustic skepticism towards the nature of royal power.

Bilhaṇa’s poem circulated widely throughout India and was repeatedly cited by anthologists and literary critics. Yet its transmission into the present depended upon a single complete witness of it survives, a Nāgarī manuscript written before 1286 and now held in Jaisalmer. In my presentation to the WSC, I will examine two important early testimonia to Bilhaṇa’s poem, the Sūktimuktāvalī anthology of Bhagadatta Jalhaṇa, completed in 1258, and Rājataraṅgiṇī, completed in 1149. The first of these is far and away the greatest source for the secondary transmission of Bilhaṇa’s text, citing fifty- seven verses from the VADC. Kalhaṇa’s history includes a discussion of his countryman Bilhaṇa (7.935-937), grounded in his direct familiarity with the VADC. I will demonstrate that other passages in Kalhaṇa’s work depend on ideas that he adopts from Bilhaṇa’s poem. Kalhaṇa’s indebtedness and Jalhaṇa’s citations both embody a reading Bilhaṇa’s poem, one foregrounding its both dissident political subtext and its playful, subversive eroticism. Moreover, these two works attest to the existence and vitality of a shared literary culture that extended across western India in later medieval times.

171 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

Beyond Text and Textuality: Anumāna as a Post-Transformational Agenda for a Theory of Poetry Prabha Shankar Dwivedi IIT Tirupati (Tirupati, AP, India)

This paper intends to examine how an Indian classical concept of logic/philosophy, surpassing many of the theories of poetry due to its approach, analysis and argument, elicits an infallible meaning of a post-transformational nature from the text. Anumāna in the philosophy of Nyāya is considered to be the foundation of all knowledge as the spirit of logic is contained in it. In Indian philosophy, it was enormously discussed by the philosophers after Gautama, but its use for understanding/analysing a literary piece was first proposed by Mahimabhaṭṭa as a succeeding concept of the theory of dhvani, who in the very first śloka of his Vyaktiviveka claims that all kinds of dhvani are present or inherent in anumāna. Mahimabhaṭṭa, in his treatise, talks about different forms of dhvani, which denote different states or kinds of meaning. However, anumāna in all the cases is inevitably required as it by refutation of the various stages of meaning, which are prone to be changed, leads to a certain state where the meaning obtained is grounded on pramāṇa, and therefore, moves beyond the realm of doubt and attains the post-transformational state containing cārutva. Vyanjanā, which is central to anumāna, is very significant for the theory of dhvani, too. Vyanjanā, or suggestive meaning, proceeds on the principle that the meaning of an expression or emotion is beyond its literal sense, where text or textuality may work as a medium for attaining that meaning which cannot be considered to be a transformed state of meaning and therefore it reaches a state of post-transformation. Thus, this paper aims at purporting Mahimabhaṭṭa’s contention with modest variations in his propositions taking into account more contemporary instances and comparison with the existing theories of poetry.

172 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

वि वि ध श ा स द श ा उपमानपदा रथविवेचनम् Saurabh Dwivedi Banaras Hindu University (, UP, India) पायः सवेषु वाका रथविचारशासेषु उपमानपमाणस चरचा बहधा कृ त ा वि द त । े वैयाकरण-मीमांसक-नैया यिकैरपमानपमाणस चरचापकमेऽस वि वि ध ा न ा ं घटकानां तलावगा हि विवेचनं कृ तं वि द त । े अलङारशासेऽपलङाराणां महनहतं वरतते। तता पि वि प ुल ा ल ङ ा र व ति न ी उपमाऽनुपमां शोभाशा लितामावह ति। सादशमूलकेषलङारेषु च पायः उपमानोपमेययोः सि ि त स रव त महतपू रणा भव ति। यद पि पाकर णिकं भव ति तसैवोपमेयतया पसिदिः। पुनश कि मि द म ुप म ा न मि ि त िजजासायां वि वि ध ा नि शासा णि आशीयने। शोधपत मिदं वि वि ध श ा स द श ा उपमानपदा रथविषयकान् मतभेदानुपसाप नि ष रष त न े सरवगाहं मतं पसौ ति। उपमानपदा रथविवेचनपकमे वि वि ध षे ु शासेषु साध रमवैधरमयोः यनिरपणं वि द त, े तस निरपणस शोधपतेणानेन साङं विवेचनं पसूयते। वाकरणशासे उपमानपदा रथस चरचा यद पि पा णिनिसूतेषु कातायनवा तिकेषु च बहधा समुपलभते तथा पि तस वि श द ं विवेचनं महाभाषकृता पतञ लिना एव कृ तं वि द त । े कि मि द म ुप म ा न मि ि त िजजासायां महाभाषकाराः कथयिन-“मानं िह नामािनजातजर ानाथमर ुपादीयत े – अिनजातमर थ र जासामीित। ततमीपे यनातनाय िममीते तदपु मानं गौिरव गवय इति। गौ नि रजातः गवयोऽ नि रजात” इति। (महाभाषम्, २.१.५४) भगवता भ रतृह रिणाऽ पि उकं- “उपमानं प सिदतात् सरवत वतिरि चते।” (वाकपदीयम्, ३.१४.३७५) नैया यिकसमतस उपमानपमाणस सरपं पजातपजापनीययोः अरथयोरनःपविषं सादशं समवलम पति षां लभते। तत यदि पजातपजापनीययोर रथयोः आत निकं सादशं गृहते तदा “गौ रिव गौः” इत पि उपमानं सात्। अथ चेत् तयोः सादशं पा यिकं गृहते तदा “गौ रिव महिषः” इत पि उपमानं सात्। अथवा तयोः सादशं ऐकदे शिकं सात् तदा “मेर रिव सरषपः” इत पि सात्। न चैतादशी पती तिः पेकावतां पामा णिकी। मीमांसकमतेन उपमानसरपं नैयाियकसमतादपु मानसरपात ् िवलकणं वततर ।े तथा िह किशत ् पुरषः सगृहे पतकीकृतगोिपणः वनं गतसत चास गवयसाकातारात् परमयं पि ण ो गोसदश इति जानमुतदते। तदननरमनेन गवयेन सदशी मदीया गौ रिति सृ तिसस समुदे ति। तत गवय नि षगोसादशजानं करणं , गो नि षगवयसादशजानं फल मिति मीमांसकानां मतम्। एवञ मीमांसकसमतमुपमानम् अनुभूत विषयकं , नैया यिकसमतं चोपमानम् अननुभूत विषयक मिति दि वि ध म ुप म ा न स र प ं समुपलभते। अलङारशासे कावालङारसूतवृतौ वामनेन उपमानपदा रथ वि वण ृ ता पतिपादितम् – “उपमीयते सादशमानीयते येनोतृषगुणेनानतदपु मानम।् ” (कावालङारसूतम ् – ४.२.१) तदेतदपु मानं न तावत ् सचे या कलियतंु शकम्, तस लोकपिसिदिनघतात।् अतएव कुमुदिमव मुखिमतादौ नैव पयजु न।े (कावालङारसूतम्, ४.२.१) वैयाकरणाना ं मतसमालोचनेन िनषषरतने ेदमायाित यदपु मानपदाथने सुपिसदतने भावम।् उकञ उपमानपदा रथस वाखापकमे कावपकाशस वामनीवाखायाम् – ’तत साधारणध रमवतेन प सिदः पदा रथः उपमान मि ’ ति । (वामनीटीका, कावपकाशः, दशमोलासः पृ० – ५४७) उपमानस पसिदिशेयं वकिभेदेन भि द त । े पुनश भतरृहिरकािरकयेदमपायातं यदपु मानेन उपमेयादिधकगुणवतने भिवतवम।् अिधकगुणवता चेयं गुणोतषरिनबनना। पुनश प सिदते सत पि दा रशनिकयोरभयोः मीमांसकनैया यिकयोः मते उपमानसरपं कमशः अनुभूत विषयकमननुभूत विषयकञे ति। आलङािरकमतेनेयं पिु षजायर ते यदपु मानस पिसिदलोकािशता भवित , या िह वकौिचती ंसमपेकते। अतः कशन पदाथःर गुणोत रषनिबननां लोकप सिदिमनुरध वकादीनामौ चिते च सति पकृतसोपमेयसोपमानतां भजती ति शम्।

173 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

Kṣemendra’s Samayamātṛkā Within Kashmirian Sanskrit Literary History Iris Iran Farkhondeh Université Sorbonne Nouvelle - Paris 3 (Paris, France)

Following my translation of the entire Samayamātṛkā in my PhD dissertation, this paper will focus on the presentation of this satirical work by Kṣemendra and try to delineate how it relates to other Kashmirian literary texts and what sets it apart. The objective is mainly to see how the use of other texts written about the same place and period permits us to solve some of the problems that one encounters while translating a sanskrit work.

Kalhaṇa’s Rājataraṅgiṇī proved helpful when I tried to understand the meaning of specific regional vocabulary. As far as SM’s meters are concerned, the ones based on varṇa are mentioned in Kṣemendra’s Suvṛttatilaka. Unfortunately, mātrā based ones are not. If, by their very subject matter, Kṣemendra’s SM and Dāmodaragupta’s Kuṭṭanīmata are indeed related, we will see that Kṣemendra is far from simply “imitating” his renowned predecessor (despite Sternbach’s view on the matter) and that the SM is an original literary work. In fact, the texts that proved more helpful while translating the SM were Kṣemendra’s other satires and, as we look into the Deśopadeśa, the Kalāvilāsa and the Narmamālā, we find many parallels with SM’s characters, scenes and situations. Finally, I will present some of the passages that remain obscure. If some are due to the scarcity of manuscripts and lacunae in the text, some might find a clue I hope while presenting this work in front of scholarly colleagues.

174 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

Why is a Saṭṭaka not an Uparūpaka? Melinda Fodor Gonda Foundation (Leiden, Netherlands)

The scope of this paper is to try to define the status of the dramatic genre called saṭṭaka, whether it is a rūpaka or an uparūpaka. The genre saṭṭaka has been identified on the basis of a very low number of criteria so far. Only the Karpūramañjarī was taken into consideration, but since its first publications, four other saṭṭakas have come to light. Assessments were made mostly according to Viśvanātha’s Sāhityadarpaṇa, considered as the theory of dramaturgy par excellence, holding the saṭṭaka to be an uparūpaka. Even though some references have been made from the Bhāvaprakāśana of Śāradātanaya, the ideas of all other theorists have been totally discarded. Why should the ideas of Dhanaṃjaya, Dhanika, Abhinavagupta, Bhoja, Hemacandra, Sāgaranandin, Vāgbhaṭa II, Vidyānātha and others not be taken into consideration? Most of them hold the saṭṭaka to be a rūpaka of hybrid (saṃkīrṇa) type.

175 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

Gendered Differences: Verse, Prose, and Affect in the Kaumudīmahotsava Kathryn Marie Sloane Geddes University of British Columbia (Vancouver, BC, Canada)

My paper will look at the contours of emotional expression and the use of language and verse with respect to female characters in the play of Vijayabhaṭṭarikā, the Kaumudīmahotsava. In this play, there are two figures I will focus on: a Sanskrit-speaking parivrajikā or nun, and a Prakrit-speaking princess. While both the nun and the princess follow the linguistic rules outlined by Bharatamuni in the Nāṭyaśāstra, there are moments where their language use presents moments of reflection. The nun for example speaks Sanskrit until she is emotionally distressed and begins to speak Prakrit, an incidence which is noticed by other characters in the play. Is this a moment of rupture where the nun become too much like a mother and therefore, too much like a regular woman, causing her language to become Prakrit? What makes other moments of her emotional expression different from this instance within the play? In the other case, the princess speaks completely in Prakrit however, there are moments where her Prakrit prose is comparably similar to Sanskrit verses spoken in other plays. What are the affective differences between verse and prose expression and how does this play out across differently gendered characters? It is in these instances of the play that I will discuss the gendered dynamics of language use, use of verse, and affect. This paper will contribute to larger discussions of gender, language, and expression within Sanskrit drama.

176 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

The Epistemology of Vibhāva in the Light of Navya-Nyāya Language and Methodology Anil Pratap Giri Pondicherry University (Pondicherry, TN, India)

Paṇḍitarāja Jagannātha has elaborated the concept of vibhāva by using Navya-Nyāya language in the Rasagaṅgādhara. The concept of vibhāva shows one of the finest products of human intellect in the history of Sanskrit literary criticism. Using Navya-Nyāya language as a tool for ambiguous free concept, Paṇḍitarāja simplified and critically analyzed Bhațțanāyaka’s understanding on vibhāva and represented it in the form of universal model of uncommon cause of rasa in the context generalization (sādhārṇīkaraṇa).

Without knowing the proper methodology and structure of Navya-Nyāya language, it is quite tough for modern Sanskrit scholars to understand vibhāva. Peculiar features of Navya-Nyāya language are artificial and restricted language, ambiguity-free concepts and sentence structure, and freedom from opaqueness which can be known through analyzing the methodology and linguistic structure of the Navya-Nyāya language. The methodology of the Navya-Nyāya follows a systematic sequence of the word arrangements and its relationship in the sentence. The aim of this peculiar methodology is to restrict the meaning of the sentence and makes concept unambiguous. V. N. Jha (Pune), Shivajivan Bhattacharya (Kolkata), Amba Kulkarni (Hyderabad), and Shrinivasa Varakhedi(Karnataka Sanskrit University) have made academic efforts and presented its methodology and structure in such a way that sentences of the Navya-Nyāya language can be understood in the easiest mode for modern scholars. However, the methodology of Navya-Nyāya language of the Rasagaṅgādhara in general and the concept of vibhāva in particular have not been explored by the scholars so far. This paper would critically analyze the methodology of Navya-Nyāya language in the concept of vibhāva and its epistemology to resolve complication and misunderstanding of the vibhāva and make the concept of vibhāva easier, uncomplicated and unambiguous, as well as to explore the linguistic analysis of the poetic tradition.

177 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

The Aesthetic Aspects of the Lalitavistara Bijoya Goswami Jadavpur University (Kolkata, WB, India)

It is a well-known fact that the Lalitavistara is a very important religious text of Mahāyāna Buddhism. It is also believed to be the earliest authentic biography of the Buddha. But besides being an essentially religious and philosophical work, its importance as a tremendously beautiful literary work cannot be over-emphasized. Just as Vedic literature, the principal source of Indian religious and philosophical beliefs, is also the source of ancient literature and aesthetics, the Lalitavistara is a storehouse of literary gems. The language of this work is indeed a difficult one, being one of the rare instances of what is (somewhat erroneously) termed Buddhist Hybrid Sanskrit (BHS). But its wonderful lyrical beauty cannot be overlooked. The Indian science of literary criticism is known as alaṃkāraśāstra; in the Lalitavistara, too, traditional alaṃkāras abound. We find the basic figures like upamā (simile) and rūpaka (metaphor). There are also numerous others like aprastutapraśamsā, utprekṣa, atiśayokti, dṛṣṭānta, and so on. Besides this, we find such excellent and touching descriptions which really stir one to the core, like the laments of Yaśodharā when she learns of her husband leaving her, the calm beauty of the river Nairañjana, not to mention the youthful romance of Sarvārthasiddha and Yaśodharā before their marriage. In all, the Lalitavistara is not only a philosophical text, but a literary piece of great potentiality.

178 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

Towards an Alternative Aesthetics: A Study of the Prahasana Manjunath Gajanan Hegde Dr. A. V. Baliga College (Kumta, KA, India)

The prahasanas draw our attention to the ‘other’ or alternative tradition in drama and culture – a tradition very different from and opposed to the one to which Kālidāsa, Bhāsa, or Bhavabhūti belong. The canonical texts of Kālidāsa, Bhavabhūti, etc. dramatize an essentially Brahminical elitist view of the world. They depict the world of harem and hermitage, of petty intrigue and other-worldly quest, of sensuous beauty and aesthetic delight, content and fulfillment.

As against the courtly, the Brahminical, the elitist and the esoteric tradition, the prahasana has at its centre the non-Sanskritic and the non-Aryan, the popular yet marginalized tradition. The world of prahasana is peopled by “low” characters that are guided by their bodily impulses rather than social restraints and give free vent to their feelings and failings. These characters with free abandon show irreverence to institutionalized religion and celebrate freedom from straight-jacketed discipline. The celebration is made through violation of social taboos of adult propriety and hierarchy.

The prahasana thus represents the tradition of the marginalized “other” and questions the concept of unitary tradition posited by the canonical texts. It is not surprising that this sub-genre has been ignored and neglected by the classical theoreticians. Abhinavagupta, for example, maintains that only women, children and stupid persons are entertained by the prahasana. The predominance of hasya and the lack of diversity in plots are held by him as unbecoming factors in the prahasana as per the canonical concept of drama.

But the prahasana needs to be studied for its subversive aesthetics and subaltern concerns. The prahasanas can profitably be studied in their socio-cultural contexts. But the popular entertainment has left few traces for the sociologist of literature. Hence it is proposed to examine Latakamelaka, Bhagavadajjukīyam, Mattavilāsaprahsana and Hāsyacūdāmaṇi-prahasana to outline the ideological and structural concerns of the “other” tradition.

179 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

Toward a New Poetics of History in Sanskrit Kāvya: Tragedy, Irony and the Problem of Poetic Justice in Nayacandra Sūri’s Hammīramahākāvya Sander Hens Ghent University (Ghent, Belgium)

This paper investigates the poetics of history in Nayacandra Sūri’s Hammīramahākāvya (early 15th c.), an innovative court poem about the tragic-heroic Chauhan king Hammira of Ranthambhor (r.1283-1301) and his defeat at the hands of Sultan Alauddin Khalji. The outset of this paper is to get insight into the HMK’s new character by exploring the idea of Nayacandra as poet-historian. I suggest that the poet, unlike many other authors of so-called historical kāvya, was genuinely motivated by a ‘historical drive’ to bring a compelling, new and daring analysis and synthesis of his subject. I analyze how the poet’s tragic vision of history went hand in hand with an ironic attitude, which comes to surface at places where the author seems to playfully challenge and invert the remembered tradition (aitihya). This paper concludes with a reflection on the question how history, when it enters poetry in its tragic mode, complicates the traditional aesthetic ideal of poetic justice.

180 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

Piquant Views of Contemporary Thinkers on Sanskrit Poetics Shankarji Jha Panjab University (Chandigarh, India)

It is important to note that some welcome and piquant views have been expressed by the modern thinkers on Sanskrit Poetics. Ācārya Rewaprasad Dwivedi (1935-) in his latest work Sāhityālaṅkāra (2016) presents a new definition of kāvya: vikalpaḥ sundaraḥ kāvyam (beautiful vikalpa is kāvya), and finds its origin in the Yogasūtra of Patañjali. Ācārya Dwivedi explains this vikalpa in the context of poetry or poetic experience. In the same work, as well as, in his earlier publications also, Ācārya Dwivedi presents piquant views on the words alaṇkāra, aram, and alam, on the cogent ground of Āgama or Agnipurāṇa. Shivaji Upadhyay (1943-) in his work Sāhityasandarbhaḥ (1990) presents an attractive and interesting explanation of poetic elements rasa etc. on the basis of the tenets of the Mīmāṃsā system of Indian philosophy. Rahas Vihari Dwivedi (1948-) has presented the substratum (adhikaraṇa) of poetry, and (form of) anubhūti (poetic experience) in his latest work Kāvyādhikaraṇānubhūtivimarśaḥ (2014). He explores vāk (human speech) to be the substratum of kāvya (poetic composition). He presents a novel idea of the process of poetic experience in accordance with the Vedānta view of sṛṣṭi-prakriyā (process of the emergence of the world). As is the order of the emergence of the physical world: ākāśādvāyuḥ, vāyoragniḥ, agnerāpaḥ, adbhyaḥ pṛthivī, so is the process of poetic experience: vāk or words come into contact with the heart (mind) of connoisseurs, then the depicted matters are visualized or imagined, then rasa (bliss) is experienced, and finally there arises the knowledge of the subject matter. Such views have been expressed in the form of kārikās. Besides, there are other thinkers also whose views are worth noting. An exposition of such piquancy in the aforesaid views is proposed in this paper for a wider appreciation.

181 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

Meaning and Aesthetic Effect in Poetry: A Conversation Between Indian Aestheticians and Modern Literary Critics Radhika Koul Stanford University (Palo Alto, CA, USA)

Recent literary criticism in the West presupposes the futility of hermeneutics as a valuable element of criticism. In Production of Presence: What Meaning Can’t Convey (2003), for instance, Hans Ulrich Gumbrecht suggests that through their unremitting emphasis on hermeneutics, modern critics have ignored the ineffable and bodily aspect of aesthetic experience, which he calls “presence.” Meaning and presence do not act in mutual exclusion in Gumbrecht’s worldview, but he insists that there can be no definite association between the two, no “stability of a structural pattern” (108). Gumbrecht follows the footsteps of Susan Sontag, who insists in her influential essay, Against Interpretation, that “the function of criticism should be to show how it is what it is, even that it is what it is, rather than to show what it means.” In the realm of poetics, such theories imply a dissociation between the hermeneutic activity of a poem and a reader’s emotional response to it. This paper seeks to controvert this trend in recent Western literary criticism by presenting Ānandavardhana and Abhinavagupta as both reasonable and profound interlocutors in such a debate. Dhvani, the soul of poetry according to Ānandavardhana in the Dhvanyāloka, is grounded in both word and meaning. More importantly, the very concept of rasadhvani in the Locana troubles any such dissociation between meaning and affect by virtue of being an experience at once mental and visceral. Whereas the works of Ānandavardhana and Abhinavagupta hardly need to be vindicated by modern critical debates in order to be read and analyzed in details, this paper seeks to bring some of the most critical aspects of their poetics into conversation with current critical overtures in order to shed “new” light from an old tradition.

182 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

सं सृ तकावशासे पतिभापपञः Ashutosh Kumar University of Delhi (Delhi, India) सं सृ तकावशासे कावकारणतावाद विषये सनि आचा रयाणाम् वि प ति प त य ः । सूकेकिकतयाविचारयते चेत् दिधा विभागो दशते सं सृ तकावशासस हेतु विचारावसरे पथमसु अतुतृषकावरचनाव दिनां दणचका दिनायेन समु दितकारणतासीकु रवताम् आचा रयाणां समुदायः अपरसु तृणा रिणिम णिनायेन कावसामानस कारणपसंगम धिकृत समतमुपसापयताम् वसकारणतावा दिनां समुदायः । उभयता पि प तिभाऽनतमा । पति उपस रगपू रवक भाधातोः भावे करणे वा िप्क कृ त े नि ष द त े पितभा शबः । तत भाववुततौ त ु पितभानं पितभा के िचत ् तु िवशानदशाया ं करणवुतित ं तथा च जागदवसाया ं तु भाववुतितमंगीकुवरिन । पाचीनास ु पितभायाः करणवुतित ं पितभासतऽने या इित अंगीकुवरिन ततवै नवास ु कावघटनानुकूलशबाथोप सितिः इता दिरपेण सीकु रवनि । ति ध ा ऽ व ल ो क त े प तिभा नि म र ल चि त व ृ ति स र प ेण जैना दिद रशनेषु, िनरावणिचदूपेण वेदानािदषु, अथ च आगमजेषु तु साकाितचनयीिशवारपेण । आगमशास े आषानर ाषरतने ाऽिपिवभाजनं दशते, तत च आरषम् ऋषिसमनिजानम् अना रषपतिभायाः अपि चरचा दशरपकावलोककारेण विहिता नहि कवयो यो गिन इव धानचकषु ा इतािदना ततवर िवचायरतेऽिसन ् शोधपत।े भतहरृ िरः सोटवाखापसंगे “अनविसतकमेऽिप करणे धनोऽपरे सोटादेवोपजायने जालाजालानरा दिव” (वा॰ प॰ 1/109), “प तिभा एवं शबेषेव शिता शकिविशसास नि ब नि न ी शबसोटरपा यनेतः प तिभातायं भेदरपः पतीयते” (वा॰ प॰ 1/118) इता दिना प तिभामेव सं के तय ति ततैव पुणराजसु “पशनाखा प तिभा” इति नि र प य ति । वाकरणशासप सिदायाः प तिभायाः सरपः आभामहादाननव रधनप रयनकावशासालोचकेषु मन सि वरतते।आननवरधनाचारयसु आलोकसामानस परिसुरणे हेतुतेन सीकरो ति प तिभाम् अनता पि “न कावा रथविरामोऽ सि यदि सात् प तिभागुणः” (धना॰ 4/6) । राजशेखरेण तु श किप तिभयोवै शिषम पि सं के तितं यत् “शकिक रतृके हि प तिभा वुत तिक रमणी” (का॰ मी॰) । वामनसु शकिशबस पयोगः प तिभाप रयायतेन करो ति यथा- “कवितबीजं प तिभानम्” इता दिना आननव रधनममटादसु वामनमेवानुसरनः दशने । पत भिजाद रशनाचायेण आचा रयभिनवगुपपादेन कावशासस धनिः, सहदयः, साधारणीकरणम् इता दिपदानां वुत तिः सप तिभापकषेन वुता दितः । ततैव प तिभापसङेऽ पि गुपपादानां सैव गतिः । प तिभायाः यत् सरपं सं सृ तकावशासे अ भिनवगुपात् पू रवमासीत् ततु अ भिनवगुपेन सपत भिजाद रशनसंसारेण वासा यित मितितत शोधपतसा भिपायः । अस पभावसु दशते अ भिनवगुपपशाद तिकावशा सिषु ।

कि म न े अ भिनवगुपस परमालोचकः महिमाचायोऽपि प तिभां भगवतः तृतीयनेततेन प तिभां जाना ति यथा “सा हि चकभगु र वतः तृतीयिमितगीयते” इतािदना ।। कुनके ऽिप अिभनवसमकालीनतात ् काशीरदेशेभवताच दशते सनशासस पभावः । प तिभा विचारपसङेऽ पि सैव सषीभव ति । यथा- “क विप तिभा पौ ढिरेव पाधानेनाव ति षते” (वको॰ पृ॰ 13), “यत् िकं चनािप वैिचतं तत ् सवर पितभोदवमेव” (वको॰ पृ॰ 48), “के वलं सतामातणे पिरसु रता ं चैषा ं तथािवधः कोऽपितशयः पुनराधीयते” इता दिना भृशं विवेचय ति प तिभाततम् कु न क ा च ा रयः । आधु निककावशास विचारकः ह रिशंकरजो शिमहाभागसु प तिभामेवकावस जी विततेन सीकु रवनि सप तिभाद रशनाखे गने । पसुतेऽ सिन शोधपते वि च ा य र त े यत् प तिभायाः कावकारणतेन कीदशी अव सितिः ? अथ च अ भिनवगुपपादाचा रयपूरववतिप तिभायाः कावशासे अव सितिः तथा च अ भिनवगुपस पशाद तिकावशा सिषु प तिभापसङे आगमशासस पभावः अनच प तिभां जी विततेनाङीकु रवताम् आधु निकाचा रयाणां मतानाम पि समीका भविषति शोधपतेऽ सिन् ।

183 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

भोजोका वैशे षिकगुणावधारणा : एकमनुशीलनम् Sushil Kumari Maitreyi College (Delhi, India) वैशे षिकगुणाः भोजदषया ते दोषाः सनि , ये खलु दोषतेऽ पि प रिसितिविशेषे गुणतेन प रिणम नि। तदथा– " वैशे षिकासु ते नूनं दोषतेऽ पि हि ये गुणाः।" ( भोज, सरसतीकणाभरणम्) । दोषप रिहार अनौ चितप रिहारेणैव संभवती ति भोजमतम् । अतः पदवाक–वाका रथदोषाणां पकृतं गुणतम् औ चितेनैव सि ध ति । यद पि गुण विष यिणाम् अवधारणायां असां भोजस नावीनम पि शकतेऽवलोक यितुम्, तथा पि नैषा सरवातना नवीनैव तदीया । वसुतः वि षुधमोतरपुराणम्, भामहकृतः कावालंकारः, दणिकृतः कावाद रशः, वामनकृता कावालंकारसूतवृ तिः, रदटकृतः कावालंकारः, आननव रधनकृतो धनालोकः चेता दिषु भोजपाग तिष पि गनेषु कावदोषाणाम् अनिततं गुणतेन क चि त ् प रिणमन पि वा वि व े चि त ं पापते । भोजेन सपू रववती नि -पोक- विवेचना नि अवगाह संगृह च तानेव पकृते समतं पतपा दि , तथा पि सवैषामेव कावदोषाणां गुणतं सीकृत भोजोऽत विचारे सकीयं कम पि योगं पददा ति । तदनुसारम् एषा पि एका भि न ा गुणशेणी इमान् वैशे षिकान् गुणान् वि वण ृ ानो भोजोऽ भिदधा ति यत् अनुकरणादातना औ चि त ेन पदा दिगता दोषा एव गुणतमवाप वैशे षिकगुणशेणीमासादय नि । परम्, भोजोकेषु एषु दोषगुणेषु वि च ा य र म ा ण षे ु के ष ाि ञ देव गुणतं सि ध ति इतरेषां तु अधिकादधिकं दोषाभावतमेव । तथा पि वैशे षिकगुणान् वव सितावधारणातेन पतिपाद आचायोऽसौ एतेषामु चितं महिमानं शासपरमरायां स विशेषम् उपसापय ति ।

184 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

कावपकाशे सद:मुकेरदोष: Subhash Kuntal Jawaharlal Nehru University (Delhi, India) जीवनस िकमुदेशम?् वयं िकं कामयामहे? इमे पशा: न के वलं दाशरिनका अिपतु मनुषजीवनस जीवनपशा: सिन। एतेषा ं पशानाम् उतरा णि बहपाचीनकालात् पभृ ति गवेषने। वि श सि न ् एतेषां पशानाम् उतरा णि पाचीनतमेषु गनेषु सनि चेत् वेदेषु एव। सजानम् आतजानं वा एतेषां पशानाम् उतरम सि। आतजानाय वेदेषु अनेकेषां मा रगाणां नि द र रशन म सि येषु कावमागोऽ पि अनतम: मा: रग येन परं ततं पापते। कावस अनया एव विलकणतया भकानोलनम पि सरयते। ऋते कावमा रगाननुषस सद:मुक रथ न कोऽ पि मा रग इति काव विदाम् उदोष:। आचा रयममट: कावपकाशे कावपयोजनावकाशे कावस पयोजनं सद:मु किरिति कथयाञके। आचा रयभरतकुनकाभाम पि धरमसाधक: कावमा रग इति उकम् । वामन: अदषसाधक: कावमा रग इति बभाषे। परनु इयं सद:मु कि : का, कथं कावमा: रग सद:मुक रथ विलकणमा:, रग एतेषां सवेषां पशानामुतरा णि असिन् शोधपते वि च ा र यि ष त । े आचा रयममटेन असादेव कारणात् कावपकाशस चतुथोलासे कावमा: रग अलौ किकमा: रग इति वाखात:। असिन् अलौ किकतयुकौ तयाणां हेतूनां सापनं कृ त म ्। कावस अलौ किकतहेतु भिरेव जायते यत् भारते कावं न के व लं मनोरञनसाधनमिपतु सद:मुिकदायकमिस। िकं बहना कावरसस ु बहाननसहोदर इतुकम।् परं पाशाता: कावस अत अलौिककतहेतौ अनिभजा: एव दरीदशन।े पाशाताना ं िवदषु ाम ् आदशेन पटे ोमहोदयेन “objection on poetry” नि ब न े कावस अतिनिनाऽपि कृ त ा । तेन उकं यत् कावमागो न पशस: यतो हि कावं सतस पद रशकं न भव ति। कावम् अनुकरणस अनुकरणं भव ति अत: सतं न पद रशयितुं शकम् [Te arts deal with illusion or they are imitation of an imitation.Twice removed from reality.] अनेके पाशाता: अत न समता: परनु असमतौ ये हेतव: पद शिता: ते हेताभासा:। अत पेटोमहोदयस असिनाकेपे एवं पाशातानां असमतौ पदतेषु हेतुषु वि च ा र य दि : आषरपदितरत िकं विक इित िवचार: किरषत।े

185 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

A Note on Verse 1.16 of Kumārasambhava of Kālidāsa Vempaty Kutumba Sastry President, International Association of Sanskrit Studies (Delhi, India)

In the description of Himalaya in the Kumārasaṃbhava (1.16), Kālidāsa fancies an utprēkṣā added with atiśayōkti. In this there is a reference to rays of the Sun, which shoot upwards.

In this paper, an attempt is made to show an allusion to a Vedic passage which speaks clearly about particular rays of the Sun, which by nature shoot upwards. In the Taittirīyāraṇyaka 1.7 there is mention of seven Suns. Among these, the first one ārōga is the one whom we watch in the east every day. Among the rest of six, the first three take their path beneath the path to mahāmēru and their rays shoot downwards towards earth. Therefore, their rays are of the nature of heat, taptritapas. The rest three Suns take their path over and above the path to mahāmēru, and shoot their rays upwards and therefore, not of the nature of heat, ataptritapas. It is well known to the critics that Kālidāsa is fond of alluding to Vedic concepts and passages. This paper will throw light on many other related issues.

The verse has attracted the attention of Vedāntins. To state it in brief, there are two words meaning lotus, namely, sarōruhāṇi and padmāni. Among these, the word sarōruha is a yōgarūḍha word. In the case of use of yōgarūḍha words, rūḍhyartha should prevail over yōgārtha. But, in the present case, yōgārtha is held to prevail over rūḍhyartha. A discussion developed in the commentaries of Brahmasūtra 1.3.24-25 to adopt the principle as it is which made the way for fixing the valid criteria in all such cases. In the present paper, the instance will be examined thoroughly and a discussion will be made as to what causes such sublation of convention and how it suits Vedāntins.

186 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

Embodying the Divine: Sanskrit Drama and the Genesis of Hindu Iconography Natalia Lidova Russian Academy of Sciences (St. Petersburg, Russia)

The emergence and use of the first cult images in remains a relatively understudied phenomenon. It is extremely difficult to establish when, how, and in what ritual context anthropomorphic images of gods were introduced in the Ancient Indian culture. We can only acknowledge that Vedic religion was largely uniconic and made no real use of anthropomorphic images of gods in the ritual practices of Vedic yajña. It remains plausible that the images appeared rather late, i.e. in the post-Vedic period, when a new ritual pūjā was adopted and promoted in the Aryan milieu. As I have already shown elsewhere, the original and innovative element of pūjā-cult consisted in the scenic representations of myths assuming the form of religious drama which was used as a visual preaching tool in the ritual ceremony. In this paper, I will try to demonstrate that the affinity of image worship and theatre performance, as described in the Nāṭyaśāstra, is much more profound and variegated than has been hitherto acknowledged. In my view, individual iconographic features in the anthropomorphic representations of various deities derive from the ritual drama performances that actualized before the eyes of the viewer the world of gods, demons, heroes, and numerous supernatural beings. The nature of scenic performance made the differentiation in appearance of various characters an absolute prerogative, which led to the formation of a permanent set of individual features, including the elements of costume, make-up, and hairstyles. In this paper, I will try to substantiate a hypothesis that it was this scenic performance that laid the basis for anthropomorphic images of gods in early Hindu pantheon.

187 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

Bhavabhūti’s Nature Description and Its Characteristics in Uttararāmacarita Raj Kumar Mahajan Panjab University (Chandigarh, India)

Bhavabhūti is considered to be one of the greatest poets of nature. He has written three dramas: Uttararāmacarita, Mahāvīracarita and Mālatīmādhava, with the first being the best as far as the description of nature is concerned. In his dramas, he personifies the nature. The abstract and lifeless nature becomes alive. In fact, nature is in unison with characters. The elephant kid becomes Sītā’s own son. She relates herself with the forest fawna and flora. Sītā has great appreciation for peacocks and the deer of the jungle. There is originality, newness, and beauty in his vast and truthful descriptions of nature. His observation of nature is minute. He depicts the changing scenes of nature like a true scientific and botanical observer. He describes the crude and soft forms of nature with great equipoise and realistic equanimity.

The geographical position plays a great role in his depiction and also in his own personality. The picturesqueness of nature, its deep and crude forms are pulsating and pulverising. The descriptions of nature are influenced by the poet’s own geographical location of his birth place. The dense forests, mountains, streams and rivulets, the wild animals and beasts are vividly expressed in exuberant language at once graphic and vivid. Such descriptions can easily place this dramatist as next only to Vālmīki and Kālidāsa. In my research paper, I have cited many explicit quotes to place Bhavabhūti as a great poet of nature. His nature descriptions are sometimes the ambivalent expositions which still inspire and inculcate great approbation from one and all.

In his time, his plays were acted in the temple of Mahākāla and did not find any sort of positive response except Uttararāmacarita that ended his frustration and got the worthy approval of critics for its sentiments of pathos.

188 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

The Vikramāṅkābhudaya of Someśvara III: A Stylistic Analysis Rani Majumdar Aligarh Muslim University (Aligarh, UP, India)

Someśvara III, the western Cālukya monarch (r. 1126-1138 ce) is renowned for his Mānasollāsa, an encyclopedia of royal arts and pastimes, which includes culturally valuable sections on music, dance and painting on the one hand, and hunting, fishing and cookery on the other. But not so well known is his other work Vikramāṅkābhyudaya on the life of his father Vikramāditya VI, probably because the text is not completely available. It breaks off in the middle of the third chapter, after narrating the birth and the early life of Vikramāditya. Thus this text fragment does not offer any new historical material. Even so, the available text is important in three other respects. First, the work is a historical campū, where Someśvara emulates the style of Subandhu and Bāṇabhaṭṭa with long prose passages with double meaning, interspersed with figures of speech like upamā, virodhābhāsa, yathāsaṃkhya, parisaṃkhyā, and others. Second, the long, but conventional, description of the capital city of Kalyāṇa offers some glimpses of the cultural material of the period when it describes the rows of merchants’ houses and the objects of their trade like gems and hand-printed textiles, or when it enumerates a long list of professions cultivated by the inhabitants of the city. Third, the text displays some interesting parallels with the Mānasollāsa, in the description of the cooks and the ingredients they use in various preparations, in the narration of king Āhavamalla’s pleasures and pastimes, and especially in the detailed account of the elephant training (gajavāhyālī). The present paper will examine the text from the viewpoint of the three aspects mentioned above, in particular, it will analyze and compare the stylistic elements of Someśvara’s ornate prose, with those of Subandhu’s Vāsavadattā and Bāṇabhaṭṭa’s Kādambarī.

189 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

Similar to Him With the Lotus Navel: Transformation in the Āryāsaptaśatī Dolores Pizarro Minakakis Independent Scholar, Cambridge, MA (USA)

The Bengali poet Govardhana’s enormous 13th-century Sanskrit anthology, the Āryāsaptaśatī, is filled with examples of what we may consider mystical transformation, particularly in its lengthy introduction, a section of fifty-four verses. The catalyst of most of these changes, both physically and to a more metaphysical degree, is śṛṅgāra, eroticism, the dominant emotion of the overall text. Within the muktakas, various well-known divine figures – most commonly Śiva, Viṣṇu, and Lakṣmī – are reimagined in different scopes through the transformative effect of love and passion. This paper will engage with three different types of transformation evident in the Āryāsaptaśatī: the transformation of conventional divine tropes (AŚ 1.1, 1.10); of the deities themselves (AŚ 1.13, 1.19); and of the literal language of poetry (AŚ 1.31, 1.37), which changes to pay tribute to the classical works of Sanskrit poetry that Govardhana praises at length in a later part of this same introductory section. I will examine these various examples of change on the different levels on which they exist with regard to the greater context of the Āryāsaptaśatī, itself a “transformation” of sorts, a work that overtly claims to have unnaturally brought about the rasa of Prākrit gāthās to the Sanskrit literary tradition (AŚ 1.52). Through a close reading of these verses and their commentaries, and an examination of the poetic environment that Govardhana inhabited, I will attempt to show that transformation is at the crux of the text, especially as it reconciles itself as both a reinterpretation of the Māhārāṣṭrī Gāhāsattasaī and at the same time a major work in a Sanskritic canon that it itself strives to define.

190 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

Karuṇa Rasa in the Poetry of Kālidāsa and Bhavabhūti: Its Social Implication Divya Mishra University of Delhi (Delhi, India)

The school of Rasa is one of the major branches of Sanskrit literary criticism. It was propound by the great Bharatamuni himself. The paper will discuss Bharata’s theory of rasa and its types, with a focus on his discussion on karuṇa rasa and its nature. The question of how karuṇa is different from the variety of śṛngāra rasa named “vipralambha” will be further analyzed in the paper.

Kālidāsa has been the brightest star in the firmament of Indian poetry for the last two thousand years. He has been unanimously acclaimed as the greatest Sanskrit poet. His genius has been acknowledged, appreciated and admired by poets, critics and the literary public alike. Bhavabhūti is an equally well-acclaimed eighth-century poet noted for his great plays, which are considered equivalent to the works of Kālidāsa. Both poets have worked very well with karuṇa rasa. The paper focuses on how their elaboration of the same rasa differs from each other. In the context of Ajavilāpa (the Weeping of Aja) in Raghuvaṃśa, Kālidāsa has done a great portrayal of karuṇa, but by the end of it he says that all rests in the hands of destiny and God. Thus, shedding tears is not a vital solution for grief. One must try and move on in life. Whereas Bhavabhūti is quite different in his approach to karuṇa. He makes his characters weep for their loved ones and lament their decisions. The present paper will discuss all these points in detail.

191 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

लि ङ व च न स ा र स स म पु ेत ः सा हितशाससान भिको वैलकण विम रशः Narayan Dutt Mishra Jawaharlal Nehru University (Delhi, India) Hariram Mishra (Jawaharlal Nehru University) शबा रथसमनितं हि सा हितसरपम्। शबा रथश समु चितः परं वि न ा वाकरणाभुपगमं न कथम पि समवं सा हितसरपस समु चितोपसापन मिति तु वाकरणकोशापववहारा दिनैकसाधनानाम रथपखापने साहायभूतत निरपकं वचः पमाणम्। वसुतो वुततनाखाना दिसहकृतं वाकरणं शबाथौ तु सषय ति परं रस सितिभावादनुगुणं शबा रथसौषवा दिततानां समक् सुगुमफनम ् अलङारशासस िकं वा सािहतशाससैवाधीनतं पखापयित। यदिप “पथमे िह िवदासं ो वैयाकरणाः” इित पथितिः, यया वैयाकरणानां वाकरणस वा पाबलं सषतो भव ति सि द ं तथाऽ पि “शबा रथशासनजानमातेणैव न वेदते। वेदते स हि कावा रथततजैरेव के व ल म ॥् ” इतेतदचसा तावत् सा हितशासम रमजानामपदितीयतं सतो भव ति सषतरम्। वसुतशबा रथविनि रणयपकियापू रवकं विहितसाधारणवुतता दिषु सत पि लोकानुगुणमेव शबानां लि ङं वचन विनियमनञा पि शासकाराणां दषिपथं बहधाऽऽयातम् , तसाि लङं वचनञ इतुभयं लोकसमृकम पि सरवतः शाससंयुतं ततं वाकरणसा हितशासयोनैकद रशनसमदायरीतनुगुणं समानतनतं स विशेषं पखापय ति। यद पि पुं-सी-नपुंसक लिङभेदपरं लि ङं यो निमता दिहेतुमा शित पुंवञना दिरपतादेव पसिदं तथाऽ पि खटादावेतादशलौ किकसीता दिलिङसासमवेन सरवपदारथानरभूतं सतरजसमोगुणरपं प रिणामतै विधं साङ्खं शासीयञ लि ङं वैयाकरणैरङीकृतम्। एतादगुणप रिणामर पिलिङसरपस तु चना दिनायकेषु रा तिरपासु ना यिकासु च सङटनोपचारं सीकृत सा हितशासकारानुसारं लि ङ प द ा थ ः र ऊरी कियते। साधारणतसु लि ङ श ब ा थ ो लीना रथ गमयती ति शबवुततनुसारेण चि हातकोऽवगमनकारक इतेव। वसुतसु साङ्ख-नाय-मीमांसा-वेदान-पुराणा दिषु वि वि ध श ा स षे ु सुघ टितोऽयं शब विशेषो वि ष य -पसङा दिवै विधानुसारं नैका रथतं समावेशय ति। नैका रथततसमावेशनमेवं शबसास सीयवा पिवुततनुगुणं समगसा हितसान भिकमपे कित विवेचनमपपेकत एव। अपरत “वच प रिभाषणे” इत रथकादातो विनिषनस वचनशबसा रथः उचारणं कथनमातं सङ्खा वे ति प सिदम्। यद पि साङ्खं पिरसङ्खा चेित पददयं साङ्खमीमासं ाशासानुसारेण कथिञिनवतृ थतर ाद ् बिु दरपमथमर ेव पकटयित तथाऽिप नाया दिगनानुसारेण गुणाखपदा रथानःप रिग णिता सङ्खैकता दिववहाररपैवोका। एवं वचनशबसु सङ्खाऽ रथक एवा धिकः प सिदः, स चा रथः सा हितशासकारैर पि तथैवा भिपेतः। एवं सा हितशासानुसा रिलिङपदा रथानुगुणमथ च पिसदवचनततानुिदशं रसािभवञनालङार-भावसौषवािदपसङेषु यद ् वैलकणं समायाित तदीयं विवेचनमनयोसतयोशासपरमाहातं पखाप सा हित सिदाना दिवै शिषप तिपादनसरणामुपे कितपाययोि लङवचनयोः सारससमुपसापनं सं सृ तसा हिताधयन दि शि नूनमेव काथि ञता दितीयतवहनकमतासंयुतम्। सभावेन सुकुमाराणां सौन रयपे मिणां कवीनां शबा रथगतं लि ङ त त व ै शि ष म ा शि त - तटी तारं ताम ति , इतत “सी ति नामा पि मधुरम्”, “कु ल रतं मै थिली तस दाराः”, “भकिपह वि ल ो क न प ण यि न ी ….”, “बनीकृत नृप! मृगदशसाः”, “सुधेव वि म ल श न ः ”, “मुखञन इवाभा ति ” इता दिविविधोदाहरणघटकैः पदैः कमशोऽवापानां माधु रय-वको कि -शेष- भावा भिवञनोपमादोषहाना दिसमदानामसङानामथ च विशिषसङ्खासमृकशोककावपणयनम्, नाटकपाताणाम् “असदव् योश” इतेतितदानानुसारं बहधा च समादराथऽे िप िदतबहतपयोगावािपिरतेवं सरपसमिनतस सा हितगतवचनततवै शिषस - “वके भुवाव तितराम्”, “भकिपह वि ल ो क न प ण यि न ी …”, “नकारोऽयमेव मे यदरयः”, “जोता इव सि त ा की तिः” “ततः सना पितानां दशाम्…” इतेतै विविधैरदाहरणघटकै विशिषवचनसंयुतैः पदैः कमशो वको कि -शेष-रसा भिवञनोपमाऽलङारदोष-पकमभङदोषानि हतवचनदोषा दिसमदानामसङानां कावपकाश-धनालोक- सा हितद रपण-शङारपकाशा दिलकणगनानुगुणम् अथ वि वि ध प ुर ा त न ा द त न ल क-गनानु दिशं सािहतशाससमतमेतिलङवचनसारसिनरपणं सकलशोधपतस साद ् िवषयवससु वरसम।् एवं शासानरेषु पसिदलिङवचनसरपस सा हितशाससीकृतं सरपं तदाधृतं वि वि ध प य ो ग -वै शिषञ कथ मिव सहदयहदयाहादकमतामजत इतेततथं समुपसाप यितुं वाकरणशास विनिगमनसहकृतं गूढानेषणपू रवकञ लि ङ व च न स ा र स स म ुप ेत ं सा हितशाससान भिकं तततमदोदाहरणपुरसरं वैलकणप तिपादनमसोषमाणशोधपतदारा पय तिषते।

192 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

Kālidāsa: An Orphan without Any Offspring Pankaj Kumar Mishra University of Delhi (Delhi, India)

This paper deals with the undiscovered lineage of Kālidāsa, whose status as a great poet in the world literature is unquestioned. His literature attained a remarkable flexibility, becoming an instrument capable of sounding many moods and nuances of feeling. The theme of his literature is limpid and flowing, musical and cultured by the verbal virtuosities. Yet, we do not possess any authentic information about his life. All that we are left with are a few legends. He had drawn a veil of silence round himself so complete that even his real name is unknown to posterity. I personally feel through the internal sources provided by the poet that he was motherless sans offspring. It can be better understood through the following references: The great poet had tried to indicate motherhood in his women characters. But these mothers could never enjoy motherly affection with their sons. Rather, governesses are given more importance. It seems that the poet was also nourished by the governess only and he never felt the warmth of mother. While describing Sarayū, he is outlining a clear picture of a governess instead of mother (Raghuvaṃśam.13/62, 63). It can easily be established that the great poet didn’t have any offspring. Every major character of the poet carries his life in childless misery. These characters are always indulged in a day-dream where their sons shower childlike affections upon them. Looking at Sarvadamana and the agony of Duşyanta, it felt like it was the agony of the poet himself- सृहयािम खलु दलु रिलतायास ै आलकदनमुकुलान निमितहासैरवकव रणरमणीयवचःपवृतीन् । अङाशयपण यिनसनयान् वहनो धनासदङरजसा म लिनीभव नि ।। (Śākuntala 7.17).

On the basis of some internal and external sources, I would like to reveal this untouched reality and some more facts about the great poet Kālidāsa. I hope it will give a new insight to the academic world to understand the poet and his poetic sense.

193 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

Historical Kāvyas on Sant Tyāgarāja V. Mohan C.P. Ramaswami Aiyar Institute of Indological Research (Chennai, TN, India)

Two works in Sanskrit on the life and history of Sant Tyāgarāja, a famous musical composer in the carnatik tradition, are Śrīmat-tyāgarāja–vijayam of Harikesanallur Muthaiah Bhagavatar and Śrī- tyāgarāja-carita of T. S. Sundaresa Sarma. Both the works are authentic chronicles on the life and history of Tyāgarāja. The Tyāgarāja-carita contains 1756 ślokas in fifteen sargas and the Śrīmat- tyāgarāja–vijayam has 487 ślokas in seven cantos. Besides giving the biography of Tyāgarāja, the works detail his unswerving devotion on his iṣṭadevatā Śrī Rāma. Though most of the songs glorify Rāma, Tyāgarāja composed songs on other deities as well. The works are being enriched with interesting incidents and anecdotes on Tyāgarāja. In kaliyuga, bhakti yoga is more suitable and convenient to the spiritual seekers than karma and jñāna mārgas. Tyāgarāja is an illustrious example to prove the point. The works are important records of a saintly author who opened the hearts of people to Bhakti mārga through his enchanting Kṛtis. The paper is aimed to throw light on the contents of the works on Śrī Tyāgarāja in the annals of historical literature in Sanskrit.

194 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

Computer-Aided Study of Rhythm in Akṣaragaṇa Based Metres: An Exploration in Sanskrit Prosody G. S. Srinivasa Murthy Independent Scholar, India

This paper attempts to explore the characteristic rhythm associated with an akṣaragaṇa-based metre using two different approaches. The first approach analyzes the characteristic binary string of the metre for any natural beats that occur while reciting the verse. Through a computer program, this analysis has been carried out for an extensive set of metres. It indicates that every metre exhibits a beat often with an internal recurring structure. Some metres exhibit more than one beat. Details are presented in a table. The second approach is heuristic and is based on a set of 8 3-syllable sequences and 4 2-syllable sequences. Based on the characteristics of about 30 popular metres, a table is prepared to indicate which syllable sequences occur immediately after a given syllable sequence. This Adjacency Table captures the essence of rhythm in these metres facilitating the construction of new metres. There is scope for further research.

195 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

आचा रयाभिनवगुपस सौन रयशासीयसिदानानां शासीयसन रभाः Ramesh Chandra Nailwal Jawaharlal Nehru University (Delhi, India) आचा रयाभिनवगुपः कशीरी दा रशनिकः, रहसवेता, कावशासी, सौन रयशासी च । अनेन यसिन् कसिनपि शासे यति मपि उकं तत रव अदाव धिप रयनं पमाणरपेण सवैः सी कियते । एते समनयवादी आचा रयाः सनि , तेनैव सयमेवोकं यत् − यदि बिु दः उनित ं पापोित, सतं सषतया पशित चेत्, ततवर पूवाचर ायैः िनिमतिचननस सोपानपरमरैव । तथा मया अनाचायाणार ं सिदानेषु कु त ा पि दोषद रशनं न कृ त म पि त ु तेषां मतानां परि षारमेव पद शितम् । तेन सगनेषु नवशताचा रयाणां मता नि उलि खि त ा ि न । सकावशासीयगनेषु वेद-आगम-शास-दरशन-लोकानुभव-सानुभवा दिविविधसोतेभः स सिदानाः पमाणीकृताः । यद पि शैवाचा रयतेन शैवद रशनप तिपा दित सिदानानां पभावा धिकं परिलकते, तथा पि वि वि ध स ल ेष ु लोकानुभवस सानुभवस चा पि पमाणतं सीकृतम् । मतेऽस रसानुभव सिदानः शैव सिदाने शकिततेन पतिपादितम् । तेषां मते साररपे रसानुभू तिः नि रबाधचेतनसा यिभावेभः भि न ा न । सा नि ा धरब च ेत न ा न चमतार भिना । चमतारः सने लीनयुकः पमातुः किया विशेषः, सनश भोगः । अस मते रसानुभवे ससंवेदनमेव आननघनमासादते । तेन रसानुभवेः अनुभव-ऐनिय-भावातक- साधारणीकरण- वि श ा त ी त ा द य ः पञावसाः सीकृताः। एते दा रशनिकाः अपासन्, येन अनेन रसततं परमततेन सा पितम् । मतेऽस रसा भिवकौ रसप कियायां नवनवोनेषशा लिनीप तिभायाः महतै शिषं वरतते, या दा रशनिकदषा पराशकेः अनुतरस च सरपं वरतते । रसप कियायां आभासवाद-सातनतवादश सषपभावः परिलकते । तेषां मते रसानुभू तिः बाधर हितायां चेतनायां न सा यिभावेभः भि न ा । (वीत वि घपती तिगाहो भाव एव रसः।) सा नि ा धरब च ेत न ा न चमतार भिना । तथैव शैवद रशने पतिपादितानां सप विधपमातॄणामाधारेण रसानुभवस सपरस वि घाः चचि ता । रससूतसाऽस पमा णिकी वाखाऽ पि शैवद रशनाधा रिता । तेन सौन रयसिदानानामवबोधाय शैवा दिद रशनेभः चमतार-कला-पखा-उपाखा-सन-भोग-अपू रव- पत भिजा-च रवणा-रसना-पमातृ-सहदय-आनन-वासना-चमतार- वि म ा दरश य ः शबाः योि जताः । अतः भारतीयकावसा हितस समगजानाय समनयदषा शोधका रयमावशकम् ।

196 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

Tibetan Commentators on the Kāvyādarśa 1.43–44: A Definition and Illustration of Śliṣṭa Hiroshi Nemoto Hiroshima University

Daṇḍin distinguishes two different compositional styles of Vaidarbhī and Gauḍī in the first chapter of the Kāvyādarśa, where he enumerates ten qualities (guṇa) of Vaidarbhī, such as śliṣṭa (“tightness”) and so forth, which are contrasted with those of Gauḍī. Daṇḍin’s view of compositional styles is understood in various ways by Tibetan commentators on the basis of at least three different versions of the Tibetan Kāvyādarśa. This paper focuses on the Tibetan conmmentators’ interpretations of śliṣṭa, which is defined and illustrated in the Kāvyādarśa 1.43–44, and explores the problem that arises in the process of Tibetan assimilation of Sanskrit poetic theory. The following points are to be discussed: (a) Shong ston lo tsā ba’s (13th cent.) translation of the Kāvyādarśa 1.43, and Dpang lo tsā ba’s (1276–1342) revision of the former’s translation. (b) ’Jam dbyangs kha che’s (14th cent.) understanding of śliṣṭa based on Dpang lo tsā ba’s translation, and Bod mkhas pa’s (1618–1685) criticism of it. (c) Si tu paṇ chen’s (1699/1700–1774) revised translation of the Kāvyādarśa 1.43. (d) Khams sprul bstan ’dzin chos kyi nyi ma’s (1730–1779/1780) arguments raised against Bod mkhas pa’s understanding of śliṣṭa.

197 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

यासीयतेन खा पितस कावालङारसूतगनस सं सृषलङार निरपणे वामना भिमतमानताया समुचेदः Bhartendu Pandey University of Delhi (Delhi, India) कावालङारसूतगनो मूलतो वामनक रतृतेनैव वि श े वि श यू त । े परम्, असैव गनस इतरोSपेकः सूतपाठः पापते, यत का निचन पूणतोर नवीनािन अनािन च कािनिचद ् अंशतः पिरविततािन सूतािण िवहाय वामनीयाद ् गनान ् न िकमिप वैिशषमवलोकते। एवम् अंशेन परिवति तो गनोSयमेव यासकृततेन उदोषते। न के व ल म ् एतावत् - पतुत तथातेन मनमानेS पि , काणे-सदशैः संसृतकावशासिवदिदरपिवजाततयाSनालोिचते, अदािप के षुिचद ् गुरकुलेषु कावशासीयगनतने पाठमाने चापिसन ् अ खिलाननश रमणा पणीतं वै दिकभाषम् तथा मेधावतेन वि हि त ा व ति म ङ ल ा व ृ ति श े ति वाखानदयम पि गनस यासीयतासम रथनबहमुखरं नि ब द ं पका शितं चोपलभते। कावालङारसूतगनस अिसन ् दसु ंसरणे संसृषलङारिनरपणमिस तादशोSनतमो अंशो यत संसृिषसमिनना वामनीयाया वि शि ष म ा न त ा य ा ः पू रणतया समुचेदो दशते। तथा हि वामनक रतृके कावालङारसूते संसृषलङार निरपणपरा णि या नि चता रि सूताणुपलभने, तत “अलङारसालङारयो नितं संसृ षिः” इतनेना दिमेन सूतेण गनकारो नि ज प ूव वर ि त भां भामहद णिभां िविशषमेव वतानर ुवतमर ानः संसिृ ष ं सामानतो लकयित। तदनु स ितिभः सूतःै ‘उपमारपकम’् ‘उतके ावयवः’ चेित तसा भेददयं िनिदशित। भेददयेSपिसन ् तदीया संसृषलङारसमिननी सामानमानता अकणु ा एव ितषित परन,ु यासीयतने खािपते कावालङारसूतसा सिन् पू रवसङके तिते सं सरणे संसृषेः सामानलकणम् “अलङारसालङारयो गितं संसृ षिः” इताकारकं निभालते । एतेन सषं भवित यद ् उकसंसरणस िवधाता संसृषलङारेSलङाराणा ं योिगतं नाम दयोबहर ना ं वालङाराणामेकत िसितमेव संसृिष ं मनुते न तु अलङाराणा ं हेतुहेतुमदावरपं समनं वामनािभमतम।् एषैव दिषसदीया संसृषभे ेददयसािप तदाखानपदतयोरदाहरणयोर पि पापते। एतेन सषीभव ति यत् यासीयतेन खा पितस न के व ल म ् कावालङारसूतगनस अपितु तदीयवाखानयोर पि संसृषलङारसम निनी मानता तदीयाया वामनीयमानतायाः पू रणतया उचे दिनी ति ।

198 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

Music of India and Arabia - Interactions and Influences: A Study Based on Paṇḍarīka Viṭṭhala’s Rāgamālā, Rāgamañjarī and Ṣaḍrāgacandrodayaḥ from the 16th Century Deepak Paramashivan University of Alberta (Edmonton, AB, Canada)

The current presentation sketches the historical impact and mutual interactions between the music of the Middle-eastern region and Indian classical music. The music of Indian and Middle-eastern cultures can be studied in two stages: 1. Pre-Islamic music, and 2. Post-Islamic music. Islamic rule lasted for over six hundred years in India during which it decisively modified the trajectory for the future of Indian classical music, which was already at the cusp of transformation and ready for a complete metamorphosis. The main focus of the current work is to study the influence of the music of Arabia on North Indian classical music and the equivalence of the two systems of music in the Post- Islamic period—that is, during the peak of Mughal rule in India. The work borrows profusely from 16th-century treatises on Indian classical music, namely the Rāgamālā, Rāgamañjarī, and Ṣaḍrāgacandrodaya of Paṇdarīka Viṭṭhala, who was a court musicologist under the royal patronage of the Mughal king Akbar. The original Sanskrit verses from the Rāgaparivāroddeśaḥ chapter, along with their translations, will be discussed to explore how the maqāms, pardās, and gūshās of Middle- eastern music (namely, Yemen, Dhannāsi, Ujjhāla, Bākarej) influenced the rāgas of North Indian Classical music (Hindūstāni śāstrīya sangītaṃ). The equivalents of the rāgas mentioned in the treatises in the present day performance practice—as well as their counterparts in the Middle-eastern music system—will be presented. The presentation will be supplemented with multimedia audio and video examples of live performances of the rāgas and maqāms.

199 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

Ratnākara’s Haravijaya: Critical Edition, Including Commentaries, and Annotated Translation Peter Pasedach University of Hamburg (Hamburg, Germany)

The Haravijaya, composed by 9th century Kashmiri poet Ratnākara, is the largest surviving Mahākāvya. It is not only an important milestone in the development of its own genre, but, together with its commentaries, it also bears important testimony to a wide range of śāstric knowledge, as for example in Spring’s long hymn to Śiva in canto six. In it, the deity is praised as the true nature of highest reality according to the diverse teachings of all major religious and philosophical traditions of the time, alluding to and paraphrasing their authoritative texts, testifying as it does to what a learned author in Kashmir in the 9th century knew and presumably expected his likewise learned audience to understand. Extensive manuscript material, unavailable to the editors of its 1890 editio princeps, including Alaka’s commentary, in the Kāvyamālā series, is now at hand, such as a 12th century palm leaf manuscript from Jaisalmer, and manuscripts of commentaries by Utpala and Ratnakaṇṭha, the commentaries many times providing the key to the understanding of this challenging poem. By supplying citations from the many texts alluded to in it, they are important textual witnesses in their own right. So far, cantos one, two and six have been critically edited, including the commentaries, and a richly annotated translation has been prepared of them. The text of the poem and the commentarial situation could be improved substantially, particularly where, as for a major portion of the sixth canto, Alaka’s commentary does not survive. Cantos three to five and seven to fifty are awaiting revision, and a more thorough manuscript search, particularly for Utpala’s commentary, appears to be a promising endeavour. Considering the magnitude of the task, and the recent advances in the field of digital humanities, an approach of collaborative editing is advised.

200 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

भासनाटकचके सि त ा न ा ं वाचोयुकीनां समीका सक लितैरदाहरणैसासां पसु तिश Ramakrishna Pejathaya Chinmaya Vishwavidyapeeth (Veliyanad, KL, India)

संसृतं समित महता पमाणेन ववहारे वततर े चेदिप तदीयं सहजं सौनयर सुदलु रभमेव । तत ् सहजसौनयर तदैव िसदित यदा लोकः सपकृता शितया संसृतभाषया ववहरेत्। सहजं सं सृ तं चि र ा दवहारपथाचचुत मिति कृ त ा तदासादनं न सुकरम् । तथापसां दि शि कृ त प व ृ ति क ा नैके कवयः सूरयश नाना विधान् सं सृ तसहजान् पयोग विशेषान् ववहारपथम् आनैषुः आनयनः सनि च । तेषु पयोग विशेषेषु अनतमाः सनि वाचोयुकयः(Idioms)। भाषायाः सौन रयपापकेषु अंशेषु एतासाम पि वि द त े वि शि ष ं सानम्। सानेपयुका वाचोयुकयः वि व कि त म ् अरथम् वि भि न न े वरतना अवगमय नि , तदाकं कमनीयेन सौन रयसारेण सं योजय नि च।तसात रवासपि भाषासु नैका वाचोयुकयो ववहारे नि त ा न ं वि ल स नि । स ं स ृ त े तावता बहनाम् अप रिचिता एव सनीततो ववहारे न मनाग पिगोचरीभव नि। िशषजुषस वागववहारस िवशिथतताद ् िवषयेऽिसन ् सामतं पाञ एव पयोगाः शरणं नः । िविशषािसन ् िवषये रपके षु कथासा हिते च कू ल ङ ष म ् अनेषणं समु चितम्, यतः समाषणपधानेषु तेषु ववहार सिताः पयोगाः सम धिका भव नि । कि ञ तदिदं करतु न पारय ति सवोऽ पि । तथा पि यदि कशि देतान् अनिष सङलय च पददात् तहि तदि दकवः तानुपयुज वाका नि पयुयुकवश सनि परःशता भाषापण यिनः । िवषयेऽिसन ् िचरात ् सकिचतने अनुसनानरतेन च मया भासनाटकचके िसताः वाचोयकु यः शोधपतऽे िसन ् पतुषूिषताः । इह न के व लं ततता वाचोयुकयः, पतुत वि शि ष त य ा कृ त ा ः सवेऽ पि पयोगाः सङ लिता भव नि । तेषां शबानां वाकानां वा मूला रथः, अरथविसरपकिया, अ रथविशेषः, पयोगसाना नि चैतऽशाःे सयु किकं वि च ा य र न े । साम तिकेषु पसङेषु तेषां पयोगाणां सानान पि सबुदा पकलप निवेशने । तिददम ् अधयनं तततृितकाले भाषायाः सहजा ं रीित ं तत कमेण सञातािन पिरवतनर ािन च पकाशयित, यद ् भाषेितहासकते े संशोधनपराणां भवेनहत उपकाराय । इदमपस शोधपतस सात् पयोजनम् । अपि च, अनेन भासस शैली अपि बहतमं नि ा तरज ा भविषति । इदं शैली नि रजानं भासकृतीनां वि ष य े सामतं विदमानेषु के ष ुच न वि ष य ेष ु कञन नि रणयम् आसाद यितुम पि िकमिप साहायं जनयेद ् इित िवशिसिम ।

201 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

Muḍḍupa Cāris and Their Link with the Footwork Technique of Bharatanāṭyam Parimal Phadke Savitribai Phule Pune University (Pune, MH, India)

Bharata in his Nāṭyaśastra mentions Cāris which signify combined movements of thigh, shank, and foot . These get divided into Bhumī ( Parallel to the ground) and Akaśiki ( Aerial).They were primarily used in forming Manḍalas ( chain of cāris) used to depict action sequences in a play. These Cāris are also used as components in Karaṇas or total body movements. On a conceptual level Bharatanāṭyam follows the technique of Ᾱngika as given in Bharata’s Nātyaśāstra. The concept of Karaṇa gets reflected in the concept of Aḍavu of Bharatanāṭyam. The concept of Angahāra (garland of Karaṇas) gets reflected in the concept of Korvai ( chain of aḍavus). However, barring a few Bhumi Cāris in the Nāṭyaśāstra, none of the cāris stress on stamping of the feet, which is a characteristic feature of Bharatanāṭyam.

So, when did the concept of footwork change from movements parallel to and against the ground to primarily stamping the feet? The answer lies in the medieval texts—Sangītratnākara of Śārangdeva and Nṛtyādhyaya of Aśokamalla. My paper compares the technique of footwork of Bharatanāṭyam with the Muḍḍupa Cāris and helps us to understand the similarities between both. The paper would establish the missing link between Cāris of Bharata and the present footwork technique of Bharatanāṭyam.

202 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

Mahimabhaṭṭa’s Epistemology: The History and Significance of the Threefold Pramāṇas C. Rajendran University of Calicut (Thenjipalam, KL, India)

Mahimabhaṭṭa has the unique distinction of being the only poetician who has discussed epistemological questions like the means of valid knowledge accepted in poetry. Arguing that the relationship between the expressed and unexpressed elements is that of the probans and the probandum, he proceeds to show that their invariable concomitance is grasped with the help of pramāṇas. In this connection, he mentions three such pramāṇas, viz, Loka, Veda and Addhyātma, reinforcing his argument with a passage from the citrābhinaya chapter of Nāṭyaśāstra which he quotes anonymously. The present paper proposes to probe into the nature and implications of the threefold pramāṇas in Mahimabhaṭṭa’s cognitive theory. It will also enquire if Mahimabhaṭṭa could be justified in his own interpretation of the pramāṇas in the larger perspective of the Nāṭyaśāstra or if he was using it merely in an eclectic way. There seems to be some difference in the nature and implications of these epistemological concepts in Mahimabhaṭṭa and Nāṭyaśāstra. In Mahimabhaṭṭa’s concept, Loka, Veda and Addhyātma correspond to oral knowledge, textualised knowledge and direct experience respectively, but as explained by Abhinavagupta, they stand for the world, the four revealed scriptures, and intuitive knowledge respectively in Nāṭyaśāstra . If they are taken as a prerequisite for the understanding of implicit relations in poetry and drama, it will amount to the presupposition of the knowledge of many extra- literary ideas on the part of the connoisseur to make sense of a text or performance. But in Nāṭyaśāstra, the pramāṇas are merely frames of references for particular types of acting (citrābhinaya) and they do not possess much epistemological significance. All this would lead us to conclude that Mahimabhaṭṭa had a totally different approach to Nāṭyaśāstra. It is possible that he was influenced by the epistemology and interpretation of earlier commentators like Śrīśankuka.

203 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

The Concept of Sahṛdaya: A Synthesis of Abhinavagupta’s Philosophy and Aesthetics Shikha Rajpurohit Jawaharlal Nehru University (Delhi, India)

The field of Sanskrit poetics has a great number of critics and philosophers contributing to the creation of poetic theory. Abhinavagupta is considered to be a key figure in this field, with his two commentaries: the Abhinavabhāratī on the Nāṭyaśāstra, and the Locana on the Dhvanyāloka. His intellect was such that he studied and refined concepts which were present before him and gave a lot of novel theories which are thriving even today. This paper will attempt to understand what Abhinavagupta calls the “sahṛdaya.” Additionally, Sanskrit literary criticism has developed with a strong background connected to philosophy. Many literary concepts draw their significance from various philosophical systems. Abhinavagupta is a Śaiva philosopher and the concept of sahṛdaya has an evident connection with the Monistic Śaiva philosophy of Kashmir–an element called “hṛdaya.”

This paper is an attempt to trace a connection between the philosophic hṛdaya and the poetic sa- hṛdaya. It will evaluate the psychology of a sahṛdaya while experiencing the meaning of poetry ‘rasāsvāda’. The paper tries to look at the difference between the audience of a theatre and the listener of poetry; according to Abhinavagupta. It will also look at the relation between the poet, the literary text and its reader. Abhinavagupta is the finest portrayer of a reader’s perspective in Sanskrit poetics. He is the first to define sahṛdaya, a term which was in use much before his time; and has given it a strong philosophical ground. The paper discusses one of the major elements of poetry i.e. the reader, sahṛdaya and attempts to analyse its roots in a profound system of philosophy.

204 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

Poetry and the Play of the Goddess in Jayaratha’s Alaṃkāravimarśinī James Reich Pace University (New York, NY, USA)

This paper examines the theology of the opening section of Jayaratha’s Alaṃkāravimarśinī, a commentary on Ruyyaka’s Alaṃkārasarvasva. I argue that in this section, Jayaratha expands and deepens a striking aspect of the Śaiva engagement with poetics in Kashmir, one which until then had mostly been only implied by the tradition, not explicitly described. The Alaṃkārasarvasva, a text on tropology, begins with an invocation of the goddess Parāvāc, “Highest Speech.” Jayaratha, in his commentary, takes this opportunity to present an unusually extended gloss on the nature of this goddess, her name, and her relationship with the famous “levels of speech.” In this paper I will show that Jayaratha modeled these comments on the opening section of Abhinavagupta’s Parātrīṃśikāvivaraṇa. Furthermore, I will show that he does this in order to perform a specific intellectual task. The Parātrīṃśikāvivaraṇa was a text about the way in which Śiva’s divine unity divides itself up into duality order to then blissfully interact with itself. This idea is closely related to the theory of the levels of speech, which describes a similar process in the realm of semantics, the two realms being bridged by a “goddess” who is at the same time “speech.” Jayaratha, in connecting a text on poetry to this particular Tantric text, is therefore setting the basis for justifying and explaining a radical idea, one which Abhinavagupta had mentioned once, briefly, but had never elaborated on: the idea that poet and reader are ultimately both the emanations of a single, unified poetic bliss, which divides itself into poet and reader in order to enjoy itself, just as Śiva does. This idea is a step beyond what Abhinavagupta normally presents as the connection between theology and poetics, though it was always logically implicit within his work.

205 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

The Tragic in Kāvya Bihani Sarkar University of Oxford

This talk shall assess elegies (vilāpas) and tragic transitions (vimarṣasaṃdhis) in Sanskrit poetry and drama, and thereby explore the role and depiction of tragedy and grief in classical Indian culture– the focus of the book. The prevalent assumption within secondary scholarship on Indian literature regards such the majority of kāvya works to be “happy” and by implication lacking in gravitas. However, in critical moments, characters in literature do in fact undergo profound suffering. As in the epics, the Mahābhārata and the Rāmāyaṇa, cruel Destiny—an uncontrollable entity that causes Tragedy– acts unfairly on the most well-meaning and virtuous of characters in kāvya, forcing them to confront not only themselves and the past but also the leveling truths of death, spiritual emptiness and the meaninglessness of transmigratory life. By looking at specific examples, the talk will establish that, rather than being a secondary concern of Sanskrit literature, the elaboration of human suffering was of primary interest to composers of Sanskrit literature particularly within a larger conception of Beauty—which itself is linked to the Divine. The experience of Beauty was held by the classical Indians to be a metaphysical experience, leading to profound awareness of Being and even Ultimate Truth.

206 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

भारतीवृ तिविम रशः Aruna Sharma Kurukshetra University (Kurukshetra, HR, India)

विृ तशबो वापाराथकर ाद ् वतधृ ातोः भावाथ े िकनतययोगात ् वुतदते। वतनर ं वापारो वेित तदथः।र कावशासीयसनभे कावपयोजनभूतस पुरषा रथचतुषयस साधकभूतो वापार एव वृ तिरितुप दिशते। धनिकार आननव रधनसु “ववहारो वृ तिरितुचते” इति पतिपादयन् कावनाटका दिकलासु कि य म ा ण ं लोकवृतानुकरणातकं वापारं वृ तिपदेन ववहर ति। आचायरिशगं भूपालमनुसृत नाटसमिननोऽिभनयस रसभावसमदो वापारो विृ तपदवाचो जेयः। नाटोपकारकतादेव आचाया र एता वतीृ ः नाटमातृका इताहः। एतदूपा सवरवािपनो वतयृ ो नायकस पातस च वैिचतपूणा र काियक-वािचक- मान सिक-चेषा वापारान् वा ससरपे गता रथयनि। ताश वृतयशतु विधा भव नि -भारती, सातती, कै शि क ी , आरभटी इति भेदात्। एतासु वृ तिषु भारती नाम वृ तिः सं सृ तमयी, वाकधाना, पुरषपयोजा, सीवि जता, भरतपयुका इति कथते। भव नि चासाशता रि अंगा नि -परोचना-वीथी-पहसनामुखभेदात्। आमुखस पसावने ति नामानरं वप दिशते। धनञय- वि श न ा थ प भ ृत य आचा रयाः पसावनायाः कथोदात-पव रतक-पयोग तिशयरपा णि ती णि अङा नि अतिरि च वीथाः कथोदातकादी नि तयोदश अंगा नि चा पि पसावनाया एव अङतेन प रिगणय नि। नाटशासस पणेता आचा रयभरतसु पसावनायाः पञैव अङा नि प रयगणयत्। स हि उकेषु ति ष ु अङेषु वीथा उदातक-अवल गितनामकम् अङदयं नि य ो ज पसावनायाः पञैव अङा नि इताह। आचा रया वीथाः तयोदश अङा नि नि र प येषु उदाहरणेषु ता नि सं गमय नि तेषु उदातम् अवलिगतं च िवहाय अविशषािन उदाहरणािन ततदूपकाणा ं पसावनासु नैव उपलभन,े पतुत रपकाणाम ् आगािमषु अङेषु यत तत सं गचने । एवं सि त े तेषां पसावनाया अंगतं नैव सि ध ति । पकानरे च वीथी पहसनं च उभे अपि रपकभेदौ सः, तयोः भारतीवृतेरंगतकथनं नैव समीचीनं प तिभा ति। अतएव इयं धारणा पुनः समीकणमपेकते। एतान् सरवान् पकान् आधारीकृत भारतीवृ तिविषयकः समीकातको वि म रश एव पसुतस शोधपतस वि ष य व स ु इति शम्।

207 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

मेघदूतीयकथानकसोतोिवमशे नवीनोदावना Shrikrishna Sharma Kurukshetra University (Kurukshetra, HR, India)

महाकिवकािलदासपणीतस मेघदूतस समजा िवशिवशताु िवदते। अस खणकावस कावममरजसंवेदा रसपवणता अथ च मनोरमं कथानकं सहदयसमवाये सुतराममृतायते। अस कावस इतिवृते योऽपसाधारणशमतारोऽनुभूयते तेन चमतृताः कावशासततजाः पितसमयमेतदेव िवचारयिन यदस मनोगािहणः कावस इितवतसृ िकं सोतः साद ् इित। मेघदूतीयकथानकसोतोिवचारणाया ं मेघदूतस पखातषीकाकारो मिलनाथः (1200 ई.), दिकणावतनर ाथः (1200 ई.), पू रणसरसतीमहोदयः (1400-1500 ई.), जगदरः (1400 ई.), ध रमगुप (1400 ई.) इतादयो मनी षिणोऽ पि सु निपुणं वि च ा र ं चकुः। आधु निकेषु वि द त ु एम. कृ षमाचा रय - एम.आर. काले, एन.पी. उनी, पारदशानः समीकका अपि स-समता नि पाकटयन्। एतेषा ं समेषा ं मताना ं समीकणं मनिस िनधाय इदमेव तथं बिु दपथमिधरोहित यद ् एतािन मतािन मुखतया हेतुदयमेव मूलकारणतने संशयन-े शापघटनं दौतकमर चेित। वसततु िवचारणाया ं तु तथिमदं सु रित यत ् शापघटनं तु मेघदूतगत- कथानकस पृषभूिममातं िवदते। अनेन मेघदूतस कथाया ं न कोऽिप चमतारिवशेषः समुतादते। दूतमुखेन सनेशसमषे णमिप अनदूतघटनावत ् सामानमेव पतीयते। मेघदूतगतस चरमशमतारस ु असामुदावनाया ं िनधीयते यद ् अचेतनं पदाथ र मेघं दूतकमरिण िनयोज कावस नायके न यकणे सनेशः समषे ते इित। अत एव अिसन ् िवचारण इदं धातवं वततर े यत ् धूमजो तिःस लिलमरतां सं निपातम् अचेतन- पि ण ं मेघं परोपका रि पातं परिकलप सनेश-समेषणस पेरणा कु त ः सम धिगता महाक विना का लिदासेन इति। तथािवधाया ं िविविदषाया ं सता ं पसमू ाने शोधपत इयं समावना समुपसापते यद ् महाभारतीये शािनपवरिण समुलबो मेघिवषयकः पसंगो मेघदूतीयकथानकस परे णासोतः सात।् तत एको िनधनर ो िवपो गगनारढं कुणधारनामकं मेघं ततनेशहरणाय िविनयोजयित। तत वणनर ाया ं पदाविलरिप मेघदूतस पदाविलतुला एव दशत इताशयासर दम।् समवतो महाकिवकािलदासः महाभारतसंिहतागतशािनपवरत एव कथासूतमादाय मेघदूतस पणयनं वधािय इित नवीनता उदावते शोधपते नि द नरश प द नरश प ूव र क म । ्

208 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

The Deśī Dance Tradition: Is ‘Deśī’ a Misnomer in the Present Scenario of Indian Classical Dance? Vidya Shimladka Nrithyaloka (Bangalore, KA, India)

According to authors of medieval period treatises on Indian dance and music, Mārga was the dance that followed the tenets of the Nāṭyaśāstra and the Deśī was the dance that was popular in different regions. This paper argues that the present-day Indian classical dances have their roots not just in the mārga and but also in the deśī dance tradition. The paper explores the contribution of the Deśī tradition to the formation of Indian classical dance traditions of modern times. Treatises such as Mānasollāsa of Someśvara, Saṅgīta Ratnākara of Śārṅgadeva, Saṅgīta Samayasāra of Pārśvadeva, Nṛttaratnāvali of Jāya Senapati, Nartana Nirṇaya of Pundarīka Viṭṭala etc. have recorded and codified the Deśī dance tradition extensively. The movements and postures of the Deśī tradition, such as Deśī karaṇas, Sthānas and Cāris are dealt with a great detail in the above mentioned treatises. These sthānas, cāris and karaṇas are extensively used in classical Indian dances of our times, however they are not taught with the same terminology as given in the treatises. This paper is a result of the study of Sanskrit treatises and dance sculptures in the temples of South India and Odisha. The presence of the common Deśī elements in all the above mentioned five dance styles is intriguing. Though the definition of Deśī implies that it is provincial or regional, the presence of Deśī elements across the five dance styles gives rise to some important questions – Is ‘Desi’ a misnomer, at least, in the present scenario of Indian classical dance? Does ‘Deśī’ indicate a dance tradition that had pan-Indian presence considering that Bharata’s tradition was popular across Southeast Asia? The paper tries to address these questions while exploring the body kinetics of the Deśī karaṇas and their elements while reconstructing them on the basis of textual and sculptural sources.

209 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

Critical Study of the Manuscript, Kandarpadarpaṇa Bhāṇa of Lokanāthādhvarin Sundari Siddhartha Kuppuswami Sastri Research Institute (Chennai, TN, India)

This play (bhāṇa) is available only in the MS form at Madras (Chennai) in the Adyar Library. The reference number is VB 598. It is mentioned and discussed in the Adyar Library Bulletin of May 1959. As mentioned therein, the Grantha script is indeed legible though not a beautiful hand. The influence of Malayalam letters is evident in the mode of writing. The work is complete. I have got it transliterated (written) into the Devanāgarī lipi. According to the prologue, the play was written to be enacted in connection with the Caitra festival Śrī Raṅganātha, the deity in the Śrīraṅgam temple. The bhāṇa is the only one of the ten rūpakas having only one character on stage. He is the viṭa, a character depicted either as a good or a villainous person.

The subject of this bhāṇa is similar to and typical of the traditional bhāṇa. The drama starts with the viṭa, Ratiśekhara, leaving early in the morning, for the house of a young courtesan, Varāṅgī. He is going to take part in the ceremony connected with her attainment of puberty. On the way, he shares the pleasures and sorrows of the lovers whom he meets. All the while, he offers encouragement, consolation and advice to them in their mission of fulfilling their desires, a very common theme in bhāṇas. In the bhāṇa, love is treated as a serious topic of teaching and training.

This bhāṇa seems to belong to the period 17-18th centuries, AD. A study of available bhāṇas reveals that the earliest known bhāṇas are the Caturbhāṇī of the Gupta period (4th century AD). After that, we have a few bhāṇas of the 11-12th centuries. But in the 17-18th centuries, there is a spurt of bhāṇas. Quite a number of them are from Kerala. Also there is no bhāṇa from North India. The reasons for this can be looked into. It is clear that bhāṇas, though not at the level of nāṭaka, etc., were a very important part of the social set-up of the period.

210 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

Metapoetic Poetry From Kashmir: Maṅkha’s Śrīkaṇṭhacarita and Jagaddhara Bhaṭṭa’s Stutikusumāñjali Hamsa Stainton McGill University (Montréal, QC, Canada)

This paper analyzes Sanskrit poetry that reflects on the history, nature, and reception of such poetry, and which thereby engage questions normally addressed in literary criticism and aesthetic theory. It examines two lengthy examples of such metapoetic poetry from Kashmir: the second chapter of Maṅkha’s Śrīkaṇṭhacarita, a mahākāvya from the twelfth century, and the fifth hymn in Jagaddhara Bhaṭṭa’s Stutikusumāñjali, a collection of stotras from the fourteenth century. These two poets present unusually long reflections on the evaluation of Sanskrit poetry. Based on an analysis of these texts, the paper makes three broad arguments about literary history in Kashmir. First, it argues that such metapoetic discussions are interventions by poets into contemporary debates about poetic theory and literary criticism. This includes debates about the criteria for good poetry, the use of technical terms from alaṅkāraśāstra within kāvya itself, and the relative status of various literary genres, from the independent verse to the stotra hymn to mahākāvya. Second, the paper shows how these poets are invested in shaping particular kinds of literary public through these metapoetic discussions, which have pedagogical and historical concerns. Finally, the paper situates the metapoetic poetry of Maṅkha and Jagaddhara within longer literary trends in Kashmir, arguing that there are distinctive features of a metapoetic tradition in Kashmir. Overall, this paper seeks to provide new insight not just into two literary texts from Kashmir, but also into how we can interpret such metapoetic sections in a variety of contexts.

211 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

A Lost Legacy: Abhinavagupta and Bharata’s Enigmatic “Son” Padma Sugavanam University of Silicon Andhra (Milpitas, CA, USA)

India’s performing arts, have a glorious tradition of over 2 millenia, and an astonishing depth of technical literature transmitted through oral tradition and manuscripts. These technical works of epochal preceptors, thinkers and aesthetes, have been vital to our understanding of the evolution of artistic theory as well as praxis, across periods. During ancient times, these performing arts were mainly forms of dramaturgy (a composite art form comprising of music, dance and dramatic elements) - with Bharata (2nd century BCE - 2nd century CE), the author of the Nāṭyaśāstra, being considered one of the foremost authorities. In Bharata’s works, one comes across a scholar named “Kohala” - who is proclaimed by Bharata himself, to be his “son” and successor. Kohala’s theories are cited and referenced in a multitude of texts, from 200 BCE to 1800 CE. Notwithstanding his deep influence over the greatest influencers of the arts of drama, music and dance, very little is known about Kohala - his original manuscripts have not been found, despite concerted efforts by the author. After rejecting some manuscripts attributed to Kohala due to internal evidences, this paper tries to build an image of Kohala and his works, by piecing together evidences from secondary sources – primarily the Abhinavabhāratī. Kohala’s greatest contribution is in the area of Uparūpakas (minor dramatic forms outside the daśarūpaka framework prescribed by Bharata) with markedly higher music and dance content, parallel to the Mārga system prescribed by Bharata. This paper builds on the works of Kohala to postulate that the Deśī and Mārga traditions actually co-existed as far back as 2nd century, BCE - much before other documented versions of the desi tradition make an appearance with Mataṅga’s Bṛhaddeśī (8/9th century CE). This could change our understanding of the evolution of nāṭya - for which we must credit the contributions of Kohala, as made known to us by Abhinavagupta.

212 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

का लिदासस कृ तिष ु पुषमालवैभवम् Ranjan Kumar Tripathi University of Delhi (Delhi, India) सं सृ तवाङयं सकललोककलाणभावनापभूतं वरतत इति पथितमिदं ततम् । भगीरथपथपवृता गङेवा खिलान् लोकान् वि वि ध वि द ा व ै वि ध प व ा ह म य ं वाङय मिदम् चि र क ा ल ा त ् पुनाती ति जाननस वाङयस गुणवेतारः । वै दिक- लौ किकरपभेददयपसृतसामुष वाङयस ऋगेदा दिवै दिकरपं परमपमाणभूतशासरपेण पथमानं मानवजीवनमुनमयच वरीवती ति नाऽत शंकासभावशीला अपि संशेरते नराः । अस वाङयस लौ किकरपमपलौ किकं ततजातं पसौतीतततमा दिकावं रामायणमेव पाथ मिकं पमाणम्।ना सि कोऽ पि वि ष य ः यस बीजा नि वि य ति त र णि व त ् वि द ो ति त े असिन् महाकावे नोपलभेरन् । कविपुङवः का लिदासः सरवरतभूतं महाकाव मिदमेव उररीकु रवन् का लिदासायत इति वि द नि वि द ा सं ः का लिदासकलादासाः । महाकिवरसौ सकावं यैः िविवधोपायैः रिसकरिचरबहलं करोित, तेषु सौनयाधर ायके षूपायततषे ु पुषमालादीना ं तद-् गथनकलानाम पि वि प ुल त र ं किि ञ दवदानसानं वरतते । पुषमालगथनकला सं सृ तसा हिते तत-तत वैशदेन वा अलीयसा वैशदेन वा पापव रणना वरतत इति जान नि संसृतसा हितवैभवसुसंसृताः। चतुषषि कलासु ‘मालगथन विकला’ इति कामसूतकारो वातायनो मह षिराजुघोष । पसिदे गने ललितकलाविसरे कलानां सूची निबनने ‘मालगथनम्’ अपीताखैका कला दषिपथमारोहति । रसभावभाषालंकारालंकृतं सकललोकगाहं महाकावं रामायणं यदि पशामो वयं तहि परमरािममामिधकृतैव पिवततमायाः अयोधायाः समृिदं िववणृ न ् िलखित किववालीर िकः –‘कमलानाञ शुभा ं माला ं पिदनीव च वि भ ति ’ (अरणकाणम् ४६/१६) सं सृ तसा हितप किपद षिना ‘माल’ इति पदस दोर रथयोः पयोगः पापते । अनयोः पथमपयोगः पुषरपमेवा रथम भिधते अपरश पुषग थितसग्-रपम् । तत ‘ ‘सायाह े वि च र न ् राम वि ट प ी मालधा रिणः’ (अरणकाणम् ७३/२०) ‘ता नि माला नि जाता नि मुनीनां तपसा तदा’ (अरणकाणम् ७३/२५) इता दिषादेऽथेऽस शबसपयोगः । ‘सा रञ यिता चरणौ कृ त ा श ी लरमा ेन तां नि व चर न ं जघान’ (कु म ा र स म व म ्७/१९) ‘तया सजा मं गलपुषमया वि श ा ल व क ः सललमया सः’ (रघुवंशम् ६/८४) इता दिषु च अपर सिनथे शबसपयोगः । अस महाकवेः कृ ति ष ु पुषमालवैभव विलासो वि ल स ति बह विधः । ऋतु विशेषम भिलकापि अङनाङ विशेषभूषायै तततुषमालिवशेषः ततदिभधानवनो कथं कलयन इित सिवशेषमिभजानाित किवकुलगुर: ।पुषस कमलसदशस ितशं त ् परयायान् सपसपतुतरैकशते सानेषु पयुङ्के सक वितायां पुषमू रधनोऽसौ कविः । रसराजम भिराज यितुं पुषराि ज भि ः बहशः कविराजोऽयं कावा लिरा लिख ति । अयं शोध निबनबनः का लिदासस सा हितसंसारे मुखरमपलमुखरं पुषमालवैभवं ततानर निहित विविधपको जि घाट यिषा तिकया अनुस नितया वि न ी त य ा वि द ल ो क ा ल ो क ा य सम रपते ।

213 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

अलङारलोकनाययोरनःसमनः Ivana Vashishtha Jawaharlal Nehru University (Delhi, India)

समेषा ं शासाणा ं दरु हानिप िवषयान ् सारलेन बोधियतुमाचायाःर लौिककनायान ् दषानतने समुपसापयिन। अलङारशासऽे िप रसरी तिवृ तिपवृ तिदोषगुणालङारादीन् सहजतया बोधनाय वि वि ध षे ु सलेषु आचा रयाः लौ किकान् नायान् दषानतया पसुव नि। तत केवलमलङाराणां लकणभेदा दिपद रशनकमे उपसा पिताः के च न लौ किकाः नायाः शोधपतसास वि ष य त न े समाशीयने। शोधपत मिदं लोकशासयोः दढं समनं जापयनलङारशाससा पि लोकेन सह नि बि ड ं समनं दोतय ति। अलङाराणां सरपं कि म? ् परसरं सूकभेदश कः? इता दि वि ष य े पायः अधेतृषु सनेहो जायते। लौ किकनायानां पयोगमाधमेन ततनेह निवारणा रथ अलङारगनेषु गनकृ दिराचायैः कृ त ः सुतः पयासः शोधपतेणानेन पद शितः वि द त । े उदाहरणाय जतुकाषनायस सभङशेषपसङे पवृ तिः । पायः वसुतः भि न े जतु [“राका लाका जतु कीबे यावोऽलको दमु ामयः”॥(अमरकोशः – 2.6.125)] काषञ समवेते भवतः। आवशकतानुसारं पुनः जतुना ( दमु ामयेन ) काषं, काषाच जतु पृथक् िकयते। एवमेव यतािप कुतिचत ् के निचदपु ािधना िशषयोः, पुनः पयतानरणे पृथकरणं भवित तत अस नायस पवृ ति रजायते। यथा सभङशेषसले सनिसमासादिभिरपाधिभिः शि ष ौ शबौ वि च े द े कृ त े पृथक् भूता अरथदयं पतिपादयतः। “इह के चि द ा ह ः – सभङशेष एव शबशेष विषयः। यतोदाता दिसरभेदा दिनपयतोचा रयतया भि न य ो ः शबयो रजतुकाषनायेन शेषः (सा हितद रपणः,दशमःप रिचेदः)। एवमेव ति ल त ण ुल न ा य स ा पि पवृ तिः। संसृषयो सिलतणुलयोरयं दषानः संसृषलङारे समुपलबो भव ति। परसरं संसृषयोर पि ति ल त ण ुल य ो ः सषः भेदः परिलकितः भव ति। उभयोरेव ति ल त ण ुल य ो ः संसृषवसायाम पि यथा सतना सता भव ति तथैव संसृषलङारे एकत संसृषानामनेकेषामलङाराणां भेदः सषः परिलकितः भव ति , पा रथकेन च सतना सता भव ति। अलङाराणां सङी रणते संसृ षिसङरालङारयोः दषानतेन ति ल त ण ुल न ा य ः नीरकीरनायश पवतेते। पोकञ रदटेन - “योगवशादेतेषा ं ितलतणुलवच दगु जलवच। वकावकाशं तातङर उतदते देधा॥”( कावालङारः -रदटः– 10.25) नीरकीरनायोऽिप िमिशतयोः दगु जलयोः दषानः सङीणसर सङरालङारस पसङे समुपलभते। यथा नीरकीरयोः मि श ण े कस चिद पि एकस सतना सता न भव ति , न च तयोः पृथकरणं समव ति तथैव अलङारेऽिसन ् कयोिशद ् दयोः बहना ं वाऽलङाराणा ं योगो भवित। पुनश न तयोसषे ा ं वा सतना सता, न वा पृथक् कतरु शकते। एवमेव अनेषाम पि नायानां वि श द ी भ ूत ा चरचा शोधपतेऽ सिन् वि द त े येन अलङाराणां मूलसङलना, मूलं सरपमलङारानरेण पा रथकञ सारलेन सषीक रतु शकते।

214 17th World Sanskrit Conference, 2018 Poetry, Drama and Aesthetics

Sanskrit for a Dance Repertoire Padmaja Venkatesh Aatmalaya Academy of Art and Culture (Bangalore, KA, India)

A problematic pallor with regard to Sanskrit in the classical dances is evident. In a classical dance repertoire, stotras are employed for opening numbers, hymns are sung as maṅgalam mostly as a formality. Be it any well known composer, the first choice seems to be their compositions, other than in Sanskrit for major portions like śṛṅgāra and devotion. Does the “extraordinarily pure” nature of the language pose any barrier in lokadharmī style? Could Sanskrit poetry entail restraint in a singer and constraint in a dancer due to its flawless grammar? Why, then, is there an isolated elevation to aṣṭapadī? It is known that Jayadeva harmoniously blended the eastern tradition of music with that of the south and composed for Padmāvatī to dance. But there were several lesser known poets whose collective flow of thought and prema bhakti gave birth to the Gītagovinda. Artists in general have hardly looked into other possibilities. In this context, my paper summarizes the course of evolution of classical dance from the time of Bharata until today, distinguishing Mārga, the tradition of dancing then, and the later regional forms or Deśī which shaped the form and content to include nṛtya and lāsya. Propounded in medieval texts like Mānasollāsa and Saṅgītaratnākara, these embraced a variety of songs in different languages, treating every word of the poem using āṅgika and sāttvika abhinaya. Political history along with the development of music and language diminished the dominion of Sanskrit. Can this position be balanced with widespread awareness and knowledge? Can we have an array of Sanskrit compositions in the conventional format to include varṇams? It may be argued that dance enactment, led by language, is expected to be well understood by both dancer and singer and further by the spectator. I contend that the urge to communicate with telling effect is far more important.

215 Poetry, Drama and Aesthetics 17th World Sanskrit Conference, 2018

Rītir Ātmā Kāvyasya: What Is “Literary Style” in Sanskrit Poetics? Lidia Wojtczak SOAS University of London (London, England)

This paper deals with the evolution and modification of the concept of “style” or “the ways of literature” (rīti) in Sanskrit poetics. In the Kāvyālaṅkārasūtravṛtti, Vāmana says that “rīti is the soul of poetry,” and he is conventionally thought to be the greatest representative of the so-called “rīti school” in Sanskrit poetics. However, I will bring to the fore the problematic nature of trying to pigeonhole Indian theorists into such neat schools. By drawing on the works of Udbhaṭa, Daṇḍin, Vāmana and Abhinavagupta, I will show that the ways of literature were not an independent category in kāvyaśāstra but were instead entangled in the intellectual enterprise of the individual poetician. Each author felt the need to confront the idea of the “ways” and rework it to suit the main argument of their treatise.

As I analyze style, my focus will be on the questions which the Indian theorists asked when contesting or reworking the concept: What are the ways of literature? Can they really be hierarchized? What is the relationship of style with the central concepts of Sanskrit poetics, such as alaṅkāra, guṇa, doṣa, or dhvani? Is style simply another ornament employed by the poet or a meta-category of literary practice? Is it a practical and valid way of judging a poem’s merit? Are the ways finite or infinite? Should style be linked to geography? There was no agreement on how to answer these questions and the theorists argued for and against significantly different matters when discussing style. Style proved to be a nebulous and ultimately speculative category and was sidelined in favour of other subjects such as alaṅkāra, guṇa-doṣa or dhvani. However, as I will show, it played a central role in the formative texts of the earliest Indian poeticians.

216 17th World Sanskrit Conference, 2018 Scientific Literature

9. वैजा निकं वाङयम् - Scientific Literature

A Study of Three Questions and their Answers in Karaṇakaustubha Anupriya Aggarwal IIT Bombay (Mumbai, MH, India)

The Karaṇakaustubha is a classical Indian astronomical karaṇa text composed by Kṛṣṇadaivajña who lived in the 17th century. This text is mentioned in the survey conducted by David Pingree and was edited and printed at Anandashrama, Pune, by Apte. Modern scholars working on Karaṇa texts have testified that no serious study of any kind related to this text is carried out. The present paper aims to remove this desideratum.

Various topics related to astronomy such as obtaining the mean and true positions of the planets, lunar eclipse, solar eclipse, horns of the moon, lunar nodes; problems related to direction, location and time etc. have been discussed in 14 chapters in this text. Chapter 4 of this text is called the Tripraśnādhikara: the chapter on three questions. ‘Three questions’ here refers to direction, location and time (dik, deśa, kāla ). The chapter contains many interesting topics such as the rising times of different zodiacal signs (rāśis) for an equatorial and a non-equatorial observer, finding the longitude of an ascendant (lagna) for a given time or vice-versa, obtaining the time when the ascendant and the sun are in the same rāśi and their respective longitudes are given. The importance given to this topic by Kṛṣṇadaivajña can be seen by looking at the extent of this chapter. This chapter alone contains 32 verses, which is the most number of verses in any of the 14 chapters. The whole text contains a total of 219 verses spanning across 14 chapters, out of which around one seventh is taken up by this chapter. The extensive nature with which the topic has been dealt with suggests that the author has great expertise over spherical trigonometry. The present paper studies these aspects in detail.

217 Scientific Literature 17th World Sanskrit Conference, 2018

Deconstructing Eclipses in Bṛhatsaṃhitā Ronnie Dreyer Independent Scholar, USA

In his classic 6th century text Bṛhatsaṃhitā (“great compendium”), Varāhamihira, the noted astronomer, mathematician, and court astrologer, devotes an entire chapter (2) to the qualifications of the sāṃvatsara (astrologer), an invaluable member of the royal court whose counsel on matters of state and timing of rituals was vital in making the king prosperous, victorious, and successful. In addition to being thoroughly versed in horoscopy, the sāṃvatsara’s qualifications included having a noble appearance, being learned in propitiatory rituals, and possessing mathematical and astronomical knowledge so that he could make sound predictions. Without even one of these qualities, the sāṃvatsara would be unable to advise the king. These mathematical and astronomical skills were especially important for the sāṃvatsara to be able to predict the timing, magnitude, and duration, as well as the significance, of solar and lunar eclipses—a subject that was, and continues to be, paramount to mathematicians, astronomers, and astrologers alike. Using eclipses as a starting point and drawing primarily (but not exclusively) on key passages in Bṛhatsaṃhitā (5), which covers the mythology, astronomy, and astrology of eclipses, I will argue that Varāhamihira was able to bridge these—at times seemingly contradictory—trajectories from the vantage point of his own experience as royal court astrologer. This is especially apparent in his absolute refutation of the belief that Rāhu (the Moon’s Node) “swallowing” the Sun and Moon caused eclipses by explaining the astronomical basis of how eclipses are actually formed. At the same time, Varāhamihira still acknowledges Rāhu’s involvement with the formation of eclipses, and even recommends its propitiation, thereby setting forth an orthodoxy that finds common ground between the knowledge systems of astronomy and astrology.

218 17th World Sanskrit Conference, 2018 Scientific Literature

A Mirror Surface, a Tortoise Shell, and a Lotus: Ideas of the Shape of the Earth Toke Lindegaard Knudsen University of Copenhagen (Copenhagen, Denmark)

Throughout the history of Indian astronomy, the astronomers have engaged with ideas from the cosmologies of other traditions (Jain, Buddhist, and Purāṇic) and rejected notions in them that are incompatible with their own cosmological model. Among the notions discussed by the Indian astronomers is the question of the shape of the earth. In the the Siddhāntas (treatises of the Indian astronomical tradition) the earth is spherical, located in the centre of the universe. According to the astronomers, various “others” (sometimes unidentified and sometimes identified) consider the earth to resemble the surface of a mirror, the shell of a tortoise, or a lotus. The first shape, that of a mirror, is understood by the astronomers to indicate that the earth is level (Skt. sama). Mirrors from ancient India are made of highly polished metal and are generally round. However, as Indian sculpture shows, they are generally flat, but often convex. Furthermore, the available Purāṇas, to which some astronomers attribute the idea of the earth being mirror-shaped, does not contain a statement to the effect that the earth resemble the surface of a mirror. Indeed, there are various contexts in Indian body of texts where the comparison to a mirror indicate something other than flatness. The talk will look at different shapes of the earth cited and critiqued by the astronomers, notable the image of the mirror, and discuss the interpretation made by the astronomical tradition in light of material from other contexts.

219 Scientific Literature 17th World Sanskrit Conference, 2018

फलादेशे पराशर-सूता णि वरतमान-युगेऽ पि ताव नि वैजा निका नि Lokraj Poudel Purviya Darshan Vidyapeeth, World Astro-Federation (Kathmandu, Nepal)

भगवतः वेदपुरषस चकःु जोित:शासम ् इित िवखातमेव जोित:शाससाधयनेन मानवजीवने सामािजकजीवने च पभा विनाम् अंशानां पू रवानुमानेन जानं लब ुं शकते । जो तिःशासं पतकावल मि शासं वरतते । जो तिषां नि र ी क ण न े गहगणानामधयने कृते मानवाना ं सुखदःखु योः तदािवभावर ात ् पूवर जानं संभवित, तदव् ारा च सावधानं वतनर म ् । जो तिःशाससाषादश पव रतकाः सनि । तेषु पव रतकेषु मह षिः पराशर एको मुखतमः ति -कालदशी वरतते । तस महषेः पराशरस वि भि न - वि ष य क ा ः गनाः सनि। तेषु गनेषु पराशरसृ तिः धरमशासे पामा णिको गन: । जो तिःशासे च बृहतराशरहोराशासं विशेषजा: पामा णिकं पबनं मनने । पबनसास रचनाकाले पराशर ऋषिः सोपज- वतृ ाषाितशं तकारकाः दशापदती: िवकािसतवान ् । तनध े िवशं ोतरीदशा सवाभर ोऽनाभ उतमेित िनगिदतवान ् ।

बहृ तराशर-होराशास े िवशं ोतरी ंपिरचचरयन ् शोक-रपा ं िवसताृ ं वाखा ं करोित गनकार: । गनकार-िशष उडुदय-पदीप- (लघु-पराशरी-) नामकं लघंु गनं समुिलखित। बहृ तराशर-होराशास े बहृ दूपेण चिचतस िवषयस लघुपाराशयरत सूतरपेण पसूयते । यथा– १) के न -सामी सम-फलदः (तटसः) । सं जाधायः शोक: ४ २) ति -कोण-सामी शुभ-फलदः । सं जाधायः शोकः ३ ३) ति –षड–आय–अषम-सामी अशुभ-फलदः । सं जाधायः शोकः ३, शोकः६ ४) दि त ी य सपम-सामी मारक-फलदः ।) आयु रदायाधायः शोकः १÷२ ५) दि त ी य -दादश-सामी राह-के त ौ च संगतानुसारण-फलदौ भवत:। सं जाधायः शोक ५, शोकः १० एता नि पञ सूत णि अतन-सरला नि सहजा नि च सनि । पराशरेण ऋ षिणा पायः षट्-सहस-वषेभः पू रव पणीता नि सूताणदतेऽ पि वैजा निका नि पमा णिता नि च सनी ति मदीये शोधपतेऽ सिन् पसूयते ।

220 17th World Sanskrit Conference, 2018 Buddhist Studies

10. बौद विदा - Buddhist Studies

Contribution of Ratnakīrti to Buddhist Epistemology and Metaphysics (with Special Reference to His Work Īśvarasādhanadūṣaṇam) Vibha Aggarwal Kurukshetra University (Kurukshetra, HR, India)

Ratnakīrti worked in Vikramaśīla University in the first half of 11th century C.E. He was recognised as an eminent scholar among many learned scholars of Vikramaśīla. He was a disciple of famous Buddhist logician Jñānaśrīmitra. His nine works are collected and edited by A. L. Thakur in Ratnakīrtinibandhāvali . These works provide knowledge of all fundamentals of Buddhist philosophy especially of the Mahayana Buddhism along with a knowledge of the debate between the Buddhist and the Brahmanical systems which persisted for a long period of time. The works cover almost all important issues of Buddhist philosophy e.g. kṣaṇikavāda, apohavāda, vyāpti, sarvajña, refutation of God, refutation of sāmānya, etc., and are written with great intellectual scholarship using yuktis and hetvābhāsas. In this paper, I shall discuss the importance and contents of these works mainly focusing upon the work Īśvarasādhanadūṣaṇam. In Īśvarasādhanadūṣaṇam, Ratnakīrti has refuted Nyāya theistic arguments supporting the Buddhist view that there is no instrument of knowledge which can prove the existence of God. The Buddhist does not admit any eternal Creator or God as an efficient cause of this universe. He believes that there is a universal law of pratītyasamutpāda which rules the birth and decay of all mental and physical entities and this law works automatically without the help of a conscious agent. The work throws light on many of the Buddhist epistemological and metaphysical doctrines and contains quotations from rare and extinct works of importance enabling us to know about some less known authors like Śaṅkara, Trilocana, Vittoka, Narasiṃha etc. The paper will deal with the historical review of the doctrine of God, will throw light on the research done in this area and will highlight the relevance of the study.

221 Buddhist Studies 17th World Sanskrit Conference, 2018

Uddānas in Early Buddhist Texts: Their Origin, Function, and Importance Mark Allon University of Sydney (Sydney, Australia)

As with their brahmanical predecessors and contemporaries, early Buddhist communities composed and transmitted their texts orally, no doubt attentive to the strategies adopted by brahmins. The oral origin of early Buddhist texts is indicated by two main factors. The first is their stylistic features. In the case of prose texts, this includes the use of formulas, repetition, and strings of parallel word elements (verbs, adjectives, etc.), the components of which are arranged according to a waxing syllable principle with words chosen to create sound and metrical repetitions. The second is the grouping and arrangement of textual units, such as sūtras and verses, within larger collections into vargas, saṃyuktas, nipātas, and the like, on the basis of genre, size, subject matter, a numerical feature, key word, concept, the individuals involved, or a literary trope such as a simile. An important feature of such collections is the use of uddānas, mnemonic verse summaries of the members of a group of textual units that function to ensure their correct arrangement within the collection. Most uddāna entries consist of a keyword of the textual unit being referenced or a word encapsulating its main topic, but not always in the same form in which it is found in the textual unit being referenced, and in the case of sūtras, this word may or may not correspond to the title of the text. Interestingly, uddānas have a comparatively high number of variant readings, perhaps reflecting their para-textual status. Despite their importance, the uddānas of Buddhist texts have been little studied. This paper will discuss the possible origin of uddānas, particularly their relationship to Vedic anukramaṇīs, and give an account of their characteristics, including metrical features, the relationship between uddāna entries and text titles, their function, and importance in the transmission of early Buddhist texts.

222 17th World Sanskrit Conference, 2018 Buddhist Studies

The Stairway of Correct Conventional Reality: Significant Variant Readings in Candrakīrti’s Madhyamakāvatāra (6.79) James B. Apple University of Calgary (Calgary, AB, Canada)

The seventh-century Indian Buddhist master Candrakīrti is well-known for his influence upon Mādhyamaka thought and practice in the history of Indian and Tibetan Buddhism, particularly through his Madhyamakāvatāra (Entrance to the Middle Way). This paper outlines significant variant readings of a verse found in the sixth chapter of Candrakīrti’s Madhyamakāvatāra (6.79) and discusses the relevance and repercussions of this reading for Indian Buddhist Mādhyamaka thought. The paper initially locates two different Indian Buddhist commentaries where variant readings of the same verse are found, one commentary by the early eleventh-century Indonesian scholar Dharmakīrtiśrī, also known as Suvarṇadvīpa, and another in a recently recovered manuscript preserved in Tibetan attributed to the Bengali mahāpaṇḍita Atiśa Dīpaṃkaraśrījñāna (982-1054 CE). In citing Candrakīrti’s Madhyamakāvatāra (6.79), these authors give the significant variant reading “They have fallen from correct conventional reality” (Tib. de ni kun rdzob yang dag bden las nyams) rather than “They have fallen from conventional reality [and the reality of] suchness” (de dag kun rdzob de nyid bden las nyams). The variant reading preserved in Tibetan of kun rdzob yang dag instead of de dag kun rdzob suggests that the third pāda of the extant Sanskrit verse would read “bhraṣṭā hi te saṃvṛtitathyasatyāt” instead of “bhraṣṭā hi te saṃvṛtitattvasatyāt” which fits the triṣṭubh meter. The paper then discusses the philosophical implications of this reading, the primary one being that some Indian Buddhist commentators read Candrakīrti as positing a form of correct conventional reality, a previously unknown position in the Indian Buddhist commentarial record of Mādhyamaka exegesis. In attention to the fact that Candrakīrti was followed in the early eleventh century as far east as Indonesia, the paper concludes that the reading “correct conventional reality” offers the Mādhyamaka exegete an avenue for conventional practices to lead toward realizing ultimate reality.

223 Buddhist Studies 17th World Sanskrit Conference, 2018

Rehabilitation of Devadatta: Does the Story of Devadatta in the Saddharmapuṇḍarīkasūtra Talk About the Attainment of Buddhahood by the Wicked? Jinghao Bai Hiroshima University (Hiroshima, Japan)

Devadatta is the paradigmatically wicked and evil personality in Buddhist tradition and literature. In the Saddharmapuṇḍarīkasūtra (SP), however, Devadatta is rehabilitated. It is the story of Devadatta that is concerned with the rehabilitation of Devadatta. The story given in the sūtra has been generally deemed to be composed in order to preach the doctrine that even the wicked can attain Buddhahood. But a close look at the story will reveal that it is intentionally composed to illustrate that there is no separate vehicle for pratyekabuddhas. In the SP, Devadatta is identified with a seer (ṛṣi). The description of the seer in the sūtra indicates that the seer is a pratyekabuddha. Clearly, the story of Devadatta takes into account a tradition that after staying in hell, Devadatta became a pratyekabuddha. Thus, according to the story, Devadatta who is a pratyekabuddha and a seer, preaching the doctrine of One Vehicle, becomes a good friend who leads bodhisattvas to achieve Enlightenment; the Buddha prophesies that he will become a Buddha in the far future.

The SP is divided into three sections compiled in three stages. The story of Devadatta which was composed in the final stage was later incorporated into the second section compiled in the second stage. The prophecy of śrāvakas’ future attainment of Buddhahood and that of bodhisattvas’ are respectively narrated in the first section and in chapter 10 of the second section, preceding the story of Devadatta. Elsewhere than in this story the prophecy of pratyekabuddhas’ future attainment of Buddhahood is not set forth. The SP proclaims that all vehicles for śrāvakas, pratyekabuddhas, and bodhisattvas are designed to lead to one buddha vehicle. In the SP only the story of Devadatta is meant for narrating the prophecy of pratyekabuddhas’ future attainment of Buddhahood.

224 17th World Sanskrit Conference, 2018 Buddhist Studies

A Linguistic Approach to the Use of the Terms Bhavaṅga and Bhavaṅga-Citta in the Theory of Continuity of Personality Dipen Barua University of Hong Kong (Pokfulam, Hong Kong)

The Pāli term bhavaṅga is used to define an individual’s continuous mental stream from birth to death in relation to the Abhidhamma version of the cognitive process. The term bhavaṅga is also qualified to a citta which the Theravāda tradition relates with cuti (death) and paṭisandhi (rebirth linking). To a large extent, bhavaṅga implies the continuity of a human after death without applying the notion of Soul. But it has been observed that the bhavaṅga is a developed doctrine which first appears in the Paṭthāna and then in the Milinda-pañha, and frequently in other Pāli texts. Sarachchandra (1994:79) says the term bhavaṅga was borrowed from the Sarvāstivāda Abhidharma. In the Suttas, though bhavaṅga is not a central doctrine, bhava-sota is found as its parallel use (SN I 15). However, the word bhava is widely known as a link in the paṭicca-samuppāda which is divided threefold: kāma rūpa, arūpa. But, scholars in the Pāli tradition often find it difficult to interpret bhava. While Bodhi (2000, 52) renders the meaning of bhava as “being” and “existence”, Thanissaro (2008, 7) argues they are not appropriate meanings for bhava, because both entail the metaphysical absolute, and instead he prefers the meaning “becoming”. In order to discover the doctrinal meaning of bhava, in this paper I intend to follow a linguistic approach to the term bhava as the “continuous persistence of ‘I’” because, in the Suttas, evidence is available to show that the Buddha has described his liberation through the cessation of bhava which signifies the holding of a “I” in the five aggregates. The Aṅguttara Nikāya says, to achieve enlightenment, a person has to even eradicate bhavagga, which is explained as the culmination point of personality (attabhāva) in the Commentary. In this context, this paper will illustrate the understanding of bhavaṅga with two phenomena: (a) in the acquisition of the vital continuum in the threefold bhava, and (b) in the acquisition of “I”.

225 Buddhist Studies 17th World Sanskrit Conference, 2018

The Gāndhārī Arthapada in Commentaries and a New Manuscript Stefan Baums Ludwig Maximilian University of Munich (Munich, Germany)

The Arthapada is generally considered one of the oldest Buddhist texts, consisting of sixteen chapters of teachings and dialogs in verse form. It is preserved in Pali (integrated under the title Aṭṭhakavagga in the Suttanipāta), Sanskrit (under the title Arthavargīyāṇi sūtrāṇi in quotations and manuscript fragments from the Silk Road) and in the early Chinese translation of Zhī Qiān ( Yìzú jīng, between 223 and 253 CE). These witnesses have recently been joined by a Gāndhārī version of the text. The existence of a Gāndhārī Arthapada had been apparent for a while from its extensive quotation and discussion (including variant readings) in a group of Gāndhārī verse commentaries (Baums 2009, forthcoming) and was confirmed when a fragment of a scroll of the root text became available in 2007 and was identified, on the basis of eight lines visible on the outside of the scroll, by the present author. In 2011, Harry Falk published a separate fragment with seven lines of the same manuscript. In 2013, finally, the present author was shown photographs of the original scroll fragment in unrolled state, revealing altogether 67 lines from three chapters (numbered 11 to 13) corresponding to the Kalahavivādasutta, Cūḷaviyūhasutta and Mahāviyūhasutta in the Pali parallel. The fragment is from the bottom of the scroll, so that either (as in the case of the Khotan Dharmapada scroll) the complete text ended in the middle of the verso, or the scroll in question contained only the second half of the text. The present paper will give an overview of what we know of the Gāndhārī Arthapada, on the basis of its discussion in the verse commentaries, the root text preserved in the new scroll and a comparison with the Pali, Sanskrit and Chinese parallels of the text.

226 17th World Sanskrit Conference, 2018 Buddhist Studies

अपोह विम रश: A. K. Chaubey Rashtriya Sanskrit Sansthan (Delhi, India) अपोहनम् अपोह:। बौदद रशनस पमुखेषु रादानेषु एक: कि न त न त म श । परयूथानां विशेषतो वै दिकावै दिकपरमरानुया यिनां मते तु अयं सरवाधिको वि व ा द ा स द : सिदान इति के च न । वसुतसु अनात-अनित-अनीशर-शूनवादा दिपभृतयः नैका: सापना: सौगतानां सकं वै शिषम् । तता पि यु किवा दिनां बौदानां अपोहोदावनं ततिविशिषमेव। तसात् पायशः आसिकद रशनसमदायै: स-सचिननदिशा असालोचनं तु पू रवपकरपेण ततचासेषु दरीदशते एव, ना सिकै : कपणकसम पदायैर पि अस यालोचनं वि ध ी य त े इति आश चरयकर:। अपोह: सौगतानां शबा रथसिदांत इति के च न । शबा रथसंबनस नि त त ा नि त त म धि क ृ त वैयाकरण-नाय-वैशे षिक-सांख-योग-मीमांसा-वेदानादीनां मतवै भिनं तु वि श तु म ् एव। तत सौगतैराचायैरेव अपोहसोदावनं कृ त मि ति नैकेषां वि श ा स :। भगवता शाकमु निना न तथा सं के तितशेतदा अपोहसायमुदावनं कथं के न वा जातम् , इत यस याकलनं वि ध ा स यते । एवमेव शोधपतेऽ सिन् समयकालोचनपू रवकं कोऽयमपोह:, कतिविधशायम् इतस चरचा भवि ष यति। असि न नेवकमे वैभा षिक-सौता निक-योगाचार-माध मिकेषु बौदद रशनसंपदायेषु अस सिदानस कीदशी अव सितिरितेतेषां विषयाणां चरचाया उपकम: भवि ष यति । एवमेव अपोहवादस अपाेहस य वा वरतमाने लोकजीवने अिस कािचद ् पासंिगकता ? इतसािप आकलनं िवधासते ।

227 Buddhist Studies 17th World Sanskrit Conference, 2018

The Impact of Buddhism on the Conceptualization of Wellbeing in the Field of Psychology Savita Chintaman Deo Savitribai Phule Pune University (Pune, MH, India)

Buddhists and psychologists alike believe that emotions strongly influence people’s thoughts, words, and actions and that, at times, they help people in their pursuit of transient pleasures and satisfaction that leads to happiness. The fundamental law and purpose of the individual life is to seek its own self- development. To reach this goal, in Indian philosophy, several systems have discussed various ways. Contemporary to Vedic philosophy, there are other schools which have introduced their own ways of development. Buddhism is one of them. There are many natural links between Buddhism and psychology. Both are focused on releasing people from suffering, both explore states of consciousness. For both, the aim is psychological liberation of mankind. Buddhism not only tells us about the Four Noble Truths but also discusses their sources, which are mainly emotions, and guides us in how to overcome the problem of suffering by giving us the Eightfold Path (ethical conduct, mental discipline, and wisdom).

The basic purpose of human life is to accomplish individual growth and development. Physical and mental health is essential for the purpose. Considering the world views and perspectives on wellbeing (hedonistic perspective, transcendent perspective, and collectivist perspective), intrinsic and extrinsic control, social frame work and ground rules for good life have been established which ensure the well being of all.

The relationship between Buddhism and Western psychology is still young, but we can see its impact on the work of researchers like Maslow, Carl Jung, and many other scientists. In Positive Psychology (a new recent branch of Psychology) also, many concepts are similar to Buddhist concepts such as psychological well being. Carol Ryff’s work in this connection is also important

In the present tri-partite paper, an attempt is made to relate the concept of wellbeing with Four Noble Truths and the Eightfold Path. The first section will elaborate on Buddhism, its concept and consideration of well being. The second will deal with the impact of Buddhism on the work of some psychologists, problems and situations that we usually confront –how they are the tools of categorization of human happiness. In the third section an attempt is made to relate commonalities between them.

228 17th World Sanskrit Conference, 2018 Buddhist Studies

Upekṣā in Śāntideva's Śikṣāsamuccaya and Bodhicaryāvatāra Donna Dorsey MacEwan University (Edmonton, AB, Canada)

Śāntideva's Śikṣāsamuccaya and Bodhicaryāvatāra texts detail the training program for the bodhisattva of Mahāyāna Buddhism and, in so doing, provide arguments for the practice of impartiality toward all beings, an attitude essential to the development of the bodhisattva's moral character. Upekṣā is understood variously as impartiality, equanimity, indifference and hedonic neutrality. While evenness of mind is an indispensable aspect of the bodhisattva ideal, wholesale indifference would be an anathema. To avoid this problem of indifference, Santideva grounds his moral system in virtuous benevolence, thus yielding an attitude of impartial benevolence. While benevolence may solve the problem of moral impartiality going awry as negative indifference, it means that benevolence itself needs a justification independent of the need for impartiality in one's attitude toward others and, while development of benevolence may counteract indifference toward others it does not, of necessity, eliminate indifference toward the self. Questions arise as to how upekṣā ought to be translated as well as how relevant textual passages ought to be interpreted. Through an analysis of these passages, I concede that Santideva could be seen to offer a coherent argument justifying unrestricted benevolence given that we understand impartiality as applying only to the interests of others and indifference only to the interests of the self. But this position, I argue, would run counter to Śāntideva's aim to train the bodhisattva to go beyond artificial distinctions and separations to see the ultimate nature of things as emptiness (śūnyatā), thus making the need for a careful interpretation of upekṣā crucial. This paper offers a reading whereby impartiality is a universal requirement of morality for the bodhisattva and argues that Santideva, overall, justifies this position once attention is given to various interpretations of upekṣā.

229 Buddhist Studies 17th World Sanskrit Conference, 2018

The Importance of the Suhṛllekha of Nāgārjuna as a Buddhist Dharmakāvya Welipitiye Indananda Bhiksu University of Sri Lanka (Anuradhapura, Sri Lanka)

Nāgārjuna, the founder of the Mādhymaka School, is a famous Buddhist philosopher. Suhṛllekha demonstrates his capability more as a Sanskrit poet than a philosopher. By name, this work has been structured as a letter sent to King Sātavāhana by Nāgārjuna. However, it cannot be considered a Sanskrit dūtakāvya, due to the unavailability of a messenger (sandeśahāraka). Through writing Suhṛllekha, Nāgārjuna, like Aśvaghoṣa, might attempt to propagate Buddhism in poems. Therefore, Suhṛllekha symbolizes the nature of a Buddhist dharmakāvya. It could be illustrated under three features as follows: (a) Highlighting Buddhist concepts caused to develop ethical behaviors of the practical life, (b) Emphasizing the fundamental Buddhist concepts, and (c) Defining the superiority of the emancipation and directing the mind towards Buddhahood.

The work includes 123 stanzas have been ranged from general status to depth. Nearly 10 stanzas of the Suhṛllekha have been allocated to describe the first point. The concepts discussed under this point are applicable alike without any separation of Buddhist or not. In the general sense, they address the ethical and practical perspectives of the life. Under the second feature, Nāgārjuna portrays his competency as a Buddhist philosopher through explaining the fundamental Buddhist concepts such as evils or merits and their aftermaths, lust, nature of the 4 hells, nature of the transmigration using more than 50 stanzas. The third feature initiates from the 105th stanza of the Suhṛllekha. As an approach, there are comments on the impermanency of the fortunes in the human and Brahma world. According to the above features, Nāgārjuna’s notion might be presenting different Buddhist concepts in an attractive way, using poetical structure. Under these circumstances, the Suhṛllekha is not a mere poem but an important Buddhist dharmakāvya written in Sanskrit that describes Buddhist concepts in many scales.

230 17th World Sanskrit Conference, 2018 Buddhist Studies

Narrative Verses and Expository Prose: Commonalities Between the Mahāvastu and the Early Mahāyāna Scriptures Seishi Karashima Soka University (Tokyo, Japan)

The Mahāvastu is one of only three larger texts of the Mahāsāṃghika-Lokottaravadin school surviving in the original Buddhist Sanskrit. It is part of the legal texts of the school, which is built around the biography of the Buddha. In the Mahāvastu, Śākyamuni’s biography and the stories of his former lives are described in full and, in this way, it is explained how he was able to attain enlightenment and become the Buddha. The stages (bhūmi), which he underwent throughout his former and present lives, are categorized into ten, as described in this text, and were later adapted ideally for all bodhisattvas to follow. In other words, the path to become a buddha was made open to all sentient beings and this became known as the Ten Bodhisattva bhūmi of Mahāyana Buddhism. Also, the idea of the co-existences of buddhas in other world systems, which schools belonging to the Sthavira tradition, such as the Sarvāstivādins, Theravāda and Sāṃmatīyas did not accept, is evidently present in the Mahāvastu and therefore, this text is an important link as it clarifies the relationship between the Mahāsāṃghikas (Lokottaravādins) and the early Mahayana scriptures, which were most probably composed by the former.

In my presentation, I shall point out the structure consisting of the prose (parikalpa) and verses (jātaka), which repeat the same content, in the Mahāvastu, is found also in the early Mahāyāna scriptures, such as the Lotus and the Samādhirājasūtra. I assume that the verses in these texts had been transmitted orally in Prakrit, and they were later expounded in Prakrit-cum-Sanskrit prose. The prose shows often that its composer misunderstood meaning of underlying Prakrit words in verses. I shall also point out the linguistic commonalities between the literature of Mahāsāṃghikas (Lokottaravādins) and of Mahayana Buddhism as well.

231 Buddhist Studies 17th World Sanskrit Conference, 2018

How to Contemplate “Internally” and “Externally” in the Satipaṭṭhāna Meditation? Qian Lin ICLP Academia Sinica (Taipei, Taiwan)

The Satipaṭṭhānasutta is arguably the most influential early sutta regarding mindfulness meditation. Its refrain teaches the insight meditation (vipassanā) practice of contemplating four types of objects (body, feeling, mind, and dhammas) “internally” (ajjhattaṃ), “externally” (bahiddhā), and both internally and externally (ajjhattabahiddhā). What the “internally” and “externally” mean in this context have puzzled both ancient commentators and modern practitioners. Some recent studies (Schmithausen 2012; Sujato 2012; Anaalayo 2006) appear to agree with the dominant traditional interpretation in the commentaries that understands “internally” as referring to oneself, and “externally” to other beings. The first part of this study will survey the interpretations preserved in the Pāli Abhidhamma and commentaries, and northern Abhidharma texts including the Mahāvibhāṣā and the Yogācārabhūmi. It appears that all these interpretations take the adverbs ajjhattaṃ and bahiddhā as adjectives, and invest great efforts to classify what are internal and what are external phenomena.

The second part of the paper will demonstrate that the “oneself vs. other beings” interpretation in the commentarial texts may not be suitable for the early Buddhist sūtra texts. With the help of several passages from early Āgama/Nikāya texts, the author proposes that “internal” and “external” more likely mean the subjective and objective aspects of experience. Moreover, in the sentence ajjhattaṃ/ bahiddhā kāye kāyānupassī viharati, the adverbs ajjhattaṃ/bahiddhā modify the verb instead of the noun, hence it should be more suitable to understand it as “considering body as internal/external,” namely the subjective and objective aspects of experience. Such an understanding can also be supported by the interpretation in the Mahāvibhāṣā.

232 17th World Sanskrit Conference, 2018 Buddhist Studies

Māleyyadevattheravatthu: A Lan Nā Thai Text Inspired by Mahāvastu Amarjiva Lochan University of Delhi (Delhi, India) Samniang Leurmsai (Silpakorn University)

While studying Pali texts from Thailand, we are generally confined to those which are composed after the arrival of the Thai and their settling down in the region of Sukhothai, Ayuthaya and Thonburi. However, it is notable that the northern region of present-day Thailand which remained independent for centuries also had a rich Buddhist literary tradition with its own Lan Na script. Lan Na Buddhist literature has close links with Sanskrit texts. Māleyyadevattheravatthu is one of such texts which follows the model of Mahāvastu, one of the most celebrated Buddhist Sanskrit texts. Later on, this text (probably of a 10th-11th century origin) inspires a series of Pali works featuring the legend of Phra Malai, a Buddhist monk of the Theravada tradition said to have attained supernatural powers through his accumulated merit and meditation. However, while following the frame of Sanskrit text of Mahāvastu, the Māleyyadevattheravatthu composed by a Lan Na monk choses a different path of description. In place of Maudgalyāyana, Māleyya is the main character in the Māleyyadevattheravatthu. How narratives are woven around Māleyya in this Lan Na Thai text which distinguishes it from the Mahāvastu has been analysed in this paper.

233 Buddhist Studies 17th World Sanskrit Conference, 2018

The Importance of a New Edition of the Mahāvastu for Buddhist Philology Katarzyna Marciniak Soka University (Tokyo, Japan)

The editio princeps of Mahāvastu was prepared in 1882-1897 by Émile Senart on the basis of 6 late manuscripts of the text, of which the oldest one was dated to 1800 C.E. The edition, which was made in the 19th century based on the 19th century manuscripts (!), has become the basis for all research on the text, its content and composition, as well as the language which it represents, namely Buddhist Hybrid Sanskrit. Many scholars have raised doubts about the readings attested in Senart’s edition but in the past, there was no way to correct or improve them, as no manuscripts older than 1800 C.E. were known or available. Thanks to the activities of the Nepal-German Manuscript Preservation Project, we have now access to much older manuscripts of the text, i.e., an old palm-leaf manuscript Sa, dating back to the 12~13th c., and the oldest extant paper manuscript Na, written by a famous scribe Jayamuni Vajrācārya in 1657 C.E. I have already demonstrated that the earliest manuscript Sa contains many Middle Indic forms, which were Sanskritised substantially by Jayamuni. All the later paper manuscripts of Mahāvastu, including those consulted by Senart in his editio princeps, are based directly or indirectly on ms. Na, therefore the readings attested in them agree with those in Na, while differing from those in the oldest manuscript Sa. As a results, many of the linguistic forms and structures examined by previous scholars, including Franklin Edgerton, differ from those preserved in the oldest manuscript Sa. We are now preparing a new edition of the Mahāvastu based on the above- mentioned two oldest manuscripts. I shall introduce the importance of the readings in the old palm- leaf ms. Sa for the research of Buddhist Sanskrit and at the same time, I shall demonstrate how Jayamuni “corrected,” i.e. Sanskritised the Middle Indic readings in Sa.

234 17th World Sanskrit Conference, 2018 Buddhist Studies

Buddhist Literature, Epigraphy, and Material Culture in Gilgit Jason Neelis Wilfrid Laurier University (Waterloo, ON, Canada)

Significant and ongoing discoveries of collections of Sanskrit documents in the Gilgit valley of northern Pakistan from approximately the second half of the first millennium CE serve as a basis for assessing patterns of Buddhist textual production along high-mountain transit routes through the Karakorum and Hindu Kush ranges. An enormous epigraphic corpus of Upper Indus Brāhmī graffiti inscriptions and several inscribed bronze sculptures associated with the court of the Palola Ṣāhis, provides access to written historical sources for the Karakorum region. Tens of thousands of Buddhist and other petroglyphs line routes to the Gilgit valley. Comparative study of manuscripts, inscriptions, and images from Gilgit shed light on intertwined networks of Buddhist transmission between South Asia and Central Asia. A holistic assessment of written and visual media is intended to clarify similarities and differences between élite and sub-élite levels of scribal activity, artisanal production, and patrons and audiences.

235 Buddhist Studies 17th World Sanskrit Conference, 2018

The Adoption and Adaptation of Sanskrit in Buddhist Literature Prem Raj Neupane Nepal Sanskrit University (Beljhundi, Nepal)

This paper intends to analyse the adoption and evolution of Sanskrit in Buddhist literature, with reference to manuscripts of Prajñāpāramitā and other Mahāyāna texts, and how the use of Sanskrit affected Buddhism in India. It also discusses the form of language and grammar used in the texts, comparing the Buddhist literature in Sanskrit with classical Vedic literature. Buddhist literature in Sanskrit is a large and diverse category, consisting of both canonical and noncanonical materials, the latter ranging from narrative collections and ritual manuals through technical treatises, poetry, and plays. While the Buddhist texts were being composed in languages such as Pali and Prakrit, Sanskrit had become the main language of scholasticism in India. The Buddhists then adopted Sanskrit, due to the necessity of time and the situation in India. The increased wealth and size of Buddhist monasteries such as Nalanda meant that they required elite patronage, and knowledge of Sanskrit was a way to cater to and communicate with the more educated upper classes and royal courts of Indian rulers. Thus, the main reason for the Buddhist adoption of Sanskrit was that the language had become associated with societal influence which was sought after by Buddhist vihāras. Slowly, as the use of Sanskrit started gaining momentum, the Buddhists modified Sanskrit to a certain level, so that slight differences in the language were seen in contrast to the classic Hindu scriptures. This diversion from the rigid rules of Sanskrit grammar is now termed as ‘Buddhist Hybrid Sanskrit’. In due course of time, Sanskrit Buddhism started to disappear from India, but it remained intact in Kathmandu Valley. Brian Hodgson found numerous Sanskrit Buddhist manuscripts in Kathmandu and among them is Śatasāhasrikā Prajñāpāramitā. The differences between typical Sanskrit texts and Śatasāhasrikā clearly tell us about the hybridization of Sanskrit by Buddhist writers.

236 17th World Sanskrit Conference, 2018 Buddhist Studies

Research on Acintika-, Acintiya-, and Acintya- in Saddharmapuṇḍarīka Yasutomo Nishi Chuo Academic Research Institute of Rissho Kosei-kai (Tokyo, Japan)

The Saddharmapuṇḍarīka (Saddhp) is an early Mahāyāna Buddhist text and is a representative sūtra in Buddhist Hybrid Sanskrit. There occurs many Middle Indo-Arya (MIA) expressions in the text. There are many different Saddhp manuscripts, and these can be generally classified into the Central Asia recension and the Gilgit-Nepal recension. I have found, so far, two examples: (1) MIA krīḍāpanaka- / classical Sanskrit krīḍanaka-; (2) MIA sāntika- / MIA santika- (=Pāli) / classical Sanskrit antika-. These support the hypothesis, advocated by H. Kern and F. Edgerton, that there is progressive Sanskritization of the text in MIA. By investigating some major manuscripts of the two recensions, I found another example of synonyms (acintika-, acintiya-, acintya-) which support the above hypothesis. The MIA acintika- and the MIA acintiya- (=Pāli) appear in the older manuscript parts, while on the other hand, the classical Sanskrit acintya- appears in the new corresponding part of the manuscripts. There may be a possibility to acquire a new viewpoint for elucidating the formation process of Saddhp. with this information.

237 Buddhist Studies 17th World Sanskrit Conference, 2018

The Omniscient Buddha: Dharmakīrti’s Remarks Cristina Pecchia Austrian Academy of Sciences (Vienna, Austria)

Sarvajña and sarvavid (“omniscient being”) appear as attributes of the Buddha across Buddhist literature since its beginning. Here, just as in the Upaniṣads and in early Jaina texts, omniscience is neither associated with magical or oracular knowledge nor with an omnipotent designer, and it is scarcely relevant in early philosophical discussions concerning the authoritativeness of a specific Dharma. The shift towards theism attested in philosophical literature from the 5th century onwards seems to have led to increasing attention being paid to the topic of omniscience. However, extensive discussions on it are not attested before the 8th century. The Buddhist epistemological tradition, in particular, elaborates at length on a few remarks provided by Dharmakīrti (6th-7th century). The main point he makes is that the Buddha’s omniscience is related with a specific type of knowledge, the soteriological one, which is one of the manifestations of the results of a specific practice. In other terms, omniscience is not a divine feature but a yogi’s feature and is connected with the yogi’s perception. To what extent Dharmakīrti shared canonical and Abhidharmic views and employed them in response to Brahmanical and Jaina thinkers is unclear.

In this paper I will discuss passages on the Buddha’s omniscience from Dharmakīrti’s works together with the interpretation provided by the earliest commentators, in an attempt to reconstruct the frame and import of the philosophical debate on the topic in 6th-7th century South Asia. This will show Dharmakīrti’s alignment with canonical accounts concerning the Buddha’s omniscience and will allow us to gain a more detailed outline of the developments of the idea of omniscience displayed by the philosophical debate across time – developments that presumably respond to different representations of the divine in the social and religious contexts.

238 17th World Sanskrit Conference, 2018 Buddhist Studies

Giving by Giving Nothing: The Practice of the Perfections in Asaṅga and Kamalaśīla’s Commentaries on the Vajracchedikā Prajñāpāramitā Serena Saccone Austrian Academy of Sciences (Vienna, Austria)

The Vajracchedikā Prajñāpāramitā was the subject of a few commentaries by South Asian authors; particularly, those ascribed to influential Buddhist philosophers such as Asaṅga (ca. 4th cent.), Vasubandhu (ca. 350-430) and Kamalaśīla (ca. 740-795). This paper will consist in the investigation of a passage of the Vajracchedikā Prajñāpāramitā, along with selected parts of the Triśatikāyāḥ Prajñāpāramitāyāḥ Kārikāsaptatiḥ by Asaṅga as well as the Āryaprajñāpāramitāvajracchedikāṭīkā by Kamalaśīla. The passage deals with the subject of the practice of giving (dāna), stating that only the Bodhisattva who does not rely on [the false concepts of] real things should undertake it. In the two commentaries, the goal is that of combining rational argumentation and spiritual path by logically justifying a practice of the perfections in which the Bodhisattva does not rely on the wrong belief of the existence of something. According to Kamalaśīla, in particular, this means that he should be fully aware of the absence of a real nature in all .

239 Buddhist Studies 17th World Sanskrit Conference, 2018

Was Sthiramati of Valabhī the Same Person as the Commentator Sthiramati? Hidenori Sakuma Tsukuba University (Tsukuba, Japan)

In this paper, I raise some questions about the view that would regard Sthiramati of Valabhī, the commentator Sthiramati, and Anhui of Chinese and Japanese Vijñānavāda doctrine as one and the same person, and I focus on the following points:

1. Whereas the extant Sanskrit commentaries on the Madhyāntavibhāga, etc., attributed to Sthiramati are considered to have been written by the same person, the commentary on the Mahāyānasūtrālaṃkāra, preserved only in Tibetan translation, is thought to have been written by someone else since it contains ideas not found in the Sanskrit commentaries. Furthermore, ideas distinctive of Anhui, which differed markedly from the orthodox doctrine propounded by Dharmapāla, are also not found in the Sanskrit commentaries.

2. The original purpose of the copper-plate inscriptions from Valabhī was to ensure the continuing future existence of the monastery and its land should there be a change of dynasty, and even if a person by the name of Sthiramati happens to be mentioned in these inscriptions, this in itself does not provide any grounds for identifying him with the famous commentator of the same name.

3. The identification of Sthiramati appearing in the copper-plate inscriptions with the commentator Sthiramati goes back to the writings of Bühler and other nineteenth-century scholars, in particular their treatment of the name “Jianhui” mentioned in the section on Falapi (Valabhī) in the Da Tang xiyuji. One also needs to reconsider why Xuanzang used the designation “Jianhui” instead of “Anhui.” By reexamining the relevant issues with reference to the account in the Da Tang xiyuji, field surveys of present-day Valabhīpur, and archaeological investigations at other localities, it becomes clear that Valabhī could not have been a centre of Buddhist studies on a par with Nālandā.

240 17th World Sanskrit Conference, 2018 Buddhist Studies

A Previously Unknown Biography of the Buddha in Gāndhārī Richard Salomon University of Washington (Seattle, WA, USA)

A recently discovered birch-bark scroll contains a previously unknown poetic biography of the Buddha in Gāndhārī language/Kharoṣṭhī script, probably dating from around the second century, CE. The surviving portion comprises slightly over one hundred lines written with one verse per line. The poem describes the principal events of the life of the Buddha, often in more or less familiar terms, but presented in a relatively brief and simple style. The fragmentary remains of the text include references to major events in the Buddha’s life such as his birth, encounter with the teacher Arāḍa, and enlightenment, so that it would seem that the text is relatively complete. This means that the poem was far briefer than the other biographies of the Buddha extant in Sanskrit, Pali, and Chinese, that typically describe the events in a much more ornate and verbose style. The new biography is evidently not directly related to any previously known text, and seems to have been an independent local composition which has never been circulated beyond its Gandhāran homeland. Its discovery thus provides yet another insight into the rich literature, until recently lost, of Buddhist Gandhāra.

241 Buddhist Studies 17th World Sanskrit Conference, 2018

Just One Step: On the Worship of Buddhas in Gandhāra Andrea Schlosser Ludwig Maximilian University of Munich (Munich, Germany)

In the Bajaur Mahāyāna Sūtra (fragment 2 of the Bajaur Collection of Buddhist Kharoṣṭhī Manuscripts), dated to the second century CE, some verses contain the phrase “taking just one step” (ekakrama). Abundant merit is obtained by taking just one step in the presence of the conqueror (jinasya sāntike) with a faithful mind (prasannacitta). Similarly, giving a flower would yield an immeasurable amount of merit and one’s insight would become stainless. The phrases “taking one single step” and “giving a flower” only occur in the verse section, but they correspond to the description of honoring Tathāgatas in the preceding prose section. This presentation will describe the textual evidence of the Bajaur Mahāyāna Sūtra and compare it to passages in other Buddhist texts where similar formulations are found. It will explore the implications of taking just one step and its meaning in respect to the worship of Buddhas in the second century of the Common Era.

242 17th World Sanskrit Conference, 2018 Buddhist Studies

Asset Markets, the Agency Problem and Gifts to the Early Medieval Buddhist Saṅgha in India: Evidence From the Mūlasarvāstivāda Vinaya P. V. Viswanath Pace University (New York, NY, USA)

In this paper, I look at one aspect of one code of Buddhist monastic conduct, the Mūlasarvāstivāda Vinaya (MSV) from early medieval India, which reflects the tension between the need to satisfy bodily needs in monastic life and self-indulgence. In particular, I look at the nature of gifts made to the Buddhist Saṅgha, particularly real estate and connect it to rules of ownership of material goods by the monks. Some scholars have argued that durable gifts, such as land and cloth to the Saṅgha were not one-time events. Rather, they created an extended bond between the donor and the Saṅgha that entailed both rights and obligations for both parties. Why does the vinaya presuppose and, to some extent, impose such a continuing relationship between donor and Saṅgha? Why should donors have obligations beyond their initial donation? I suggest two different complementary market and management-based explanations for these unusual gift relationships, which support the idea that monastic decision-makers were aware of the economic and social environment in which they lived and modified vinaya rules to ensure their survival. The economic approach that my paper takes has implications for the dating of this vinaya. The MSV was originally written in Sanskrit and later translated into Chinese and Tibetan. Most discussion of the dating of the text has revolved around issues of Buddhist archaeology and Buddhist theology with relatively less attention paid to the characteristics of the Sanskrit. This paper does not discuss language issues, but the ultimate resolution of the dating question will have to involve a triangulation based on language, economic environment, archaeology, and theology. This paper provides some evidence for rethinking the current dating consensus and provides an opening for a linguistic re-evaluation of the text.

243 Buddhist Studies 17th World Sanskrit Conference, 2018

A Study of the Manuscript Material of the Vīrakuśāvadāna Pranali Prakash Waingankar Savitribai Phule Pune University (Pune, MH, India)

The Vīrakuśāvadāna contains the aṣṭamīvratamāhātmya, which is the most popular buddhist vratas in Nepal. It is an applied version of the Kuśa tale. Although the characters and the central theme of the Vīrakuśāvadāna and Kuśa Jātaka in the Pāli and in the Mahāvastu are one and the same, there are some minor differences between them. The Vīrakuśāvadāna emphasizes the importance of aṣṭamīvrata, however, the latter two do not refer to any buddhist ritual. I have so far collected 14 manuscripts of this text in nāgarī and nevārī scripts. Seven of them contain a colophon, based on which it is possible to identify two versions of the Vīrakuśāvadāna. One of them is related to the Vratamālā and another to the Divyāvadāna. In my paper I will present a detailed report on the available manuscripts of the Vīrakuśāvadāna and will make some preliminary remarks based on my initial study of this material.

244 17th World Sanskrit Conference, 2018 Buddhist Studies

On Ornaments of Speech in Kṣemendra’s Buddhist Legends Kazuho Yamasaki Nakamura Hajime Eastern Institute (Tokyo, Japan)

The Avadānakalpalatā by the Kashmiri poet Kṣemendra (ca. eleventh century) is a collection of Buddhist legends in 108 chapters. According to its colophon, the work was written at the request of Nakka, a Hindu friend of Kṣemendra’s, so that the treasure trove of Buddhist legends would be accessible to Hindus. On one hand, Kṣemendra attempts to be faithful to the plot of the Buddhist legends on which the work is based. On the other, he takes pains to offer his Hindu audience an assortment of descriptions such as the seasons, sunrises, or the pleasures of love, which are typical of court poetry.

Many scholars restrict themselves to the study of the textual relationship between the Avadānakalpalatā and canonical Buddhist texts, and leave out of consideration the question of what poetic tradition influences the Avadānakalpalatā. This paper attempts to answer this question, focusing on the examples of ornaments of speech used in this work. A closer perusal of the text shows that many of the examples of alliteration are not constructed within the confines of the rules set down by the Kashmiri poetician Rudraṭa (ca. ninth century), who takes the view that ornaments of speech are the soul of poetry. In the verses devoted to the description of a charnel ground, Kṣemendra deliberately repeats the consonant /k/ to reproduce the sound of cracking skulls, and thereby evokes an emotion of disgust. It should also be noted that in his treatise on poetics, Aucityavicāracarcā, Kṣemendra criticizes Dharmakīrti (ca. seventh century) for using alliteration that does not give a poetic charm to his verse. These facts show that, at the time when he wrote the Avadānakalpalatā, Kṣemendra inclined to the view that the soul of poetry lay not in the display of linguistic virtuosity but in the suggestion of a particular emotion.

245 Jaina Studies 17th World Sanskrit Conference, 2018

11. जैन विदा - Jaina Studies

Notes on Uttarajjhāyā 27 Ruixuan Chen Heidelberg University (Heidelberg, Germany)

This paper aims at a new interpretation of the 27th Chapter of the Uttarajjhāyā, the second Mūlasutta of the Śvetāmbara Jains, which consists of 36 edifying poems dealing with a variety of elementary doctrinal issues of Jainism. The 27th poem comprising 17 stanzas narrates a parable concerning the tensions between an Elder Gagga (Gārgya) and his unmanageable disciples. At the end of the story, the Elder gave up on those badass disciples and went to the wilderness alone as an ascetic. The moral of this story is so perplexing that a thorough discussion is needed to disentangle doctrinal logic from literary flamboyancy.

The approach adopted in my study is both philological and historical. I base my interpretation of the poem on a critical edition of the text, making use of four different editions. Taking the critical edition as a point of departure, an English translation with detailed annotations is made and serves as a solid basis for historical inferences and literary appreciation of the poem. Tracing the leitmotif of the poem, namely the simile of charioteer and draft animals, to its Vedic root and beyond, I venture to explore the doctrinal framework at work in the story and its possible historical connections with the teachings of Jainism in particular and the ancient Indian thought in general.

246 17th World Sanskrit Conference, 2018 Jaina Studies

Forest Adventures Transformed in the Jain Rāmāyaṇas Eva De Clercq Ghent University (Ghent, Belgium)

Characteristic to the story of the Sanskrit epic Rāmāyaṇa is its wide spread in and beyond South Asia, whereby authors of different ideological, social and geographical backgrounds composed their own accounts. From the first centuries of the common era onwards, Jains too integrated the Rāmāyaṇa story into their narrative repertoire, more specifically as part of their so-called universal history, as told in texts categorized as purāṇas or caritras, wherein the protagonist Rāma is called Padma in the title. Rāma (or Padma), Lakṣmaṇa and Rāvaṇa are here considered śalākā- or mahāpuruṣas, great men of legendary history and of importance for the spread of the Jain doctrine. Adapting the story to their ideology and overall worldview, Jain authors explicitly or implicitly criticized what they perceived as the internal inconsistencies of the authoritative Rāma story of Vālmīki, and by solving these, attempted to offer a more coherent and logical narrative. Most significantly, the vānaras and rākṣasas are here no longer monkeys and demons, but dynasties of humans.

This paper will discuss the Jain transformation of the events of the Āraṇyakāṇḍa, the book narrating the abduction of Sītā and in Vālmīki’s Rāmāyaṇa typically filled with encounters with rākṣasas and other supernatural beings in the forest. I will show how these Jain transformations can be seen as efforts to integrate the events of this book with the categorizations of the characters Rāma, Lakṣmaṇa and Rāvaṇa as śalākāpuruṣas. For this purpose, relevant passages from the Paümacariyam by Vimalasūri (5th c. at the latest), Raviṣeṇa’s Padmapurāṇa, the Paümacariu by Svayambhūdeva (9th-10th c.), and the accounts of the Rāmāyaṇa story in Hemacandra’s Triṣaṣṭiśalākāpuruṣacaritra (12th c.) and Guṇabhadra’s Uttarapurāṇa (9th c.) will be examined and compared to the authoritative account of Vālmīki.

247 Jaina Studies 17th World Sanskrit Conference, 2018

“Hari Is Not Even Satisfied by 16000 Gopis!”: The Dharmaparīkṣā by Amitagati as Jain Expression of a Puranic Mode Heleen De Jonckheere Ghent University (Ghent, Belgium)

Being a pan-Indian “literary mode”, the Jain tradition has produced many own Purāṇas or own Puranic stories (included in larger texts). This literary production often has themes and motives known from the Hindu Mahā Purāṇas but in a distinct telling specific to the Jain tradition. Such is also the case in the Dharmaparīkṣā, a text written by several authors, but best known in the Sanskrit version by Amitagati. The text contains a collection of stories aimed to criticize Brahmanical Hinduism. Many of these stories refer to epic or Puranic episodes in a slightly changed version and are used to prove the faults in the Brahmanic tradition. Therefore the idea of a “Puranic process” as Hardy explains it in Purana Perennis, a volume on the Purāṇas edited by W. Doniger (1993), is very useful to the text. He describes the production of Puranic stories as a process aimed at providing information in a specific context. This process has a historical dimension in that the known story material undergoes transformation, and an ideological aspect, in that the story itself transforms a certain view of the world (Hardy 1993:162). By looking at specific stories from the Dharmaparīkṣā by Amitagati I want to show how the text transforms Puranic material to fit the specific context and purpose of the text and how it transforms Jainism by both appropriating Puranic material and criticizing it at the same time. In other words, I want to show how the Dharmaparīkṣā serves as an example of the pan-Indian Puranic process.

248 17th World Sanskrit Conference, 2018 Jaina Studies

The Authorship of the Āryās in the Tattvārthasūtrabhāṣya Lucas den Boer Leiden University (Leiden, Netherlands)

The Tattvārthasūtra (TS) holds a unique position in the history of Jaina literature. It is not only the first text that provides a systematic overview of Jaina philosophy but it is also supposed to be the first significant Jaina text written in Sanskrit. The fact that the author of the TS used the language that was also used by the other philosophical movements seems to be a sign that the Jainas tried to connect to a wider intellectual world at the time of the composition of the TS. However, can we assume that the TS was actually the first Jaina Sanskrit text in this process?

In my paper, I will focus on some remarkable passages in the Tattvārthasūtrabhāṣya. This text, which is the first commentary on the TS, contains some āryās that differ in style from the main part of the bhāṣya. These āryās are introduced with the phrases ‘āha ca’ or ‘uktaṃ ca’, which seems to indicate that these verses predate the commentary. However, it seems to be overlooked by other scholars that the āryās are written in Sanskrit while we don’t have any philosophical Jaina Sanskrit text predating the bhāṣya, except for the TS. This raises the question whether there was another Jaina philosophical text in Sanskrit that was known to the author of the bhāṣya. In order to answer that question, I will provide a systematic overview of the āryās in the Tattvārthasūtrabhāṣya and discuss the style and content of these verses. Addressing the question whether the āryās can be written by the author of the bhāṣya, my paper will shed some light on the authorship of the bhāṣya and the contested relation between the bhāṣya and the TS itself.

249 Jaina Studies 17th World Sanskrit Conference, 2018

Shutting Kumudacandra’s Mouth: Yaśaścandra’s Mudritakumudacandra as a Source for the Intra-Jain Debate at Aṇahillapaṭṭana in 1125 University of Edinburgh (Edinburgh, Scotland)

Scholarly understanding of Jain drama in western India during the twelfth and thirteenth centuries has advanced markedly thanks to the recent invaluable studies of Basile Leclère in this area. One play of which Leclère is aware but does not choose to investigate in any more than a cursory manner is Yaśaścandra’s Mudritakumudacandra which dramatises the celebrated debate at Jayasiṃha Siddharāja’s court at Pāṭaṇ between the Śvetāmbara Devasūri and the Kumudacandra. This event was recorded by several thirteenth century Śvetāmbara chroniclers, yet although Yaśascandra’s play is of uncertain date, it seems to be the earliest literary source for the debate.

The Mudritakumudacandra has been little studied, with the exception of a recent analysis of its structure in tems of traditional Indian dramaturgical theory. However, with the appearance of a new edition of the play by Vijayayogatilakasūri and a previously unpublished partial biography of one of the debate’s protagonists, Devasūri, along with the inclusion of a painting of the debate on a twelfth century book cover within a personal list of all-time masterpieces by one of India’s leading art historians, B.N. Goswamy, the time seems ripe to take a more focussed look at the Mudritakumudacandra.

At this stage it is proposed to discuss (1) aspects of the actual debate (whose precise terms as they related to the topic at stake, namely the controversy over spiritual liberation for women, are not actually described by Yaśaścandra) and Kumudacandra’s defeat; and (2) the possibility of adducing some internal and external evidence relating to Jayasiṃha Siddharāja as a means of dating the play approximately.

250 17th World Sanskrit Conference, 2018 Jaina Studies

Is Cognition Based on Authoritative Discourse a Type of Inference? A Jain Perspective Marie-Hélène Gorisse Ghent University (Ghent, Belgium)

Consenting to rely not only on one’s own experience, but on the experience of others as well, especially by means of relying on their discourse, is essential for the development of man. A discourse worthy of such a trust is called “authoritative discourse” (I will indicate the specific uses of śruta, śabda, āgama and śāstra).

In the Jain tradition, it is systematically defined since Mahāmati (720-780) as the discourse “which has been discerned by an authoritative person, which is not negligible, which does not contradict what is accepted or what is experienced, which gives the instruction about reality, which is for everybody and which obliterates errant paths” (Nyāyāvatāra 9). First, this definition unambiguously posits Jain conceptions of authority in a close relationship with that of Nyāya and of Buddhism. Second, cognition derived from an authoritative discourse is considered as a genuine type of cognition of the world (pramāṇa), and not only of the cognition of the intention of a speaker.

The aim of this paper is to investigate the causes and consequences of this conception, focusing on the specificities of the Jain perspective. I will present sections of the Nyāyakumudacandra and of the Prameyakamalamārtaṇḍa, in which Prabhācandra (980–1065) engages in a debate with other traditions on the classification of cognition based on authoritative discourse, in which he considers it as non-reducible to other types of cognition such as inference. This debate is of special significance for our understanding of the respective conceptions on the mechanisms of inference and of denotation, as well as on the relationship between words, concepts and facts. Furthermore, if the difference between the acquisition of knowledge through authoritative discourse and through inference is that the first one relies on the intention of a speaker, what is the added value of such a dependence?

251 Jaina Studies 17th World Sanskrit Conference, 2018

A Study of Śubhacandra’s Presentation of the Twelve Reflections (Dvādaśabhāvanā) in the Jñānārṇava as an Example of “Premeditation” in Jainism Giles Hooper University of Sydney (Sydney, Australia)

This paper will consider how two senses of the word “premeditation” are evident in the presentation of the Twelve Reflections in the Sanskrit Jñānārṇava (JA) by the mysterious Jain Digambara mendicant, Śubhacandra (c. 11th century C.E.), dealing with yoga and meditation. In the JA Chapter 2, he discusses the following twelve subjects for reflection or contemplation: (1) transitoriness, (2) helplessness, (3) the cycle of rebirth, (4) solitariness, (5) difference between the body and the self, (6) impurity of the body, (7) the influx of karma, (8) preventing the influx of karma, (9) wearing away karma, (10) doctrine, (11) the cosmos, (12) enlightenment.

It is possible to view the term “premeditation” in English as having two senses. In a general sense, it can refer to the formation of an intention or purpose prior to committing an act or undertaking an activity. In a more specific sense, it can refer to something that exists or is done before meditation (dhyāna). This paper will show how explicit statements by Śubhacandra, his manner of articulation and their placement within the context of his whole work reveal his view of the Twelve Reflections as constituting a means for forming the intention to achieve a number of aims and as a meditative practice that should precede meditation proper. In doing so, it will add to our understanding of the connection between the Twelve Reflections and meditation.

The JA was the first Jain work to provide a comprehensive exposition on meditation yet detailed research on it has remained negligible. Until relatively recently, scholarship in Jainism has predominantly focused on the texts, practices and history of the Śvetāmbara sect so that examination of a text from the Digambara tradition is still significant. Consequently, this paper will make a novel and original contribution to Jain and Sanskrit studies.

252 17th World Sanskrit Conference, 2018 Jaina Studies

The Teaching of Mātrās in the Jain Ādipurāṇa Anubha Jain Guru Nanak Girls College (Ludhiana, PB, India)

Education plays a pivotal role in the survival of a country’s culture and civilization, as only through education is it possible for human capabilities to grow, knowledge to be enhanced, and behaviour to change. The combination of all these increases talents. Education is the only medium that enables a man to show his talents to society, and in return he earns his livelihood, gains reputation, and may contribute to the development of the nation. also establishes that the purpose of education is the all-round development of man, so that being refined and upgraded, he can lead society on the good path. In this respect, the Ādipurāṇa states, “vidyā yaśaskarī puṃsām vidyā śreyaskarī matā” (16.99). Such a man is well able to judge which way to go to before he follows a thing, action or a thought. In the Jaina Āgama Ādipurāṇa, Ādi Tīrthaṅkara Vṛṣabhadeva has stipulated his doctrines on education. The people being well-versed in education could execute their desires in such a way that helped the whole society to progress. But for this, a man should have proper knowledge of language, which is completed by knowing mātrās that are essential to understanding the language and the proper delivery of speech. Lord Vṛṣabhadeva blessed the people by providing them the education of “Siddhamātṛkā” (Ādipurāṇa 16.105), including a bījākṣara from the very first letter (akāra) to the last (hakāra), and the types of the alphabet (vowels and consonants) (Ādipurāṇa 16.106). Further, he told about visarga, anusvāra, jihvāmūlīya, and upadhmānīya, because without knowing all these, it is very difficult to understand language. He taught how to form words using correct mātrās. If one knows a word correctly without any saṃśaya or viparyaya, only then can he express his views, and others understand the exact meaning of those words. Behaving like this, people would be able to live their lives more effectively and efficiently. Thus, the focus of this paper is on the teachings of Lord Vṛṣabhadeva regarding mātrās, as delivered in the Ādipurāṇa.

253 Jaina Studies 17th World Sanskrit Conference, 2018

Jainism Depicted in Allegorical Stories in Prakrit Literature (with Reference to Two Texts of the 12th Century) Saloni Joshi Gujarat University (Ahmedabad, GJ, India)

Since ancient times, narrative literature has been used for religious preachings. The preaching of Jainism is found abundantly in Prakrit Literature. The roots of allegorical stories are found in ancient Hindu, Buddhist and Jain literature. I have selected two texts of 12th century for this paper (1) चनपहच रियं by शीचनसू रिशिष आचा रयहरिभदसू रि and (2) कु म ा र व ा ल प डि ब ो ह by सोमपभसू रि . The story in चनपहच रियं describes how जीव attain मोक with the help of समक नरश . The different technical terms of Jainism are narrated by the author in the form of characters. कु म ा र व ा ल प डि ब ो ह has an interwoven story of जीवमनकरणसंलापकथा. The quarrel between मन and इनिय is narrated here. How जीव suffers from चतगु रितदःखु is narrated. Finally they conclude that मन only is responsible for all sufferings. In this paper I want to establish how the same motif can be narrated through this kind of different story.

254 17th World Sanskrit Conference, 2018 Jaina Studies

Haribhadrasūri on Steya-/Caura-Śāstra Yutaka Kawasaki University of Tokyo (Tokyo, Japan)

The Dhammasaṅgahaṇi, one of the works attributed to a Śvetāmbara Jain monk Haribhadrasūri of 8th century, refers to disputations about thievery as a reasonable vocation and ’s rebuttals to it in verses 926 to 955. What the disputant argues here clearly reflects the well-known tradition of the so-called “treatise on the art of thievery (steya-/caura-śāstra)” and gives new material for the better understanding of that art in the cultural history of India. In this presentation, I will examine the “manner of stealing” from the claims of the disputant. Then I will discuss how the disputant legitimate thievery and how Haribhadra criticizes his disputant’s claims. Through a careful reading of the text, I will demonstrate that the disputant postulates that the vocation of thievery is reasonable from a fatalistic viewpoint. Since both thieves and stolen goods are created by “vidhi” (“fate, destiny”), their states cannot be changed by any human efforts. I will also argue that Haribhadra in contrast postulates that vidhi is not unchangeable destiny but merely one’s karman in the past, and that he emphasizes the changeability of its future effect. His refutation includes the standpoint that even if a certain past karman caused its future effect, its result can be so mutable that it is capable of changing in response to external factors. In short, a thief can move to a new job on his own free will.

255 Jaina Studies 17th World Sanskrit Conference, 2018

Gāhāvaï and Gihattha: The Householder in the Early Jaina Sources Claire Maes University of Texas at Austin (Austin, TX, USA)

This paper brings the evidence presented in the early Jaina sources in conversation with Jamison’s recent discovery that the Sanskrit term gṛhastha is a neologism in the Dharmaśāstra texts. Being the principal and technical term for the twice-born householder in the Dharma literature, gṛhastha represents the central institution of the ideal society imagined by early Brahmins. Given this fact, it is surprising that the term did not evolve from an orthodox Brahmanical context. A logical antecedent for gṛhastha would have been the Vedas. Jamison, however, shows that the term is absent in Vedic texts, where gṛhapati is used instead. Observing how in Middle Indic sources, Prākrit equivalents of gṛhastha often occur in a contrastive pair with ascetics or those who go forth from home into homelessness (pravrajita), Jamison suggests that the Brahmanical term gṛhastha must have been adopted from the śramaṇic discourse. In this paper, I seek to contribute to this fascinating discussion by offering a critical examination of the various terms for householders in the oldest strata of the Jaina canon, being the the Āyāraṅga Sutta, the Sūyagaḍaṃga and the Uttarajjhayaṇa Sutta. If the gṛhastha of the Dharmaśāstra texts was indeed inspired by a śramaṇa discourse, then the Jaina materials need to be brought into the discussion. In my discussion of the terms for householders in the early Jaina sources, I will focus in particular on the terms gihattha and gāhāvaï, being the Ardhamāgadhī equivalents of the Sanskrit terms gṛhastha and gṛhapati respectively.

256 17th World Sanskrit Conference, 2018 Jaina Studies

“A Joke Amongst the Paṇḍits”: Jain Brajbhāṣā Poets, Their Rāmāyaṇas, and Their Relations to Sanskrit Literary Culture Adrian Plau SOAS University of London (London, England)

One of the major unexplored archives of Indology is that of the Jain Brajbhāṣā poets, whose output, composed from the mid-16th century and well into the 19th, is retained in research archives and temple libraries across great swathes of North India. While a figure like Banārsīdās (1586-1643) receives much attention for his seminal autobiographical work, the Ardhakathānaka, and for his influential compositions on early modern Digambara mysticism (adhyātmikā), many bodies of work by other early modern Jain poets still remain to be studied. One of the questions that should be addressed in greater detail is how these poets related to the Jain literary tradition in Sanskrit. Were they simply translating, in an attempt at popularizing Sanskrit works? When building on acknowledged Sanskrit predecessors, what and how would they change in their own works? These questions are relevant to Sanskrit studies in that they help us reflect on the cultural history of Sanskrit amongst Jains of early modern North India.

This paper addresses these questions by comparing two Jain Rāmāyaṇas in Brajbhāṣā, Brahma Rāymalla’s Hanumān Caritra and Rāmcand Bālak’s Sītācarit, to a selection of Sanskrit predecessors, including Brahmājita’s Hanumaccaritra, an anonymous mahākāvya named Sītācaritra, and Raviṣeṇa’s Padma Purāṇa. Utilising Genette’s conceptual toolsets for comparative analysis of narrative structures and close readings of key passages in the selected materials, the paper aims to demonstrate how the Jain Brajbhāṣā poets evoked, negotiated, and were vitally inspired by the heritage of Sanskrit literary culture and prestige and sometimes even belittled themselves in the process, as the paper title’s quote from Brahma Rāymalla attests. In so doing, the paper also introduces the scholarly community to several hitherto unexplored compositions in both Sanskrit and Brajbhāṣā.

257 Jaina Studies 17th World Sanskrit Conference, 2018

The Concept of Omniscience and the Theory of Destiny Jolly Sandesara Gujarat University (Ahmedabad, GJ, India)

The concept of omniscience promotes a Theory of Destiny, whereas the Karma theory opposes it. Knowledge accepts only those matters that are absolutely accomplished. Accomplishing or unaccomplished matters or events cannot be the subject of knowledge. The person with omniscience knows all matters and their aspects of past, present, and future. An omniscient knows matters and events of the future also. This means that we claim that matters and events are fixed to be in future, for only then can they be the subject of knowledge. The Karma theory cannot assign the responsibility of any act to the doer, because what he has done is already fixed to be done. He is only the instrument of it. The effect of Karma doesn’t apply to the doer. He is not supposed to enjoy the fruits of Karmas that he has done. Karma theory doesn’t accord with the principle of omniscience.

258 17th World Sanskrit Conference, 2018 Jaina Studies

Class System as in Jainism Priyanka Mayur Shah Gujarat University (Ahmedabad, GJ, India)

No human society can claim not to have differentiation from one another. So is the case with Indian society. Ever since the Vedic religion came into being, it prescribed ‘Varṇa vyavasthā’ or class system of persons. There are four classes: Brāhmaṇa, Kṣatriya, Vaiśya and Śūdra. It is mentioned in the Vedas that Brāhmaṇa was born of the mouth of Brahman, Kṣatriya was born of the Arms of Brahman, Vaiśya was born of the thighs, and Śūdra from foot of Brahman.

बाहणोस मुखमासीद ् बाह राजनः कतः।र ऊरसदस यद ् वैशःपदभा ं शूदो अजायत। But in the subsequent treaties of Vedic tradition this perception was refuted. The Apastamba Śrautasūtra says:

धरमचरयया जघनो व रणः पू रव पू रव व रणमायधते जा तिप रिवृतौ। अध रमचरयया पूवो वणो जघनं व रणमापधते जा तिप रिवृतौ। As regards to the Śramaṇa tradition, it did not endorse the Vedic system of class, but it could not abstain from it. We find varṇas mentioned at places in Jain scriptures.

All the twenty-four ford builders are Kṣatriyas as per the notion. The Jīva of Lord Mahāvīra came into the womb of Devānandā, who was Brāhmaṇa by caste. But following the norms of Jaina tradition a deity named Harinaigameṣi took the foetus from her womb and planted into the womb of Triśalā, a Kṣatriya lady. This was probably in accordance with Hindu notion in which most of the incarnations of Viṣnu was in Kṣatriya clan. This description indicates that Jains could not abstain themselves from class system. While wandering from one place to another after his enlightenment, Lord Mahāvīra reached where 5500 priests were performing sacrifice with Vedic method. He gave them a thought provoking lecture. They were convinced by him and became his disciple. There are several other events mentioned in the Jain scriptures, which are prone to indicate the acceptance of the caste system.

259 Jaina Studies 17th World Sanskrit Conference, 2018

The Concept of Manas in Jaina Philosophy Jayandra Soni IASS, University of Marburg (Innsbruck, Austria)

Umāsvāti’s Tattvārtha-sūtra (TAS) is the standard work for Jaina philosophy. TAS 1, 9 uses manas for the first time in the list of the means of knowledge: mati, śruta, avadhi, manaḥ-paryāya and kevala. These are the pramāṇas (TAS 1, 10). TAS 1, 14 says mati (synonymous with smṛti, saṃjñā, cintā and abhinibodha in TAS 1, 13) is caused by indriya and aninindriya. Pūjyapāda’s commentary to this sūtra says that anindriya, antaḥ-karaṇa and manas are synonyms. This obviously raises questions about the role of manas/anindriya in both mati and manaḥ-paryāya and the specific difference between them. The difference is entailed firstly in their designations: for the pramāṇa called mati, manas works with the indriyas whereas the pramāṇa called manaḥ-paryāya entails a modification (paryāya) of the manas alone, apparently without the intervention of external indriyas. Moreover, TAS 2, 21/22 says that śruta is the domain of anindriya = manas. Here, hearing or reading what the tradition says is certainly involved and the question, again, of its specific role as a separate pramāṇa, as different from mati and manaḥ-paryāya, can be raised.

The role of manas is thus closely related to the functions of: mati, śruta and manaḥ-paryāya (avadhi is a special case occurring in celestial and infernal beings, TAS 21/22, hence omitted here). The exact sense of the specific roles of these pramāṇas will be investigated, including how they retain their exclusivity, without reducing any of them to another and, thereby, jeopardising their singular roles.

Both Jainism and Sāṅkhya see manas as matter (/prakṛti). Sāṅkhya-kārikā 27 says that the manas has the natures of an organ of cognition (buddhīndriya) as well as of an organ of action (karmendriya). In the use and relevance of manas in Jaina thought a comparison, e.g. with the Sāṅkhya, system seems relevant and interesting.

260 17th World Sanskrit Conference, 2018 Jaina Studies

On the Lightness of the Jīva: Karma Matters at the Time of Dying Luitgard Soni Formerly, University of Marburg (Innsbruck, Austria)

The paper, mainly based on Śivārya’s Mūlārādhaṇā, refers shortly to the different modes of dying a “wise death.” Special consideration is given to the importance of samyaktva involving the unshakable faith in the Jaina doctrine, as the condition for the possibility of reaching ārādhanā at any of the modes of voluntary death. The technical term ārādhanā means the perfection of the ensemble of darśana, jñāna, cāritra and especially at the end of life, and the concept has been the theme of numerous so-called ārādhanā-texts.

The Mūlārādhaṇā, for example, describes at length the well known mode of bhakta-pratyākhyāna (the assisted fasting onto death), but the shorter sections on ingiṇī-maraṇa (dying without assistance) and on prāyopagamaṇa (dying in solitude) emphasize some other points of the process, an analysis of which will be attempted in some detail.

The main part of the paper focuses on the so-called paṇḍita-paṇḍita death of a kevalin, namely the prāyopagamaṇa process of shedding and annihilating the remaining karma, as explicated in the Mūlārādhaṇā. This section of the text offers an interesting illustration and concretisation of the theory of karma. The dynamics of the last acts bring out some intricate features of the jīva, also in its relation to the body. The paper will pay special attention to some similes which describe the alleviation and the shedding of the karma-matter and the resulting lightness of the liberated jīva.

261 Jaina Studies 17th World Sanskrit Conference, 2018

Between Ritual and Therapy: The Bhaktāmar Stotra in Faith Healing Tine Vekemans Ghent University (Ghent, Belgium)

The Bhaktāmar Stotra is a 6th century, CE, Jain text, which has remained in constant use as a much- loved hymn and as an object worthy of veneration in its own right. In the centuries after the text was composed, each of its chain-breaking verses has become linked with its own mantra and yantra. Recently, spiritual healers have revisited and systematized the Bhaktāmar Stotra under the term “bhaktamar technology.” This therapeutic approach to the stotra fits well with the contemporary emphasis on health and wellbeing noted especially in Jain diasporic settings. The mechanics of the potential healing effects of the Bhaktāmar and other Jain mantras has recently been looked at by Ellen Gough. This paper will seek to complement Gough’s work by [1] tracing the continuities and differences between ritual and therapeutic uses of Bhaktāmar Stotra, [2] examining the stotra’s recent systematization as a healing technology, and [3] discussing the place of Bhaktāmar spiritual healing in contemporary Jainism.

262 17th World Sanskrit Conference, 2018 Jaina Studies

Introducing Gujarati Jain Women to Their Virtue in the 15th Century: The Śīlopadeśamālā-Bālāvabodha of Merusundaragaṇi Steven M. Vose Florida International University (Miami, FL, USA)

Merusundaragaṇi’s fifteenth-century Śīlopadeśamālā-Bālāvabodha (ŚB) was meant to introduce the concept of śīla (virtue, piety) to novices in the Jain tradition. Half of the stories in the collection center on exemplary women (satīs) and women whose behavior made them otherwise (asatī, śīlabhraṣṭa). This paper traces the story of Nammayāsundarī through two previous tellings in Prakrit and Apabhraṃśa that precede the ŚB to see Merusundaragaṇi’s strategies for re-telling the story. The terse narrative of the ŚB, which contrasts with other tellings, illustrates a principle of efficiency in the Old Gujarati, suggesting that the text was meant for religious discourses with the laity, providing a skeleton script that could be elaborated upon according to the teller’s specific agenda. Situations and concerns that are central to this story show the importance that Jain monks placed on instructing laywomen that the preservation of śīla for the sake of their family honor is at the same time, central to their soteriological potential.

263 Vaiṣṇavism and Śaivism 17th World Sanskrit Conference, 2018

12. वैषवमतं शैवमतं च - Vaiṣṇavism and Śaivism

A Comparative Note on the Concept of Absolute as Perceived by Nimbārka and Śrīpati Mukta Biswas Gauhati University (Guwahati, AS, India)

Nimbārka and Śrīpati are two great philosophers in the domain of Indian philosophy, and their rationalities of dogma are based on the Brahmasūtra of Bādarāyaṇa. Nimbārka, composed the commentary Pārijātasaurabha on the Brahmasūtra from the point of view of Vaiṣṇavism. On the otherhand, Śrīpati wrote the commentary Śrīkarabhāṣya on the basis of the Vṛtti of Agastyamuni, an interpretation of the Brahmasūtra from the standpoint of Vīraśaivism. In this paper, an attempt has been made to highlight the concepts of Brahman or Absolute as viewed by both philosophers through an analytical, critical, and comparative approach. Nimbārka advocates the philosophy of Bhedābheda or “Difference-and-non-difference”, and is of opinion that Brahman is both identical with, and different from, the selves and matter. Śrīpati, the founder of Bhedābhedātmakaviśeṣādvaita, recognizes both Difference and non-Difference between Brahman and its energy. Nimbārka believes that the Absolute is a personified God in the form of Śrīkṛṣṇa or Viṣṇu. On the contrary, Śrīpati conceives that Absolute is Pati or Paraśiva who is nothing but the Upanisadic Brahman and in his real nature, he is beyond the stage of form. Both philosophers are of opinion that Viṣṇu or Paraśiva is the material and efficient cause of the world. According to Nimbārka this world is the modification of Brahman; however, Śrīpati is of the view that world is the manifestation of Paraśiva. Nimbārka opines that Māyā is a real power of Brahman, but according to Śrīpati, Māyā is only a phase of Śaktī and not a real power or Parāśakti of Śiva. More such deliberations of both the philosophers in the context of Absolute will be discussed in the present paper. In conclusion, it can be said that these two major philosophers had divergent visions on certain philosophical points, though they had a consensus of opinions in some other spheres.

264 17th World Sanskrit Conference, 2018 Vaiṣṇavism and Śaivism

Reconfiguring Scripture: The Vaiṣṇava Nuances of the Svāminārāyaṇa Sampradāya’s Redacted Śikṣāpatrī Avni Chag SOAS University of London (London, England)

The Śikṣāpatrī is a popular Sanskrit dharmaśāstra, attributed to Svāminārāyaṇa, founder of the eponymous 19th century Hindu devotional tradition, the Svāminārāyaṇa Sampradāya. The text exists in two recensions: one of 212 verses used by members of the sampradāya today, and an earlier retired version of 145 verses. In order to understand why the text was redacted, this paper first highlights the tensions between the two recensions, and then contextualizes these amidst the predominant religious currents of the period and region of composition. The revisions reveal a particular trend, one that seems to be appropriating ideas that resonate with popular Vaiṣṇava religious culture, including: Rāmānuja’s viśiṣṭādvaita vedānta; Kṛṣṇa as the iṣtạdeva, and Goloka as the desired abode; and an ecclesiology identical to the Puṣṭimārga ācārya successorship. However, these revisions do not resemble Svāminārāyaṇa’s teachings in the Vacanāmṛta, a Gujarati record on the intricate workings of Svāminārāyaṇa-specific metaphysics and praxis as narrated by the founder himself. Here, Svāminārāyaṇa distinguishes his vedānta from that of Rāmānuja; identifies himself as Puruṣottama, the iṣṭadeva, and Akṣara as his abode; and does not mention the future administration of his fellowship, but rather the brahmasvarūpa gurus as his spiritual successors.

These apparent discrepancies allow us to think about the community that produced the text we confront today: why did they revise the Śikṣāpatrī? The redaction is decidedly ecumenical in its reliance on pre-established authoritative and successful Vaiṣṇava traditions, a move that presents Svāminārāyaṇa ideas in broader contexts in order to secure public acceptance. Produced during the sampradāya’s early, critically formative years, during a time when Vaiṣṇava ideologies were most prevalent, the reliance on these trends is unsurprising if not even expected. The redacted Śikṣāpatrī encourages us to think about such textual processes as strategies for the institutionalisation of an emerging sampradāya.

265 Vaiṣṇavism and Śaivism 17th World Sanskrit Conference, 2018

Importance of Srī Rādhā in Nimbārka Philosophy Brindaban Bihari Das Sri Sri Dhananjoy Das Kathiababa Charitable Trust (Vrindavan, UP, India)

The first among the several books of Ācārya Nimbārka is the Vedānapārijātasaurabha, a commentary in Sanskrit based on the Brahma Sūtra of Vedavyāsa. In his verse on the first sūtra, Nimbārka mentions “शकादिभिबृहतमो यो रमाकानः पुरषोतमो”. Here ‘रमा’ refers to Rādhā. Hence, the conceptualization of Rādhā in Nimbārka philosophy may be traced back to the first sūtra of the Brahma Sūtra itself. In Vedāntakāmadhenudaśaślokī, another of his later works, Nimbārka writes, “अङे तु वामे वृषभानुजां मुदां िवराजमानामनुरपसौभगाम ् । सखीसहसै: पिरसिे वता ं सदा सरेम देवी ंसकलेषकामदाम ् ।।” Śrī Rādhikā is depicted in this verse as seated merrily on the left side of Lord Śrī Kṛṣṇa. Being served by thousands of female companions, Vṛṣabhānu’s daughter Rādhā, who is as beautiful as Śrī Kṛṣṇa (parabrahmasvarūpā), is blessing the devotees with the puruṣārthacaṭuṣṭaya: dharma, ārtha, kāma and mokṣa, as per their respective wishes. Nimbārka philosophy conceptualizes two distinctive līlās - Braja Līlā and Vṛndāvana Līlā. Braja Līlā is situated in Braja-Vṛndāvana, where Śrī Kṛṣṇa is flanked by the gopīs, who are immersed in kāntā bhāva (romantic love) towards him. Vṛndāvana Līlā is situated in divya Vṛndāvana, where Rādhā-Kṛṣṇa spend time in each other’s company in the divine garden (kuñjavihāra). Here the gopīs just facilitate the kuñjavihāra and derive pleasure, not with kāntā bhāva, but with sakhī bhāva, i.e. with their platonic and friendly demeanor. Thus, the upāsana within Braja Līlā consists of the gopī bhāva, whereas the upāsana within Vṛndāvana Līlā is that of sakhī bhāva. This is expressed by Nimbārka as सखीसहसै: प रिसे वितां सदा. This upāsana of sakhī bhāva, passed on from Sanakādi Bhagavān to Nārada and then to Nimbārka, is still preeminent. I believe this depiction of Rādhā is Nimbārka’s contribution. While other Vaiṣṇava sampradāyas, e.g. Rādhāvallabha, Gauḍīya, etc., also worship sakhī bhāva, I believe they are influenced by Nimbārka, as he predates the other Vaiṣṇava Ācāryas.

266 17th World Sanskrit Conference, 2018 Vaiṣṇavism and Śaivism

Bhakti as a Means to Advaita: The Devotee and the Devotion in Utpaladeva’s Śivastotrāvalī Sadananda Das Leipzig University (Leipzig, Germany)

Śivastotrāvalī is a unique text in bhakti literature: the best testimony of the living spiritual tradition of the non-dualistic Śaiva philosophy of Kashmir as well as Utpaladeva’s devotional sentiments and his mystical experiences. Utpaladeva is considered as a philosopher, a great devotee, a perfected being (siddha), and a mystic with enormous mystical experiences, which he expresses in his hymns from time to time. In the five eternal functions (pañcakṛtyas) of Śiva, i.e. sṛṣṭi (creation or emanation), sthiti (maintenance), saṃhāra (reabsorption), tirodhāna (concealment) and anugraha (grace), the last one (anugraha) is essential for the process of reunion with Lord Śiva. It becomes manifest as the devotee’s devotion (bhakti) to the lord. In the hymns of Śivastotrāvalī, devotion and grace are equally important. When the devotee merges his identity, he recognizes that the very act of his devotion is but another aspect of the Lord’s bestowing of grace. The Lord is pleased when we are devoted to him, and the devotion comes to us when He is pleased. One does not happen without the other. Another verse states that bhakti and liberation are not different. Indeed, the ripened devotion (vipakvā bhakti) is liberation, or, when the devotion ripens, one becomes liberated in this world. Therefore the devotee wants to worship the Lord with body, mind, speech, actions and with all the thirty-six elements of the universe while remaining in the abode of His Consciousness. Among a number of systems that claim to lead to that goal of identifying with the Ultimate, Utpaladeva considers the path of devotion to be truly effective. Advaita can be experienced through an intense devotion, bhakti, hence, there is no contradiction between advaita (non-dualism) and bhakti (devotion) as some might think. This paper aims at analyzing some of the very interesting verses in the context of bhakti occurring in Śivastotrāvalī and how it can be a means to attain advaita and thus liberation.

267 Vaiṣṇavism and Śaivism 17th World Sanskrit Conference, 2018

The Making of a Sacred Landscape: Śaivism in Early Medieval Rajasthan Ravina Meena Jawaharlal Nehru University (Delhi, India)

Myth, history, devotion and physical space all come together to constitute a sacred geography. The study of the reciprocal relationship between different sects and religions, and between monuments and landscape, is crucial to understand the overall context of sacredness associated with different places. Literature such as Purāṇas, Epics, Sthala mahātmyas, etc. also provide a popular imagination and base to these myths. Sacred space provides legitimization to temporal power and vice-versa. Our epigraphs provide a range of evidence where we can see this reciprocal relationship at work. This paper intends to examine sacred geography of early medieval Rajasthan with a focus on certain areas that have clusters of Śiva temples and their relationship with other Brahmānical and non- Brahmānical cult centres. The attempt will be to mark out the sub-regional trends, the possibilities of expansion over time into other subregions, and the nature of linkages between temples, places, localities and the subregions in early medieval Rajasthan.

268 17th World Sanskrit Conference, 2018 Vaiṣṇavism and Śaivism

मुके: परा भकि : Pramita Mishra University of Delhi (Delhi, India) दरशनं वा दा रशनिकं चि न न ं मानवस मूलपकृ तिरेवा सि। पतेकस वकेः का चित् जीवनद षि रवा जीवनस दरशने वा तस वहने सीयं दरशनं भव ति। यत दरशनस चि न न े वा मनने पाशाताः पायशः पाधानेन बौ दिकं चि न न म ेव परिपोषयनि तत भारतीयाः अपरोकानुभूतेः वा आतसाकातारसैव पाधानमामन नि। दरशनशबसानः आतसाकातारस साधनान पि परिगणितानि। भारतीयद रशनस उदोष एवा सि – “आतानं वि दि ” अरथात् सं जानीयात्। भारतीयद रशनस लकमेवा सि यत् आधा तिक- आिधदैिवक-आिधभौितकाना ं दःखु िततयानाम ् आतिनकः नाशश अखणाननस पािपः। अस लकस अवापये मानवः जीवने बह नि साधना नि सीकरो ति। मह षिः याजवलः अस लकस अवापये बृहदारणकोप निष दि शवण-मनन- नि दि ध ा स न े ति साधना नि अवोचत्। दरशन-धरमयोः समनः गाढः एवा सि। भारतीयद रशनानां के च न समदायाः धा मिकसमदायाः सनि। तेषु अनतमो सि वैषवः समदायः यः सरवाधिको वि स तृ ो सि । अस समदायस पारमः पायः रामानुजाचा रयादेव जायते। परिवतिषु आचायेषु लेशमतानरात् अस समदायस चतु विभागाः जाताः। ते यथा - रामानुजाचा रयस शीसमदायः ( वि शि ष ा द ैत व ा द ी ), माधाचा रयस बहसदायः (दैतवादी), वि षुसा मि - वलभाचा रययोः रदसमदायः (शुदादैतवादी), नि म ा ा चरक ा य र स सनकसमदायः (दैतादैतवादी)। एतेषां परः उदे ति चैतनमहापभोः समदायः, यस नाम मधगौडीयो वा अचिनभेदभेद- समदायः इति असि। शीचैतनमहापभुना को’ पि गनो न रचितः। तस अनुयायीषु शीरपगोसामी, शीजीवगोसामी शीबलदेव- विदभूषणश “अचिनभेदाभेदः” इति दरशन- समदायस पति षामकु रवन्। शीजीवगोसा मिना शीमदागवताधारेण वि र चि त ः “सरवसमादिनी” इति सटीकया सम लितः “षट्सन रभः” इति गनः असिन् समदाये सवोतृषश पसिद एवा सि। सचिदानन भगवान् शीकृ ष एव परबह तथा पेमणा भगवतः शीकृ षस सेवा एव भकिः असि। विशेषतः भकिरेव युगेऽ सिन् मोकस परमं साधनमातमेवा सि। इयं भकिः अना भिलाषाशूनम सि। यावत् परयनं भुकेः (सांसा रिकभोगस) मुकवे ा र इचा हिद िनवसित तावद ् भिकसुखस उदयः न समवित। इचा एव सवाचर ारहीनतायाः, पापकमरणः, दषु मरणः केशस च मूलकारणमेवा सि। इचाना नाशे जाते एते सवे अपि नश नि। भकिः न के व लं जानोदयं कारय ति अपि तु भगवताकातारमिप कारयित। भिक ं िवना कमर-उपासन-जान-वैरागादयः सवे िनषलाः सिन। भिकः मुकरे िप शेषतरा पञमपुरषा रथ एवा सि।म रयादामागो वा वै दिकमा रगः अत भकिः करम-जानोपसना भिः पापते तथा भकेः लकमपि अत सायुजमु किरेवा सि। पु षिमागे भकिः अननपेमणा ईशरे आतसम रपणमेव भव ति। तत ईशरानुगहम तिरि च नानः यतो वा साधनम सि। भकेः सायीभावः पेम एवा सि। भगवतः माहातजानेन सह तान् पति सरवातिशायं पगाढं पेम एव भकिरिति कथते।तेनैव मु किः समव ति , नानथा सतमेतत्- माहातजानपू रवसु सुदढ़ः सरवतोऽधिक:। सेहो भकिरिति पोकसया मु कि रनचानथा।।

269 Vaiṣṇavism and Śaivism 17th World Sanskrit Conference, 2018

Is the Upaniṣadic Brahman Saguṇa or Nirguṇa? (With Special Reference to Vedārthasaṅgraha of Rāmānujācārya) Himani Mittal Jawaharlal Nehru University (Delhi, India)

The existence and nature of Brahman is always thought and debated about as the central idea of most Indian philosophies. Most schools of philosophy in India tend to prove that a supernatural power exists which is commonly termed as Brahman. However, different terms and definitions are used at different occasions but the idea remains intact i.e. to help find the nature of the ultimate.

This paper is based on the readings of the Vedārthasaṅgraha. It intends to present the Viśiṣṭādvaita view on Brahman and its nature. It briefly addresses the critique of the Advaita view given by Ramanujacharya in Vedārthasaṅgraha, which happens to be a collection of his commentaries on the Upaniṣads. In the book, Rāmānuja has highlighted the presence of attributes in Brahman by critiquing his opponents, Śaṅkarācārya, Bhāskara and Yādavaprakāśa. I intend to confine the paper to the critique of the Advaita. The paper begins with a brief introduction about the text and background of the Viśiṣṭādvaitins, which is followed by a discussion on the various types of Śruti sentences.

The interesting part in this paper deals with the statements like ‘tat tvam asi…’ and ‘neha nānāsti kiñcana…’. Further, it will explain the concept of context and sāmānādhikaraṇya which proves to be very intriguing as Rāmānuja here directly exhibits how Śaṅkarācārya has overlooked the context in order to suit his view. A discussion on ‘sadvidya’ is also included in the paper to support Rāmānujā’s idea. Consequently, a brief discussion about the indication of a saguṇa Brahman through the various pramāṇas follows. The paper closes with the central points of debate between both the schools and by outlining their core ideas about the nature of Brahman. It is surprising how the different systems of philosophy exercise their freedom of interpretation and claim it to be the most appropriate one.

270 17th World Sanskrit Conference, 2018 Vaiṣṇavism and Śaivism

आरा धिकाऽऽराधा च शीराधा Gautam Naunihal Dr. Harisingh Gour University (Sagar, MP, India) सं सृ तवाङये शीराधा वि षुसरपस शीकृ षस सहचरीरपेण वणिताऽसि। शीराधा विषये पामा णिकं जानं संसृतसा हितानुशीलनेनैव भवितुमरहति। पीएच.डी. इति उपाधये शीराधामवलम शोधका रय कृ त म य ा । शीराधाम धिकृत का निचन शोधपता णि अपि पका शिता नि। वि षोः दशावतारेषु शीकृ षावतारः सुप सिद:। भगवतः कृ षस पि य त म ा सहचरी च शीराधाऽ सि। पौरा णिकगनेभ आरभ इदानीननेषु राधावलभा दिमतेषु शीराधाया महतं सु विशुतमेव। अ रवाचीनासूप निषतु, पुराणेषु, कावेषु च तसाः बह विधं व रणनं वि द त । े सा क चि द ा र ा धि क ा क चि च आराधा असि। शीमदागवते नामोलेखं वि न ा वणिता सा कृ षस आरा धिकाऽ सि - ‘अनयाऽऽरा धितो नूनं…’। तसा आराधेषु न के व लं कृ षः अपितु गौरी, गणेशः, वृना, सू रयः, कामदेवः, लकीनारायणौ च सनि। सा आराधाऽ पि असि। तसाः आराधकेषु बहा, वैषवाः, कृ षः, गङा, नारदः, देवगणः, वृना, गरगाचारयः, वजवा सिनः, वनवा सिनो बालाः, पौ रणमासी, वि व ा हि त ा ः सि य ः च सनि। सा सुरनरमु निभिः वनिताऽसि। वतेषु अपि सा पूजा आराधा वाऽ सि। पुराणे धानयोगायाः तसाः सरपं वणितमसि। पदपुराणे राधाषमीवतस नि द ेश ो वरतते। क चि त ् सा एका किनी आराधाऽ सि क चि च शीकृ षेन सह। शीमदेवीभागवतं तु शीराधां सरवातिशायिनि साने पति षापय ति। तदनुसारं तु राधा रचनं वि न ा शीकृ षसा रचायाम पि अधिकारो ना सि। ततैव शीराधायाः मनस, धानस, यनस, पूजनस, पूजनफलस, सोतस, सोतपाठफलस च निरपणम सि। तसाः समनः सामवेदेन योि जतः। वृनावने पच लितासु उपासनापद तिषु शीराधाया महतं सषं परिलकते। शीराधाच रितम् अवलम महाकावान पि आधु निककाले वि र चि त ा नि सनि।

271 Vaiṣṇavism and Śaivism 17th World Sanskrit Conference, 2018

Some Observations on Pāśupata Śaivism With Special Reference to Śivapurāṇa Banashree Sarkar Gauhati University

Śaivism or the worship of Lord Śiva was an important cult among the people of ancient India. It is one of the oldest sects of Hinduism. The Āgamas are the most authoritative works on Śaivism. The Śaivite Purāṇas like the Śiva, Skanda, Kūrma etc. are the main sources of Purāṇic Śaivism. Śaivism as a philosophical system has developed in different sub-sects. The Pāśupata Śaivism is held in the highest esteem among the various Śaiva sects and is based on the five well-known principles called Pañcārthabhāṣya. The Śivapurāṇa, which is a voluminous work, deals extensively with the philosophy of Śaivism. It is one of the most sacred treatises of the Hindus, particularly of those who adhere to Śiva. Among the eighteen Mahāpurāṇas, Śivapurāṇa comes fourth. It contains all the characteristics of a Mahāpurāṇa. It is difficult to ascertain the exact date of the Śivapurāṇa, but it can be said that it has been compiled between the 7th and 8th century A.D. The Śivapurāṇa consists of seven sections called saṃhitās. Of them, the Vāyavīyasaṃhitā elucidates the different schools of Śaivism. The same Saṃhitā also throws some light on the origin and philosophical concepts of the Pāśupata system. Though Pāśupata Śaivism is discussed in other Śaṃhitās also, yet no clear description has been found. The Pāśupata system as gleaned from the Śivapuāṇa is two-fold: the Pāśupatavrata and the Pāśupatayoga. The Pāśupata sect gave more emphasis on the practice of yoga through which the highest purity of life and self-realisation can be attained, and it has many resemblances with Pātañjala yoga. The Pāśupata yoga is a special type of yoga mentioned in this Purāṇa. In the Vāyavīyasaṃhitā, yoga is classified into five types, viz., mantrayoga, sparśayoga, bhāvayoga, abhāvayoga and mahāyoga. The present write-up has been made to throw some light on Pāśupata Śaivism as reflected in the Śivapurāṇa.

272 17th World Sanskrit Conference, 2018 Religious Studies

13. धरमविमरशः - Religious Studies

In Conversation With Padmaśrī’s Nāgarasarvasvam: An Account of Intertextuality With Annotated Translations Shubham Arora Nalanda University (Rajgir, BR, India)

My research makes an inquiry into the origin, intertextuality, and erotic practices of Padmaśrī’s Nāgarasarvasvam (c. mid-10th to late-11th century A.D.), a Sanskrit sex-manual that belongs to the literary legacy of kāmaśāstra and stands out third in the chronological order. This research majorly draws upon primary sources, including the manuscript of the Nāgarasarvasvam, texts of the Ratirahasya, the Kāmasūtra, etc. Coupled with annotated English translation of chapters of the Nāgarasarvasvam, this research philologically investigates Buddhist and Hindu tantric elements in the text. The central argument of this research is that the Nāgarasarvasvam is an eclectic text and acquired its style and content from other textual sources, such as the Ratirahasya, Siddhaikavīramahātantra, and Śaṅkara’s Kāmatantra. Maharaja Jagjyotirmalla’s Sanskrit commentary on this text does not recognize this intertextuality. It passes over in the silence an important import of the Nāgarasarvasvam. Therefore, this gap between the original text and its commentary has been fulfilled by examining two chapters of the Nāgarasarvasvam—“classification of lovers” and “birth of a son”—in this paper.

273 Religious Studies 17th World Sanskrit Conference, 2018

The Festival of ‘Indradhvaja’ Through the Ages Chinmayi H. Deodhar Nalanda Nritya Kala Mahavidyalaya, University of Mumbai (Mumbai, MH, India)

Festivals are very significant in the life of human beings, as an individual, and even for a community. It generally has unique features of the community celebrating it. The festival of Indradhvaja was probably the oldest of the festivals in India. The references regarding the Indradhvaja festival can be seen in various ancient Sanskrit and Prākṛta texts from the Vedic era to the Purāṇic period. This festival was generally performed by the kings for good harvest. An ample number of myths and stories are fabricated around Indramaha, indicating its significant place in the social life of people for a long period. These accounts and stories note the remarkable changes in the importance and performance of rituals. The present paper attempts to study various accounts of the Indradhvaja festival recorded in different texts. It will be a textual study using the hermeneutical method to trace the continuity in the changes in religious activities. The paper will also try to understand the drastic change in Indra’s position as a deity.

274 17th World Sanskrit Conference, 2018 Religious Studies

A Study on the Identity and Meaning of the Vedic Ritual Drink Soma Vassiliki Deroukaki Aristotle University of Thessaloniki (Thessaloniki, Greece)

The goal of my paper is to critically examine evidence, in order to present an interpretation of the protogenic nature of the Vedic ritual beverage, Soma. I argue that Soma is not different than the ritual drink of all prehistoric cults of the Eastern Mediterranean and the Middle East, namely wine. This interpretation of Soma, derives primarily from the observation of the character of the Vedic cult, which has the characteristics of a mystery cult and not of a shamanistic one. The difference is based on the fact that the ancient type of a mystery cult consists of rites which aim for the union of man with the Divine, while a shamanistic cult consists of practices that aim to bring man into communication with the spirits of nature. Those two different goals determine different means used, as a shamanistic cult uses psychotropic substances to cause trance experience, while a mystery cult uses instruments, symbolically depicting the divine things and being channels for the descent of the divine grace into man. Under these circumstances, Soma ritual drink cannot be anything other than wine, produced by grapes. The fact that the extraction and fermentation process of wine in the prehistoric Solar cults had always been a symbol of the purifying and reforming process of the human soul, along-side with the inner reception of the divine light, my case will be substantiated by the following: (a) Evidence from ancient texts and archeological findings of civilizations associated to the Vedic one; (b) Descriptive references in the Vedic and their parallel texts; (c) An etymological analysis of the name Soma compared to cognate etymological terms in other Indo-European languages, and a penetration into its theological, cosmological and anthropological meaning.

275 Religious Studies 17th World Sanskrit Conference, 2018

The Secular and the Religious in Kṣemendra’s Samayamātṛkā Oscar Figueroa National Autonomous University of Mexico (Mexico City, Mexico)

Of all the satirical works written by the eleventh-century Kashmiri polymath Kṣemendra, perhaps his Samayamātṛkā has been the less studied to date. An amusing portrayal of human proclivity towards material gain and pleasure, with a sinister bawd and a young prostitute as successful models, the Samayamātṛkā is a particularly illuminating text to reflect upon the interaction between secular and religious life in medieval India. Some have correctly pointed out that Śaiva Tantra is a key target of the work’s rhetoric of irony (Wojtilla 1984, and Baldissera 2001); others have called attention to its wry attacks on Buddhism as well (Boccali 1987). Yet, there is still a lack of understanding of these attacks in the light of the work’s literary aspirations and genre. Moreover, most interpreters have concluded that the work’s purpose is didactical, and that Kṣemendra’s mockery at ordinary life, and especially at religious hypocrisy, are ultimately an expression of his adherence to orthodox religious values. Thanks to the progress in the study of Kṣemendra’s other satires and to advancement of our knowledge of religious diversity in medieval Kashmir, we have today more information to explore the text in its complexity, beyond the mere opposition of profane versus sacred. Thus, this paper reflects upon the interplay between religious and secular values in the Samayamātṛkā beyond its supposedly moralizing aims. In this context, it is argued that in the very act of condemning Tantra as a cult of pleasure, the work introduces a critique of religious canonical figures and the establishment, indirectly underscoring the difficulties to follow a truly pious life in a world characterized by delusion and desire. Seen in this light, the work seems to advocate an unusually realistic stance, one highly sensitive to secular life, wherein irony bears a ludic, non-confessional, and at times even universal significance.

276 17th World Sanskrit Conference, 2018 Religious Studies

Deliverance by Moon: Development of Lesser Known Lunar Deities in Sanskrit Literature Durga Kale University of Calgary

Anumati, Sinivāli, Kuhu and Rākā form a group of deities presiding over various phases of the moon in commentaries on Vedas. The presiding deity of the full moon, Rākā often appears relating to the music of the lute with a swan as her mount (RV 2.32.4) and is worshiped with her sister, Sinivāli. Anumati appears with various names with her sister Kuhu, the deity presiding over the new moon night. “Kuhuyoga” (lit. time of Kuhu), mentioned as an inauspicious time in Atharvaveda (8.16.7) continues similar narrative in the recessions of the Skanda Purana. Sinivāli and Kuhu later appear in Puranic stories, mentioning them as deities of fertility and procreation. Invocations to Rākā and Sinivāli for auspicious rituals of the consecration of temples are extant in later texts such as the Dharmāsindhu. The same text describes Kuhu as an inauspicious deity to be appeased to avert misfortune. The paper delves into the study of Sinivāli and Kuhu from early references in Sanskrit literature to modern appropriation in the regional literature on Hinduism. Building on the references of lesser known deities, the paper aims to reiterate the process of the development of a popular pantheon worshiped in present times, hinging on the fusion and re-description of various deities in earlier extant literature.

277 Religious Studies 17th World Sanskrit Conference, 2018

The Narrative Shape of Orthopraxy: Advaita Vedāntin Renunciates at the Early Vijayanagara Kingdom James Michael Madaio Oriental Institute of the Academy of Sciences of the Czech Republic (Prague, Czech Republic)

In this paper, I will analyse how Vidyāraṇya, a fourteenth century Advaita Vedāntin, utilizes scriptural narratives about sages as a means to extrapolate and ground the dharma of renouncers (saṃnyāsin), including the proper sequence of two different kinds of renunciation and their corresponding disciplines. I argue that this approach, informed by the dharmaśāstric tradition, engenders a reading of scripture as a panoply of stories about the conduct of exemplar-sages which differs from modes of exegesis in the early period of Advaita Vedānta. This narratological reading of scripture, which takes seriously plot and character development, provides a method of diagnosing the liberate status of sages as well as their particular afflictions. I position this discussion within an overall claim that Vidyāraṇya’s moral reasoning, or solving problems of how to act in the world, is intimately connected to narrative or the practice of reading and telling stories.

278 17th World Sanskrit Conference, 2018 Religious Studies

आचारः परमो धरमः Sadhu Munivatsaldas Darshanam Sanskrit Mahavidyalaya “धमो जेयः सदाचारः शु तिसृतुपपा दितः” इति भगवता शीसा मिनारायणेन सलिखितायां शि क ा प तां धरमस लकणं लकितम् । वासिवकरपेण सदाचार एव धमरः । सामतसमये िहनु, इसाम, िकशन, शीख आिद धमानर ् अनुसरिन जनाः । तत सामदाियकं सातनतमसाकं राष् े । सवेऽिप जनाः सरचनुसारं धमरमनुसरिन तथािप सवे धमाःर जीवनमूलान ् शीकयिन । मानवमूलानां प शिकणमेव परमो धमोपदेशः । ईशानुगा मिनां कि श न ज न ा न ा ं बाईबल इति नामा पसिदे धरमगने उकं यते - “People become great with their conduct, They become mean with their misconduct.” अथातर ् सदाचारपालनेन पजाः सिु खनः भविन, दरु ाचरणेन दःु िखनः भविन । “यो सदाचारी स एव धा मिकः” बाह चि हाङेन धा मिकता न, अपितु आन रिकमूलानां सजीवने आचरणेन धा मिकता । “आचारः परमो धरमः” अत आचारशबः सदाचारदोतकः । ध रमानुसरणे आचारशु दिः परमावशकी । “धमो रक ति रकितः” यो धरम रक ति अरथात् धरमस पालनं करो ति , धरमः तस सं रकणं करो ति । सरकणाय पालनीयो धरमः । “धमो धारय ति धा रितः ।” पू रवसिन् काले जनाः धरमानाः आसन् । धरमस आलमनेन धमोपदेशकाः जनान् वञ नि स । ता निक विदया अभिचारादिमनैः वा साधवः चमतारान् पद रश जनान् आक रषनि स । ते मूढाः जनाः अनशदया अनकारमये कू प े नि प त नि , पापाचरणं कृ त ा नरके पत नि च । सवेषां मनुषाणां कृ त े धरमसातनतं वरतते । के च न सध रमस ग रवमनुभव नि । धरमस गौरवं तु शाघनीयः, गरवसु नि न न ी य ः । अिभमानेन जनाः अनेषा ं धािमकपुरषा ं िनना ं कुवरिन । तेन च पापकमरणा दःखु मनुभविन । तसात ् कसािप धमरस िनना न करतवा । सध रमः शेषः, इतरे कनि षाः – इति मतिसु अयोगा । पालनं सध रमस भवतु, कि न ु सरवधरमान् पति समानं आवशकम् । आद रशजीवन नि रमाणाय सदाचारपालनम निवा रयम् । भगवता शीसा मिनारायणेन शि क ा प तामुि ल खि त ं यत् – “ये पालय नि मनुजाः सचासप तिपा दितान् । सदाचारान् सदा तेऽत परऽत च महासुखाः ।। शि क ा प त ी -8” अथातर ् ये जनाः सचासषे ु आतकलाणाय पितपािदतान ् िहसं ािदन ् सदाचारान ् पालयिन ते इहलोके अमुिषन ् लोके च सुिखनः भव नि ।

279 Religious Studies 17th World Sanskrit Conference, 2018

Iti Vātsyāyanaḥ: Dharma and the Moral Authority of the Teacher in the Kāmasūtra Travis L. Smith Seoul National University (Seoul, South Korea)

Vātsyāyana’s Kāmasūtra, while hardly a religious text, nevertheless makes a concerted effort to affirm its religious authority. As I have recently argued (Smith 2017), the text pointedly asserts its own divine (apauruṣeya) origin, even as it affirms its human (pauruṣeya) provenance, and more particularly it qualifies the identity of Vātsyāyana himself as a discrete author. Though subtle, this highlighting of the position of Vātsyāyana accords with a wider ideological assumption in Sanskrit discourse that sees śāstra as being fundamentally oral, and therefore inseparable from an ‘orator’ (vaktṛ): an expert who has been properly ‘taught’ (śiṣṭa), and thus is an adept teacher (ācārya).

In this essay, I focus on the voice of Vātsyāyana the teacher, analyzing those passages of the text in which he clearly distinguishes (invariably using the signature, iti Vātsyāyanaḥ) his own opinion from those of other teachers of kāmaśāstra. In surveying these compactly presented scholarly disputes, I show that they are indicative of a flexible view of śāstra, wherein the teacher claims for himself the authority to adjudicate ambiguities or inconsistencies, while still preserving the integrity of śāstra as ultimately apauruṣeya. More significantly, while many of the specific disputes in the text appear at first glance to be trivial and pedantic, as a whole they show that Vātsyāyana’s Kāmasūtra participates in a project that is both broadly conceived and fraught: negotiating a place for the erotic within the religious authority of a dharma tradition that is largely hostile to kāma as a praxis.

280 17th World Sanskrit Conference, 2018 Philosophy

14. , - Philosophy , >.$'$% 9F.'GH

The Authorship of the Īśopaniṣad- and Kaṭhopaniṣad-Bhāṣya Attributed to Śaṅkara Ivan Andrijanić University of Zagreb (Zagreb, Croatia)

In this presentation, a statistical investigation of the authorship of the Īśopaniṣad- and Kaṭhopaniṣad- bhāṣya, which are traditionally ascribed to Śaṅkara, will be undertaken, together with Hacker’s method of terminology analysis. The Indian tradition and Hacker’s analysis of manuscript colophons consider Śaṅkara the author of both of these works. Nevertheless, Śaṅkara’s authorship of these and other works traditionally attributed to him is still contested, and other forms of authorship verification analysis should be undertaken. Recent authorship attribution and verification studies have shown remarkable results, and I intend to employ some of these methods on Sanskrit texts. A wide range of methods are employed in modern authorship attribution studies. In order to verify Śaṅkara’s authorship, the most frequent word (MFW) occurrence rate method has been chosen. Principal component analysis and cluster analysis have been chosen as the proper ways in which to visualize the results of MFW frequencies.

The first part of the presentation will show that, according to the philological criterion proposed by Hacker, there is no reason to disprove the traditional attribution. The second part of the presentation will explain and validate the proposed statistical techniques. Text samples of works for which Śaṅkara’s authorship has been established (the Brahmasūtra-bhāṣya, Bṛhadāraṇyakopaniṣad-bhāṣya, and Upadeśasāhasrī) will be presented in order to see if these works show similarities to each other and if they display internal consistency. Then, they will be compared to works by other authors to verify if the proposed techniques are able to distinguish them from Śaṅkara. The commentaries on the Īśā- and Kaṭha-upaniṣad will then be compared to all of these texts to see if they bear similarities to texts that have been established as genuine works by Śaṅkara, and if they can be distinguished from works that were certainly not authored by Śaṅkara.

281 Philosophy 17th World Sanskrit Conference, 2018

Semantics of Yoga: With Special Reference to the Bhagavadgītā Vempaty Murty Life Fellow, IEEE (USA) Vempaty Kutumba Sastry (President, International Association of Sanskrit Studies)

The word yoga is derived from two roots—viz. yujir yōgē and yuja samādhau. Etymologically, it means the disciplined practice which results in uniting the individual soul with the supreme soul in the former and stopping and cessation of all kinds of modifications of mind and remaining firmly rooted in one’s own real nature in the later. In addition, there are several shades of meanings based on various vyutpattis. The Bhagavadgītā is called “yōgaśāstra” in its colophons, and as such, each chapter is named as one or the other yoga. In addition, the word yoga and its derivatives like yukta, yogī, and verbal forms like yuñjatē are frequently used throughout the text. The word yoga occurs for the first time in the Bhagavadgītā at 2.39, wherein Bhagavān makes a clear distinction between sāṅkhya and yoga. It occurs for the penultimate time in 18.57, where he refers to the yoga of wisdom, buddhiyōga. In between it is used several times in several shades of meanings.

Now several questions prop up in the mind of reader as to what is meant by the word “yoga” when it is used for the first time: Is it the same as referred in 18.57 for the penultimate time? And what are the meanings of the word yoga used in between several times and in several contexts? Is there any underlying binding principle of all of these usages? Which etymological meaning suits in which case? In this paper an attempt will be made to address these and other related issues regarding the meaning of the word yoga.

282 17th World Sanskrit Conference, 2018 Philosophy

Anumāna, Inference and “Inference” in the Critique of Jayarāśi Bhaṭṭa Piotr Balcerowicz University of Warsaw (Warsaw, Poland)

It is usually assumed that Jayarāśi Bhaṭṭa, formally a proponent of the materialist school (cārvāka), represents some kind of scepticism. In the paper, I analyse the anumāna chapter of his Tattvôpaplava- siṃha (‘The Lion of the Dissolution of [all] Categories’) and Jayarāśi Bhaṭṭa’s criticism of anumāna. It appears that, against the generally held opinions ascribing a thorough criticism (and rejection) of all epistemic and ontological categories (which should also entail a rejection of the validity of inferential rules and logic), the essence of Jayarāśi’s criticism often concerns certain empirical and theoretical problems in establishing logical relations (such as avinābhāva) and not the inconsistency of such relations per se. Accordingly, Jayarāśi emerges not as a dogmatic (or methodological) sceptic but rather a thinker who questions the limits (and the validity of the sources) of our knowledge which are primarily of empirical nature, whereas the logical concepts and relations which we use may not necessarily be of self-contradictory nature or fallacious. The implications of my discussion of Jayarāśi’s critique of anumāna bear on the actual understanding of what anumāna is: it is less about logic as such and the validity of logical rules (inference), but rather about (feasibility of) the actual application of theoretical rules which do not necessarily constitute what we understand as logic.

283 Philosophy 17th World Sanskrit Conference, 2018

Ontological Status of Īśvara: From Classical to Neo-Mīmāṃsā Ramesh Chandra Bhardwaj University of Delhi

My purpose in this paper is to investigate the ontological status of Īśvara in the Mīmāṃsā texts, particularly Bhāṭṭa Mīmāṃsā, ranging from classical texts to the neo-Mīmāṃsā texts of medieval period. Whereas Mīmāṃsā is a school of Indian philosophy which teaches the indispensability and inescapability of ethical action (karma) as the supreme governing force of the universe, it does not regard god as the being which is responsible for bestowing the results of our action. I begin with the explanation of the notion of Īśvara as seen in the texts authored by Kumārila and Prabhākara (classical). I trace its development from the classical to medieval period (16th to 19th centuries) as found in printed texts and manuscripts (particularly Rameśvara’s Prameya-vicāra and Śambhu Bhaṭṭa’s Mokṣa-svarūpa-vicāra). Since self (ātman) and mokṣa (liberation) are intimately linked with the notion of Īśvara, in the course of the paper I trace the gradual development of the concepts of ātman and mokṣa along with the drastic changes in the concept of Īśvara. I have in the main shown that the concept of Īśvara has undergone a sea change in the history of Mīmāṃsā Philosophy. Whereas the classical schools had no place for Īśvara, the medieval and modern adherents of the school accept the notion of Īśvara and his efficacy. I have argued that this drastic change is due to the socio-religious and socio-political conditions of the medieval period of Indian history. The Mīmāṃsā had to accept the existence of Īśvara for the survival of Mīmāṃsā Śāstra as a philosophical school. The changed historical circumstances compelled the Mīmāṃsakas to alter their ontological speculations with respect to Īśvara in accordance with the need of the time.

284 17th World Sanskrit Conference, 2018 Philosophy

Understanding Brahman: A Comparative Study of the Vedantic Darśanas of Śaṅkara and Kalpesh Bhatt University of Toronto (Toronto, ON, Canada)

Most Vedantic schools upholding the ultimate reality of Brahman drastically differ from one another in explaining oneness of many finite, imperfect, ignorant selves (jīvas) with one infinite, perfect, supreme Brahman. How does the unchanging and unchangeable Brahman change into the multitude of sentient beings and insentient entities? The fundamental problem for the proponents of non- dualism (advaitins) is to come up with a coherent explanation for the plurality and frailty of the world, and for the proponents of dualism, the purity and divinity of the world. The commentators must formulate a consistent explanatory metanarrative that resolves the contradictions among diverse statements from the three canonical texts of the Prasthānatrayī, and harmonizes the heterogeneous attributes of Brahman.

This paper explores the hermeneutical tension between the understandings of Brahman in two Vedāntic schools: medieval Advaita Darśana of Śaṅkara and modern Akshara-puruṣottama Darśana of Swaminarayan, the founder of the two-centuries old Swaminarayan Hindu tradition that has spread globally in the last four decades. Considering two grammatical principles—1) samānādhikaraṇya, that is, co-referential coordination, correlational construction, and appositional attribution; and 2) aikārthya, that is, unity of purpose and meaning—the paper examines the ways in which these principles are employed by these two schools to understand the key characteristics of Brahman. It will focus on the exegeses of three statements from the Upaniṣads: tat tvam asi (CU 6.8.7); satyam jñānam anatam brahma (TU 2.1.1); and akṣarāt parataḥ paraḥ (MU 2.1.2). As both the schools explain them from within their distinct ontological and philosophical systems, what sort of intra- and inter-tensions do they encounter in defending their metaphysical positions? Drawing from the finer nuances of their interpretations, the paper shows how Vedāntic schools maneuver grammatical tools to justify their ontological systems and existential entities, and thereby, engender hermeneutical tensions and semantic inconsistencies in the Vedāntic dialectic.

285 Philosophy 17th World Sanskrit Conference, 2018

Jayantabhaṭṭa’s Notion on Perceptibility of Abhāva Udita Bhattacharyya University of Delhi (Delhi, India)

The concept of abhāva or absence occupies an important status among the Indian philosophical seers with its dual speculative aspects – one, as an ontological reality and the other, as an epistemological genuineness. In the former protocol, it is envisaged as asat, alīka, nirūpākhya, niḥsvabhāva etc. and in the latter form it is referred to as anupalabdhi or non-apprehension. In Nyāya philosophy, the ontological foundation of the problem of abhāva has been developed gradually from the time of Gautama to the other eminent logicians of Navya-Nyāya. Among those logicians who left their ingrained contributions to the domain of abhāva, Jayantabhaṭṭa occupies a peerless position with his esteem to define abhāva for the first time from epistemological point of view. Before giving a detailed account of the problems of abhāva in his magnum opus Nyāyamañjarī, he critically examines the Bhāṭṭa theory of abhāva and anupalabdhi along with Prabhākara’s view on absence and simultaneously he pays considerable attention to Buddhist views on the ontological aspects of abhāva and tries to establish the validity of abhāva as an independent category. In this paper, it will be exhibited how Jayantabhaṭṭa has analyzed the problem of perceptibility of abhāva and justified his own opinion over the thoughts of Kumārilabhaṭṭa, who is considered to be the main votary of absence.

286 17th World Sanskrit Conference, 2018 Philosophy

Epic and Ethics: Matilal’s Dogma of Dharma Ethic Purushottama Bilimoria Graduate Theological Union, University of California, Berkeley (Berkeley, CA, USA)

Bimal Krishna Matilal, a preeminent 20th-century philosopher of classical India, held a peculiar position on the relation of the epics and moral philosophizing, in contrast to epistemology. Missing are significant references to Nyāya ethics, rights, law and political philosophy.

Matilal despaired at the prospects of a foundation for Indian ethics. But then what of the dharmaśāstras? These texts provided supplements to “the Hindu discussion of ethics, classification of virtues and vices, and enumeration of duties related to the social status of the individual. But morality was never discussed as such in these texts.” This is quite a damning surmise. Still, Matilal averred that the tradition was “very self-conscious about moral values, moral conflicts and dilemmas, as well as about the difficulties of what we call practical reason or practical wisdom.” But this is not much of a concession for what Matilal reads as moral concerns in the epic turn on exemplary moral dilemmas, for which there was always a rational solution around the corner, or missed even by Kṛṣṇa. The problem of moral dilemma, however, is not the most prominent feature of ethics anywhere.

Matilal illustrates his critique by pointing to the dice game that Yudhiṣṭhira is lured to, to Arjuna’s despondency on the battlefield, and to various conflicts that the paragon of virtue in the Rāmāyaṇa is also confronted with, underscoring “the two most prominent aspects of dharma: the vulnerability of moral virtues and the ever-elusive nature of truth in the moral domain.” I wish to question this reductive claim and ask whether the moral preoccupations, and the many vignettes we are presented with in the epic and dharmaśāstras are of little value toward providing a robust paradigm for Indian ethics in the context of global ethics. Was Matilal hampered by reading the epic in Bengali and not from the Critical Edition?

287 Philosophy 17th World Sanskrit Conference, 2018

There’s Something Wrong With Raw Perception, After All: Vyāsatīrtha’s Refutation of Nirvikalpaka Pratyakṣa Amit Chaturvedi University of Hong Kong (Pokfulam, Hong Kong)

This paper analyzes the incisive counter-arguments against Gaṅgeśa’s non-conceptualism given by the Dvaita Vedānta scholar Vyāsatīrtha (16th century). The details of Vyāsatīrtha’s arguments have gone largely undiscussed by Western scholars, and indeed by subsequent Navya Nyāya thinkers as well. Cursory rebuttals on behalf of Gaṅgeśa were offered by N.S. Tatacharya in his Pratyakṣatattvacintāmaṇivimarśa (1992). In this paper, I highlight the key points of dispute between Gaṅgeśa and Vyāsatīrtha, and consider possible Dvaita responses to Tatacharya’s objections.

Of special interest are Vyāsatīrtha’s specific attacks on Gaṅgeśa’s claim that we have no non- inferential, introspective evidence for the existence of non-predicative (niṣprakāraka), non- conceptual perceptions. After canvassing some of the many ways in which Vyāsatīrtha undercuts the evidential support for Gaṅgeśa’s inferential proofs, I examine the negative consequences that Vyāsatīrtha draws from the view that nirvikalpaka-pratyakṣa is unintrospectible (atīndriya).

Underlying this logical quarrel, I argue, is a deeper dispute about the nature of cognition itself (jñāna). Gaṅgeśa’s unique construal of non-conceptual perception as being inaccessible to the mind (manas), non-representational in the sense of being neither true or false, and serving a purely causal role in the production of introspectible perceptual experience, suggests that he understands nirvikalpaka-pratyakṣa as being a form of subpersonal cognition. Accordingly, the Dvaita aversion to admitting nirvikalpaka cognitions, and its potential response to Tatacharya’s objections, can be read as stemming partly from an unwillingness to accept that the category of jñāna could include cognitive states which are necessarily invisible to the subject. I conclude by reflecting on the implications of the Nyāya-Dvaita debate for our understanding of jñāna as a concept of Indian philosophical analysis, and for the relevance of this concept to the broader philosophical and scientific study of the mind.

288 17th World Sanskrit Conference, 2018 Philosophy

कीयने चास करमाणि’ इतस समीकणम् Prabhawati Chowdhary Jai Narain Vyas University (Jodhpur, RJ, India) मुणकोप निषद: वचनमेतत्— भि द त े हदयग नि : छि द न े सरवसंशया:। कीयने चास करमाणि तसिन् दषे परावरे।। शुता निि द ष एष करमकय: सवेषां क रमणामुत वा पारबं वि ह ा य दयोरेवानयो:। इतेतत् समीकणीयम्।ततजानात् करमकयविषये नैकमतं िवदषु ाम।् नायमंजरीकारेणािप पदिशतमेतत ् वैमतं सकीय गन े —अने ताचकते– िकमनेन भोगायासेन , अदतफलानेव करमाणि यो गिनो नड्क नि । ततजानसैव भगवत इयान् पभाव: यद सिनुतने, चि र स ं चि त ा न पि करमाणि सहसैव पलयमुपया नि। यथा पारबस भोगेन नाशे पामाणम् तथैव जानेना पि च। यथा चोकं शीकृ षेना पि – यथैधां सि स मिदोs गि रभससात् कु र त े ऽ न ुरज । जाना गि : सरवकरमाणि भससातुरते तथा।।गीता४/३७ न चेदं शदामातं वेद विदामगगणेन वासमु निना सम भिधानात्। ततैव जयनभटेनानेषाम पि मतं पतिपादितम्– तदने न मनने, न सरवातना क रमणां दाह:, कि न ु सरपेण सताम पि सहका रिकैवलात् सका रयकारणोदासीनता तेषां भव ति। भषानािमव बीजानामङुरणकौशलहािनः —-तदकु ं अिवदातृषे धमाधर मौ च जनकारणं मोकस दलु रभतं जानािगदाहवचनं तु जानपशंसाथमर ेव’ जानक रमसमुचयवा दिन: मतमेतत् सम रथयनि। नैव यु कियुकं मतमेतत्। आचा रयजयनभटेनापपासमेतत्– ‘न हि तताधो मोक: सरगादिवदनिततपसंगादपि च आतैव सरपाव सितो मोक इतुचते न चातसरपं करमसाधम् अना दिनिधनतेन सिदतात्। अधातोप निष दि पारबस भोगेनैव नाश: वणित:। आचा रयशंकरेण नि र स म ेत त ् शासवचनम्—- उतनऽपे ातिवजाने पारबं नैव मुञित। इित यच्यते शास े तिनरािकयते ऽधनु ा।। अपरोकानुभूित ९० आचायरशंकर: जैनपरमरा च कमरणामातिनकिनविृ त ं मनेते यदिप जैनाचायै: पारबकय: भोगेनैव पितपािदत: , परं क रमणामतन निवृ तिविषये न मतवै भिनम्। प णितमधुसूदन-ओझामहोदयेन खणितैषा करमातननिवृति:। आचा रयशंकर: सकीयादैतरकणा रथम पि सरवकरमकयं पतिपादयति। आलेखेऽ सिन् दा रशनिकानां मतवै विधमेवं समक् समीक सकीय नि षषो यिु कपूवरकं पितपादियषते। िकं च ओझाचायैरिप रसबलयो: षड्िवधं समनं पितपाद सवरकमरकयिवषयकमतं खिणतम ् । शोधालेखेऽ सिन् तेषाम पि मतं पतिपादनीयम्।

289 Philosophy 17th World Sanskrit Conference, 2018

Smṛtisantati: The Problem of Continuous Memory for Śaṅkara Neil Dalal University of Alberta (Edmonton, AB, Canada)

According to Bṛhadāraṇyaka Upaniṣad 1.4.7, people misunderstand the ātman as incomplete, viewing it as different names and functions like breath when breathing, sight when seeing, and mind when thinking. Instead, “one should know (these functions) as the self alone” (ātmety evopāsīta) for they all become one in it. Śaṅkara places great importance on ātmety evopāsīta as direct knowledge of one’s nondual self, rather than enjoining action or meditation. He devotes an extended commentary on it, in which he discusses a “continued memory of self-knowledge” (ātmajñānasmṛtisantati). This smṛtisantati plays an essential role in his commentarial debate with Mīmāṃsaka ritualists on 1.4.7, and is an important key to understand his view of contemplative practice and living liberation, yet it remains a mysterious phenomenon. It is not clear what exactly smṛti is, whether recollection, recognition, or attention. How does it arise? And what relationship does it have to forgetting and cessation of mind? In this paper, I parse out the possibilities of smṛtisantati and analyze its function in Śaṅkara’s epistemology of liberation. I explore the possibility that smṛtisantati is a unique instance of a continuous stream of cognition (dhārāvāhikabuddhi), and argue that sṃrti is best understood here as re-recognition rather than memory.

290 17th World Sanskrit Conference, 2018 Philosophy

Śrīharṣa on Object Reidentification and the Perceptual/non-Perceptual Distinction Nilanjan Das New York University Shanghai (Shanghai, China)

In this paper, I examine Śrīharṣa’s arguments against the Nyāya theory of object reidentification (pratyabhijñā) in the first chapter of Khaṇḍanakhaṇḍakhādya, and show that they raise a general challenge for the Nyāya theory of perception. For the Naiyāyikas, episodes of object reidentification are unitary perceptual awareness-episodes of the form "This is that F’‘, where an object in the perceiver’s environment is presented as identical to an object she had encountered before. Since an episode of object reidentification presents an object picked out by the demonstrative "this’’ as that, i.e., a past object, the challenge for the Naiyāyikas is to explain how an agent’s sensory system can be in perceptual contact with this property of thatness (tattā or pastness) which, at the time of perception, isn’t instantiated in the agent’s environment. In Khaṇḍanakhaṇḍakhādya, Śrīharṣa discusses three distinct responses to this challenge offered by Vācaspati Miśra, Udayana, and Jayanta Bhaṭṭa. Each of these views allows the agent’s memory traces (saṃskāra) to influence the content of her perceptual awareness. In the exegetical part of the paper, I present these three views, and explain Śrīharṣa’s arguments against them. Though his argument against each view is importantly different, the upshot everywhere is the same: for Śrīharṣa, the defenders of these views cannot draw a principled distinction between recollective (smṛti) and non-recollective (anubhūti) awareness- episodes like perceptual experiences. In the non-exegetical part of the paper, I claim that this reveals a serious and more general problem for the Nyāya theory of perception. Most Naiyāikas take perception to be cognitively penetrable: they explain a range of perceptual phenomena by appealing to top-down influences from cognitive states (e.g., memory traces) on perceptual experience. If Śrīharṣa’s arguments are successful, this view blurs not only the distinction between recollective and non-recollective awareness, but also the distinction between perceptual and non-perceptual awareness.

291 Philosophy 17th World Sanskrit Conference, 2018

Hermeneutic Teachings of Śaṅkara’s “Longer” Aitareyopaniṣadbhāṣya: A First Investigation Hugo David EFEO Pondicherry

Śaṅkara’s commentary (Bhāṣya) on the Aitareyopaniṣad (AiU) – a fragment of the Aitareyāraṇyaka (AiĀ), belonging to the Ṛgveda – has been transmitted in two versions, a “shorter” one (ad AiĀ 2.4-6/7) and a “longer” one (ad AiĀ 2.1-6/7-3). Although most printed editions of Śaṅkara’s work contain the shorter version of the Bhāṣya (in three adhyāyas), the longer version, in eight adhyāyas, is preserved in at least eight manuscripts from various parts of the Indian subcontinent and has been edited twice (Benares, 1884 [complete, rpt. Wai 1949] and Holenarasipur, 2008 [partial]). The latter version of the work has attracted little attention so far from scholars of Vedānta, who generally take for granted that the more widely diffused “brief” version of the text is the only authoritative one. Several studies, however, plead in favour of the opposed view, namely that the longer version is indeed the original one, basing themselves on evidence from manuscripts (rubrics, etc.), external testimonies (e.g. Sāyaṇa) as well as structural similarities with other undisputedly “Śaṅkaran” Bhāṣyas (Belvalkar 1930, recently David 2017). Building on such attempts, this paper will investigate Śaṅkara’s commentary on the first part of the Upaniṣad (AiĀ 2.1-3), sometimes called “the oldest long Upaniṣad” (Keith 1909), from the two complementary points of view of doctrine and hermeneutic conceptions. I will show, in particular, how Śaṅkara’s investigation into Ṛgvedic exegesis as it is carried out in the Āraṇyaka forces him to depart from the traditional conception of the mantra as “manifesting” (prakāśaka) the elements of the sacrifice – a conception he inherits from the Mīmāṃsā – to propose a new, specifically Vedāntic hierarchy of Vedic statements, in conformity with the establishment of a non-dualist reading of the Vedic corpus as a whole.

292 17th World Sanskrit Conference, 2018 Philosophy

Functional Approach in the Vākyapadīya and Dharmakīrti’s Concept of Arthakriyā Evgeniya Desnitskaya Saint Petersburg State University (St. Petersburg, Russia)

The paper presents a comparative analysis of the functional approach in Bhartṛhari’s Vākyapadīya (VP) and Dharmakīrti’s concept of arthakriyā. Functional approach is not a concept explicitly articulated in VP, but an interpretative schema introduced here in order to explain Bhartṛhari’s tendency to accept differences, often mutually exclusive philosophical doctrines, demonstrating that each of them is inextricably connected with its extra-linguistic context and can be justified only in their pragmatic terms (vyavahāra). The concept of arthakriyā, elaborated by Dharmakīrti, justifies the inference (anumāna) as a valid cognitive act (pramāṇa), insofar as it leads to the fulfillment of the purpose (arthakriyā), i.e. is pragmatically successful.

In a methodological perspective arthakriyā resembles the functional approach in VP. In both cases, the doctrine of pragmatic activity justifying the validity of linguistic utterances is supplemented by the view on language as vikalpa. Direct relation between both doctrines remains problematic. Still, Bhartṛhari’s functional approach and Dharmakīrti’s arthakriyā are not synonymous. Arthakriyā possesses also an ontological dimension, stipulated by Buddhist doctrines of momentariness and causality, whereas Bhartṛhari’s functional approach is entirely pragmatic (his ontological views were formulated on some other occasions).

Similarly, in a methodological perspective, both concepts differ in their scope, ontological background, and the role in the philosophical traditions that they belong to. Their proximity can be explained with the general paradigmatic shift from an ontological problematic to an epistemological one, and from realistic solutions to functional ones in Indian philosophy in the middle of the first millennium CE.

293 Philosophy 17th World Sanskrit Conference, 2018

The Many Sources Used by Śaṅkara in His Critique of the Idealist Dreaming Argument Anaïs Dornier-Viavant Université Sorbonne Nouvelle - Paris 3 (Paris, France)

The dream, svapna, occurs in many dimensions of Indian culture (literature, religion and art). However, in philosophical texts, researchers have not examined this notion in depth. In my research paper dating back to June 2017, I dealt with the idea of dream. Śaṅkara was a famous writer of the Advaita Vedānta movement. He professed to contradict the vijñānavādin position (i.e. everything is nothing else but consciousness) developed in the Vasubandhu’s Viṃśikā. But he distorted the idealist dreaming argument according to which dreaming and waking states are similar. In that way he made an analogy seem like a mere identity. In these respects, he did the same as most of the Brahmanical philosophers. I have selected pieces from my work in which I compared Śaṅkara’s Brahmasūtrabhāṣya with the Nyāyasūtras and their commentaries (by Vātsyāyana and by Uddyotakara), and the Kumārilabhaṭṭa’s Ślokavārttika. I can explain why Śaṅkara borrowed some ideas from his brahmanical peers. First of all, he wanted to defend himself from the charges of being a crypto-buddhist, in order to re-enter the Brahmanical orthodoxy. That is the reason why he targeted the Buddhist argument consisting in the analogy between dreaming and waking states. Nevertheless the ambivalence of his theses discredited him. For instance, he both used the dreaming analogy and contested it in his Brahmasūtrabhāṣya. Therefore, Śaṅkara can be considered as a non-realist rather than properly an idealist (Ingalls 1954). I contend that Śaṅkara was closer to the vijñānavādin theory than what he thought. By trying to assert himself as a Brahmanical philosopher, he increased the suspicions. Brahmanical thinkers such as Bhāskara regarded him as a Buddhist. Lastly, I also want to eradicate the popular misconception which establishes the Advaita Vedānta and Śaṅkara as the origins of Indian philosophy.

294 17th World Sanskrit Conference, 2018 Philosophy

पकाशाननस वकितं कृ तित ञ Gavish University of Delhi (Delhi, India) सं सृ ते दरशनशासपरंपरायां नैके आचा: रया सनि । तेषां समेषाम पि जानव रधने वरतते महदोगदानम्। ततैव अदैतवेदानद रशने अभूदेक: आचा रय: पकाशानन: । अत मया वेदानाचा रयपरंपरा विवरणं विशेषरपेण पकाशानन सिदानखापनं करिषते। वेदानो नाम मानवीयमेधाकृत चिननस परा हि काषा। उकञ जानादेवः हि कै व ल म ् , तमेव वि दि त ा ति म ृत ुम े ति नानः पना वि द त ऽे य न ा य , आता अरे वा दषवः शोतवो मनवो नि दि ध ा सि त व ः । वेदानं च दरशनतेन पति षापनायाचा रयपरंपरायाः महदोगदानम्। असा एव परंपराया आचायो वरतते पकाशाननः। असाचायेण समदं एव शोधपतम्। पसोषमानस पतस वि ष य ो वरतते “पकाशाननस वकितं कृ ति त ञ”। पकाशाननो हदैतवेदानाचा रयः। अस वि ष य े जातुं मया मूलगनतेन पकाशाननकृतः वेदान सिदानमुकावलीगनः, अमुनैव कृ त ा वेदान सिदानमुकावली-का रिकावृ तिशावलो किता । गन विशेषसोप रि जातका रयाणां सवेकणपद रशनं, आचा रयपकाशाननस काल नि रधारणम्, आचा रयनाम विविधताया: निराकरणञ ।

295 Philosophy 17th World Sanskrit Conference, 2018

Analysis of Suṣupti According to Śrī Satcidānandendra Sarasvatī Manjushree Hegde Amrita Vishwa Vidyapeetham (Bangalore, KA, India)

Analysis of suṣupti (dreamless sleep) is elemental to Advaita Vedānta — it is the rational foundation on which stands the theory of non-distinction between jīva and Brahman. Post-Śaṅkarācārya, many commentators strained to distill the essence of his works; of them, two gained the most attention — Prakāśātman’s Vivaraṇa, and Vācaspatimiśra’s Bhāmatī. Currently, the study of Śaṅkarācārya’s works is steered, more often than not, by either one of the two commentaries. Many fundamental differences exist between the two with respect to nature of jīva, locus of avidyā, method to attain mokśā, etc. Yet, in the analysis of suṣupti, the two are united — they accept the continuation of ignorance (mūlāvidyā) in dreamless sleep. Śri Satcidānandendra Sarasvatī, on the other hand, insisted that avidyā is simply a synonym for adhyāsa (incorrect apprehension); it is an epistemic principle, not a positive entity — therefore, it cannot continue in suṣupti. Such an idea leads to certain radical conclusions: that (a) suṣupti is not a “state” at all, (b) svapna and suṣupti do not occur within the 24-hour time frame of the jāgrat; svapna is characterized by a different order of time and space, and suṣupti is beyond the notions of time and space, (c) svapna and suṣupti do not occur within the body-frame of the jāgrat; svapna is characterized by an identification with a different body — and suṣupti is beyond the notions of body/mind, (d) the ego of the jāgrat differs from the ego of the svapna, and there is no ego that experiences suṣupti, etc.

Śrī Satcidānandendra Sarasvatī’s contribution to Advaita Vedānta has not received the critical examination it deserves. Analysis of suṣupti in accordance with Śri Sarasvatī’s ideas will, I’m sure, lead to a paradigm shift.

296 17th World Sanskrit Conference, 2018 Philosophy

A Re-Examination of the Definition of Universal in the Nyāya-Vaiśeṣika Katsunori Hirano Nakamura Hajime Eastern Institute (Tokyo, Japan)

The previous studies of the word universal (sāmānya) in the Nyāya-Vaiśeṣika school show a change in its definition. The difference is that the older definition of universal includes the problematic qualification of ‘the cause of the notion of commonality (anuvṛttipratyayahetu)’, while the later (new) definition is composed of three qualifications—oneness (ekatva), eternality (nityatva), and the state of being inherent in many individual entities (anekasamavetatva). The previous studies point out that the reason why the problematic qualification was eliminated from the new definition is logically unsatisfactory, since not all notions of commonality are caused by universals. However, the reason does not explain for its elimination, since it applies to the three qualifications of the new definition too.

I will argue and build a hypothesis for its elimination consulting the Padārthadharmasaṃgraha of Praśastapāda (ca. 550-600) and three commentaries on it: the Vyomavatī of Vyomaśiva (ca. 900-960) and so on. ‘Oneness’ along with ‘the state of being inherent in many individual entities’, both of which are parts of the new definition, cause the notion of commonality. Therefore, if ‘the cause of the notion of commonality’ were included in the new definition, then the definition would be too verbose a description.

Thus, the elimination may have occurred for the sake of brevity. A significant effect of the new definition is that it succeeds in showing briefly and implicitly that universal is a cause of the notion of commonality.

297 Philosophy 17th World Sanskrit Conference, 2018

Negation Square Diagram in the Nyāyavārttikatātparyaṭīkā Kuniko Hosono Nakamura Hajime Eastern Institute (Tokyo, Japan)

In traditional logic, the relations among four quantified propositions form a diagram called the Square of Opposition. If the propositons do not have existential import, only the contradictory relation remains. Likewise, the relations among four non-quantified (singular) propositions form a negation square diagram. Even if the propositions do not have existential import, the relations hold. However, some philosophers conceived that the contradictory relation does not hold. In Nyāya logic, we can form the homologous square of opposition from the sixteen reasons of the Nyāyavārttika, whereas it is not clear whether or not we can form the homologous negation square diagram. This paper constructs a negation square diagram with four ontological descriptions found in the Nyāyavārttikatātparyaṭīkā, and examines the homology. Furthermore, we consider whether the relations hold or not in the case that a substratum does not exist, based on the explanation for anvayin inference in which vipakṣa does not exist.

298 17th World Sanskrit Conference, 2018 Philosophy

Kumārila’s Theory of Intrinsic Validity: The Suspicion (Āśaṅkā) of Invalidity and the Absence of a Cognition (Ajñāna) Suguru Ishimura Ex., Hiroshima University (Hiroshima, Japan)

According to Kumārila, there never arises the problem of infinite regress (anavasthā), such that cognition 1 expects cognition 2 to establish the validity of cognition 1 and cognition 2 expects cognition 3 to establish that of cognition 2, in the theory of intrinsic validity (svataḥprāmāṇya). In order to show this, Kumārila asserts that the validity of a cognition is established of itself, while its invalidity is never suspected because of the absence of the cognition (ajñāna) of both the sublation of the cognition (bādha) and a defect in a cause of the cognition (kāraṇadoṣa), which serves as evidence for the invalidity of the cognition.

Against this assertion, philosophers such as Śāntarakṣita and Bhāsarvajña make the following objection: if by ‘absence’, Kumārila means a valid means of knowledge (pramāṇa), the theory inevitably involves the problem described above. If he does not, he must accept that the suspicion of invalidity arises even if there is the absence of the cognition of the evidence, since the absence of the cognition of an object does not prove the absence of the object. Under the same assumption, even if, as Kumārila asserts, the suspicion of invalidity did not arise, it would follow that there is no opportunity for the suspicion to arise, for, when there arises a cognition of the evidence for invalidity, there arises the determination of the invalidity.

To justify Kumārila’s assertion, Umbeka, Sucarita, Pārthasārathi, and Cidānanda, who follow Kumārila, try to answer the above-mentioned objection in different ways. The aim of this presentation is to make clear differences in approach to the objection among them: they differ in opinion as to whether the “absence” in the absence of the cognition is a valid means of knowledge and as to what is the occurrence condition of the suspicion.

299 Philosophy 17th World Sanskrit Conference, 2018

Death in Varanasi: Reasons to Be Religious in Navya-Nyāya Soteriology Yoichi Iwasaki Nagoya University (Nagoya, Japan)

There is the belief that death in Varanasi liberates people from the cycle of rebirth. The Nyāya school endorses this, and Gaṅgeśa (14C) states that death in Varanasi generates knowledge of the truth, and the dying person is liberated by this knowledge. This view is, however, logically problematic. Why can we get knowledge of the truth if we die in Varanasi? Gadādhara (17C) of the later Nyāya school answers, based on the Jābāla Upaniṣad, that Rudra gives the “teaching for crossing” (tāraka-upadeśa) to the person dying in Varanasi. This explanation, again, poses the dilemma: if the secret teaching is given when the dying person is still alive, she does not have to really die; if, on the other hand, the teaching is given after the person is dead, she cannot know the truth. This paper will discuss this and other problems related to death in Varanasi in the light of Navya Nyāya philosophy of religion.

300 17th World Sanskrit Conference, 2018 Philosophy

ततद रशने पमाणमहतम् Ganesh Singh Kaushik Pandit Ravishankar Shukla University (Rajpur, CG, India) दरशनं पाचीनकालात् अदाव धिप रयनं भारतीयोत रषस पतीकं मानदणं च असि। असे तिहासः अतनमेव पाचीनः। अस धारायाः उदमम ् ऋगदे े सषतया दषंु शकते, यत जगतः मूलततं वायरु िहतमिप सशका ससित- “आनीदवातं सधया ं तदैकम्”। तथा च वसुततस अनुसंधानाय तरकसोपयोगिता सं के तरपेण कथिता - “सं गचधं सं वदधं सं नो मना सि जानताम्।” उपिनषदस् ािहते दशरनं “परािवदा” अकरबहजानस एवं च आतिवदारपेण शोकपारायैकमातं साधनम।् दशरनस शाखैका आनी किकी सरवविदामः दीपसमाना स रवकरमणामुपायः तथा च स रवध रमाणामाशयः कथिता- पदीपः सरवविदानाभुपायः स रवकरमणाम्। आशयः स रवध रमाणां शशदानी किकी मता।। (कौ टिल अधि .1/अ.1) दा रशनिकगनेषु दरशनं जानप रयायरपेण मोकसैकमातं हेतुः। धरमशासेषपि इदं स रवकरमसु शेषमुकम्। दरशनारथक “दश्” धातोः करणाथ े करणािधकरणयोश (अषाधायी 3/3/117) लुट ् पतययोगेन “दशरनम”् इित शबः िनषनः। यस सलू ाथःर चाकषु ं पतकम् अथ च सूकारथः अन रदषिः असि। ता तिकमनुसंधानं सूलपतकापेकया अन रदषाम् अधिकं बलं ददा ति। ततमनेकरपेण प रिभा षितम्। नायसूतभाषकारवातायनमतानुसारेण सतदा रथस सदावः तथा च असतदा रथस असदावः ततम् (नायसूतवातायनभाषम् अनुबंध चतुष-पकरणम्)। आचा रयशंकरेण ’दवस अविकिया ततम्’ कथिता, यतः तिसन ् अनस अपेका न भवित- दवस िह ततमिवकया परानपेकतात ् (तैतरीयोपिनषद ् शाकं रभाषम ् 2/8/5)। असैव ततस साकाताराय पाचीनमनी षिभिः दरशनस परिकलना कृ त ा । द रशनेनैव अमृततं पापो ति मनुषः। तदा आधा मिकतापात् आ धिभौ तिकतापात् अथ च आधिदैविकतापात् सरवथा मुकः भव ति। ता तिकानेषणस एषा पकिया ’समक् दषिः समक रश न म अ पि उचते। दा रशनिक वि ष य ेष ु के चि त ् मतभेदाः सन पि भारतीयद रशनानां मूलपेरणा मानवस िजजासावृ तिः। ऋगेदसानेकसलेषु मूलतत विषये िजजासा दशते- को अदा वेद क इह पवोचत् कु त आजाता कु त इयं विसृ षिः। अरवागेवा अस विस रजनेनाथ को वेद यत आबभूव। (ऋक् 10/129/6) कमशः 2 2.. उप निषता हितस िजजासं जगतः मूलततं ’बह’ अथवा “आता” असि। अतः उप निषतमाणस बहसूतस पारंभः बहि जजासया कृ त ः । भारतीयद रशनस महतपू रणा विशेषता इयम सि यत् ससीमभोगैशयेभः वि र क ः पुरषः असीमततस अनुसंधानं करो ति। यतः कथितम्- यो वै भूमा ततुखं नाले सुखम सि। भूमैव सुखं भूमा तेव विि ज जा सितव इति।। (ता.उप. 7/23/1) ब् रह अथवा आता एव भूमा, यस जानम् अरिमन् एव जीवने करतवमनथा महती हा निः संभा विता- “इह चेदवेदीदथ सतमिस न चेिदहावेदीनहती िवनिषः”। अनेन पकारेण मानवस दाशरिनकं िचननं दःखु पिे रतिजजासया आरभते। भारतीयद रशनस पवृ तिः सपयोजना। मानवः न तु क णिकसुखे अपितु शाशतसुखे वि श ा स ं करो ति। अनया दषा परमपुरषा रथस मोकस पिरकलना कृता। साखं दशरने किथतम-् अथ ितिवधदःखु ातनिनविृ तरतनपुरषाथः।र सवैः दाशरिनकै ः सीकृतम ् मोकसैकमातसाधनं तु जानम सि। पतकानुमानोपमानापवचनपमाणैः पदा रथानां जानमातजानं च कृ त ा पुरषः ति वि ध त ा प प ूि र त ं सागरं तर ति तथा च पापो ति मोकम्।

301 Philosophy 17th World Sanskrit Conference, 2018

तत चिनामणौ वापे: सरपम् Rakesh Kumar Jawaharlal Nehru University (Delhi, India) नायसो किरियं यत् “पतकप रिक लितमप रथमनुमानेन बुभुतने तरकरसिका” इति वाचस तिवचनात् तरकरसिकानां कृ त े पतकम पि अनुमान विषयकम् भव ति | अनु मितिश वा पिपकारपकध रमताजानजनजानरपा भव ति। अनु मितिजानाननरमेव अनु मितिकरणस जानं सा रथकं भव ति अत आह - “पराम रशजनत विशिषजानतमनु मिते रलकणम्”। यजानं पराम रशजनतेन िविशषं भवित तत ् अनुिमतेलरकणं भवित। परन ु अतािप अयमेव िजजासोदेित यत ् िकं पुन:परामशर: यिसन ् जाने सवरिमदं जातं भव ति ? एतत् सरव मन सि नि ध ा य ा ऽ ऽ ह ा च ा य र :- “वा पिविशिषपकध रमताजानं पराम: रश । वि ष यि त ा स म न ेन वा पिविशिषपकध रमताजानं पराम रश उचते। विवापधूमसह वा पिविशिषस पके वृ तितजानमेव पराम: रश नि ग द त । े परामशरलकणलटकीभूतस पकविृ ततस यावजानं न सात ् तावत ् परामशर: दबु ोध अत पकता उका- “वापस परवतादिवृतितं पकध रमता”। पकस लकणं कु रवत ा अनंभटेन कथितम्- “सनि गसाधवान् पक:। यथा धूमवते हेतौ परवत:॥” साधस सनेहो यत भव ति स पक उचते, अत नायबो धिनीकारेण अस लकणस पाचीनतम् उकम्। गगनं मेघवत् घनग रजनात् इति वि न ा ऽ पि सनेहे गगनस पकता दशते अत आह- “इदं च लकणमनु मिते: पू रव साधसनेहो नि य म ेन जायत इत भिपायेण पाचीनै: कृ त म ्। गगन विशेषकमेघपकारक-”गगनं-मेघवनवे“ ति -सनेहाभावदशायाम पि गृहमधसपुरषस घनगि जतशवणेन”गगनं मेघव दि “ताका रिकाया गगनताव चिनोदेशता निर पितमेघताव चिन विधेयताकाया अनु मिते रद रशनाताचीनलकणं वि ह ा य नवीनैरनु मितुदेशतं पकत मिति सि र ी क ृ त म” ् । अत एव वि श न ा थ न े मुकावलां पकतस िनदरषु ं लकणमेवमुकम-् “िसषाधियषया शूना िसिदयरत न िवदते। स पकसत विृ ततजानादनुिमितभवर ेत॥् ” इतं पकस पाचीनसमतं लकणं वि ह ा य नवीनै: “ सिषाध यिषा विरह विशिष सिधभाव:” इति लकणमुकम्। एवं पकारेण नवीनै: पकस पाचीनलकणं िवहाय िविशषाभावघिटतं िनदरषु ं लकणं िविहतम।्

अत: वा पिविशिषपकध रमताजानरपपराम रशघटकीभूतपकध रमताजाते सति शङोदे ति यत् ननु का नाम वा पि : यतो हि वा पिजानस जानं यावन सात् तावत् अनु मितिरशका। वा पिश पू रवपकवा पि : सिदानवा पिश। एतासां सरवासां वापीनां नि द रशन म ् आचा रयगङेशोपाधायेन तत चिनामणाखे गने गथितम्। गङेशप तिपा दितपू रवपकवा पिवाखानमनेकैराचायै रयथा- जगदीशभटाचा रयमथुरानाथपभृ तिभि : कृ त म ्। तत चिनाम णिगने वापेरनेकेषां पकाराणां पू रवपकमुखेन नि द र रशन ं कृ त ा तेषां समक् खणनं दरीदषंु शकत।े पूवरपकवािपलकणसावािप:के वलानियसलेऽिस।

इतञ ततिचनामणौ वािपपञकस, िसहं वाघयो: वािपदयस, िचनामिणकृता गङेशोपाधायेन अविभचिरततस पा रिभा षिका रथस सयमुलेख: न कृ त ो ऽ पि त ु सं के तमातमेव कृ तं परनु दी धितिकाररघुनाथ शिरोम णिना व धिकरणध रमावचिनप तियो गिताकाभावमानतामा शित चतु रदशवा पिलकणा नि गणयाञके। आचा रयगङेशोपाधायेन व धिकरणध रमावचिनाभावस खणनं कृ तं परनु तेषां काले वा पिनामा इतराण पि लकणा नि पचल नि स येषां चिनामणौ समक् खणनवलोकते। यथा अनौपा धिकसमनो वा पि :, कातेन समनो वा पि :, साभा विक: समनो वा पि :, अ विनाभावो वा पि :, समनमातं वा पि : इता दिवा पिलकणानाम पि खणनमका रि तत चिनामणौ। अने च वापे: िनदरषु स िसदानलकणस ततिचनामणौ चचाऽर िस।

इतञा सिन् “तत चिनामणौ वापे: सरपम्” इति पते वापे: पू रवपकैसाकं वा पिसिदानलकणस माथुरीरीता वि श ेष ण ं करिषते।

302 17th World Sanskrit Conference, 2018 Philosophy

On the Relationship Between Dharma and Mokṣa in the Tradition of the Vaiśeṣika School Taiken Kyuma Mie University (Tsu, Japan)

As is well known, some schools of thought in India, such as Jainism, regard all kinds of karma as tying us into the realm of saṃsāra and as keeping us from attaining mokṣa (liberation). This is tantamount to saying that there is no meritorious karma in the end, and that it is essential to consider how to control the endless effect of karma in general. On the other hand, it is also true that the idea of meritorious karma is often accepted not only as leading to better rebirth but also as necessary for the pursuit of religious goals. For example, the concept of a bodhisattva’s puṇyasambhāra in Mahāyāna Buddhism seems to show a different path from the annihilation of all kinds of binding karma.

The aim of the present paper is to trace these two different streams in the tradition of the Vaiśeṣika school. In the Vaiśeṣikasūtra 5.2.18 and 6.2.15, it is clearly stated that the annihilation of adṛṣṭa (invisible force), which Praśastapāda explicitly uses as a comprehensive term for dharma (merit) and adharma (demerit) in the context of karma, enables us to emancipate ourselves from saṃsāra. Nevertheless, the Vaiśeṣikasūtra 1.1.2 does not exclude the possibility that niḥśreyasa (interpreted as liberation by some commentators) is to be attained by means of dharma. The focus of the present paper will be on Praśastapāda’s sound interpretation, which shows a way of reconciling these two different positions with each other. The present paper will, it is hoped, give a better understanding of what was said before about the relationship between dharma and mokṣa in the tradition of the Vaiśeṣika school.

303 Philosophy 17th World Sanskrit Conference, 2018

Śakti in a Kṣaṇa: Understandings of Time in the Pratyabhijñā Texts of Utpaladeva and Abhinavagupta David Peter Lawrence University of North Dakota (Grand Forks, ND, USA)

My paper will examine the theories of time (kāla) presented in the Īśvarapratyabhijñā śāstra, as substantiated by my original translations from sections such as 2.1-2 of Utpaladeva’s Kārikās and Vṛtti, and Abhinavagupta’s Vimarśinī and Vivṛtivimarśinī. Related texts from Veda, Āgamas, Buddhism, Vyākaraṇa, Yoga and other śāstras, as well as Abhinavagupta’s tantric writings will also be cited. This will contribute to Sanskrit scholarship’s important insights into the philosophical significance of the Pratyabhijñā system. As is well known, the Pratyabhijñā thinkers are both opponents of and deeply influenced by Yogācāra Buddhism, especially the pramāṇa tradition of Dignāga, Dharmakīrti, and so on. While some speculate whether Bhartṛhari was himself responding to early Buddhist thinkers, he was the primary resource of Utpaladeva and Abhinavagupta’s own defense against the Buddhists. Their basic theories of self-recognition (ahampratyavamarśa, pratyabhijñā), mantras, action syntax (kriyākārakabhāva), indexicals/grammatical persons (puruṣa), and so on, are developed out of explicit and implicit aspects of Bhartṛhari’s theories of Śabda or Vāk. My main argument regards how the Pratyabhijñā utilizes Bhartṛhari against the Buddhists also in their approach to time. As the grammarian is interpreted, the ultimately (and paradoxically) nonsequential Kāla Śakti is a predominant expression of the autonomy (svātantrya) of the Word Absolute, generating and constituting the sequences of both action and phonemes. To refute Buddhist instantaneity theories (kṣaṇikavāda), the Śaivas appropriate kāla as one of Śiva’s Śaktis, related in ontology to those of kriyā and dik, and in epistemology to the differentiating forces of apoha and māyā. Broadening the context beyond Bhartṛhari, the contemplation of time and the moment (kṣaṇa) is prized as a way toward the highest felicity or liberation within the Veda, Yoga and pre-Yogācāra Buddhism. The subsumption of the kṣaṇa within Śiva’s Śakti is the distinctive approach of Pratyabhijñā philosophy, informing meditations such as Abhinava’s Krama tantra.

304 17th World Sanskrit Conference, 2018 Philosophy

Fragments of Some Little-Known Naiyāyikas: An Exposition Satyajit Layek University of Calcutta

The Nyāyadarśana is a systematic logical discourse characterized by arguments and counter- arguments. In between Bhāṣya and Vārtika, Tātparya and Pariśuddhi, many stalwarts appear who exercise their full might in order to subdue the adversarial attack of the opponents—namely, the Buddhists logicians. But unfortunately some little-known naiyāyikas are never taken into good account. Although they did not gain acclaim or popularity, still their contribution made the system enriched and glorious. Hence, to me they appear like tributaries to enrich the main stream of Nyāya. Some of them include Śaṃkarasvāmin, Viśvarūpa, Abiddhakarṇa, Bhāvivikta, Adhyāyana, etc. They have neither independent texts nor any special identity, so far as their life-time is concerned. But Śāntarakṣita, the Buddhist philosopher, quotes their important views about generality, the soul, quality, inference, desire, etc. The naiyāyikas’ theory of the soul was supported by him on the ground that desire, etc., must be contained in something since they are product like colour. While examining the category like substance he argues in favour of cognition by giving a suitable example that there is no difference in direct and indirect cognition as in the case where different colours are not found in yarns of cloth. With regard to the relation between component and composite, he opines that composites are subsistent in components. Durveka Miśra refers to Viśvarūpa in his Dharmottarapradīpa, and distinctly mentions the Nyāyabhāṣyatīkā of the present author under discussion. He claims that karaṇa could be used only when the subject is known, since as an instrument it requires the subject to be fixed up by sādhya. This proposition relates directly to the Nyāya aphorism 1.1.33. Uddyotakāra, too, attested the same view. Not being antagonistic to the tradition, faithfully they strengthened it, so that it may be reckoned and reconstructed for the benefit of the teacher and the taught.

305 Philosophy 17th World Sanskrit Conference, 2018

Dravya: From an Individual Thing to Absolute Brahman Charles Li University of British Columbia (Vancouver, BC, Canada)

In the grammatical tradition, starts out as a grammatical category, meaning an individual thing, as opposed to jāti, a genus. The problem at stake, as described in the beginning of the Mahābhāṣya, is the referent of a word: does “cow” mean an individual cow, or the category “cow”? But already in the Mahābhāṣya, the term dravya begins to take on a broader meaning, in the sense of the underlying substance of an particular object — like the gold in a pair of earrings — which remains permanent, while its shape is melted down and reformed; and thus, a linguistic question becomes entangled with ontology. Later, Bhartṛhari transforms dravya even further — as Wilhelm Halbfass puts it, “this functional and empirical concept of substance is superseded by the idea of an absolute substance, which coincides with the nondual brahman, the ultimate ground of language and the world.” But how does the notion of dravya as the referent of a word become transformed into the notion of dravya as the all-pervasive substance of reality? In other words, how does the question of meaning become answered, eventually, with a notion of existence?

In this paper, I will argue that Bhartṛhari is drawing on Buddhist discussions of absolute (dravyasat) and conventional existence (prajñaptisat) when he uses the term dravya to refer to non-dual reality. By appropriating and re-interpreting a verse from Nāgārjuna’s Acintyastava, he alludes simultaneously to Nāgārjuna’s use of the term dravya as a synonym of paramārtha as well as to Patañjali’s discussion of the permanence (nityatā) of dravya in the Mahābhāṣya. By fusing these two together, Bhartṛhari presents a notion of dravya as the permanent and absolute reality that remains when all differences have been withdrawn.

306 17th World Sanskrit Conference, 2018 Philosophy

On the Relation of the Pātañjalalayogaśāstra and the Nyāyabhāṣya Philipp Maas Leipzig University (Leipzig, Germany)

The presentation investigates the relative as well as the absolute chronology of two important works of Indian philosophy, namely the Pātañjalayogaśāstra (PYŚ, i.e., the Yogasūtra together with the so- called Yogabhāṣya) and the Nyāyabhāṣya (NBh). More specifically, it establishes that Pakṣilasvāmin Vātsyāyana, the author of the NBh, must have known the PYŚ. This conclusion is reached by drawing attention to verbatim quotations from the bhāṣya-part of the PYŚ in the NBh. Moreover – as A. Wezler has shown as early as 1984 – both works share conceptual parallels concerning the fourfold division of soteriology. The occurrence of this peculiar soteriological schema in the PYŚ as well as in the NBh, which otherwise is largely unknown in Brāhmaṇical traditions, may be interpreted as additional evidence in support of the hypothesis of Vātsyāyana’s indebtedness to Patañjali. The same is true for conceptual parallels between the PYŚ and the NBh concerning the means or auxiliaries of yoga in NBh 4.2. If it is granted that these textual and conceptual parallels indicate that the author of the NBh must have known the PYŚ, the composition of the PYŚ can be established as the terminus a quo for the composition of the NBh. Conversely, the completion of the NBh establishes the earliest possible date for the composition of the PYŚ. On the basis of further cumulative evidence, as for example, references to Vasubandhu’s Abhidharmkośabhāṣya in the PYŚ and a verbatim quotation of the PYŚ in the early vṛtti to Bhartṛhari’s Vākyapādīya, it is reasonable to ascribe the decades around the year 400 CE as the most probable date for the composition of the PYŚ and to date the NBh approximately half a century later.

307 Philosophy 17th World Sanskrit Conference, 2018

Becoming Is Remembering: ‘Anamnesis’ in the Yogasūtras Paolo Magnone Catholic University of the Sacred Heart (, Italy)

As is well known, the Platonic doctrine of anámnēsis aims to provide a solution to a difficult epistemological problem: how can one learn what he still ignores? for, if he utterly ignores it, he cannot even purpose to learn it. The answer of the Meno is that learning actually is remembering what we used to know, but have forgotten. On the other hand, some aphorisms of the Kaivalya Pāda of the Yogasūtras confront us with what seems to amount to an intriguing variant of the same doctrine, this time meant to cope with an “existential” problem typically Indian, yet in a way similar: how can one become (as a consequence of karman) what he was not? He who was not a cow in the former life did not possess her competences either (e.g. relishing pasture); hence how can he assume her mode of being in the next life? According to the interpretation of the relevant sūtras offered in Bhoja’s Rājamārtaṇḍa commentary, Patañjali’s answer turns out to bear an uncanny resemblance to Plato’s: taking on a mode of being actually is becoming once again (i.e. “recalling”, as it were) what we had already been, although we do not consciously remember it. This paper fits into the author’s ongoing undertaking to approach some fundamental philosophical themes in the kindred Greek and Indian philosophical traditions from a comparative perspective, showing how such approach can benefit both sides by fostering a deeper understanding of shared philosophical questions. In relation to the present object, it will be shown how the frame of the Platonic anámnēsis can help throw light on the import of a hitherto neglected group of pātañjala sūtras, while intimating a new possibility for the interpretation of a moot passage in Plato’s Meno which has so far defied the perspicacity of the scholars.

308 17th World Sanskrit Conference, 2018 Philosophy

The Daharavidyā in Appayya’s Nyāyarakṣāmaṇi and Nīlakaṇṭha’s Vedāntakataka Christopher Minkowski University of Oxford (Oxford, England)

Nīlakaṇṭha Caturdhara’s Vedāntakataka, composed in Banaras in the middle of the seventeenth century, is a treatise of Advaita Vedānta that seeks to “clarify the waters” of nondualist doctrine, largely by mounting a critique of certain ideas and modes of reading that had been introduced into the discipline by Appayya Dīkṣita a century earlier. In 2014, I published a study of this largely unknown work of Nīlakaṇṭha’s, which focused on its first, or paribhāṣā, paricheda and the criticism there of two of Appayya’s theological claims, about the liberated soul becoming the Lord (īśvarabhāvapatti) until the liberation of all (sarvamukti). The second, or samanvaya, paricheda of Nīlakaṇṭha’s text, which consists in a commentary on the first adhyāya of the Brahmasūtra, is noticeable in the extent to which it is aimed at evaluating the Nyāyarakṣāmaṇi, Appayya’s magisterial treatment of the same adhyāya. In this paper I will discuss Nīlakaṇṭha’s evaluation, in the second section of his text, of Appayya’s treatment of the Daharavidyā of the Chāndogya Upaniṣad, and of the Prajāpatividyā - the two śruti passages being linked already by the Brahmasūtra. Another unpublished work, by Nīlakaṇṭha’s son, Govinda, the Vedāntatātparyanivedana, will also be introduced, as this echoes and clarifies certain passages of the Vedāntakataka. The Nyāyarakṣāmaṇi has received much less discussion than it deserves, especially with regard to its inventive treatment of the literal text of the Brahmasūtra.

309 Philosophy 17th World Sanskrit Conference, 2018

Significance of Chala (Quibble) in the Ancient Debating System, with Special Reference to Ancient Nyāya Pankaj Kumar Mishra University of Delhi (Delhi, India)

The present paper devotes itself to a formulation of chala (quibble) and its various forms and also an assessment as to their ultimate tenability.

Victory over the proponent’s standpoint is the sole purpose of any debate. Naiyāyikas attempt an orderly account of this purpose while counting the sixteen categories. Amongst them, the fourteenth category is chala. The very first independent use of chala can be traced out in Mahābhārata.

But for the first time, the Naiyāyikas gave it a philosophical recognition, and used chala as an accessory to the means of valid cognition. Accordingly, it is a refutation of a given proposition by imagining an alternative meaning which the speaker and the context do not really bear out, as Akṣapāda defines. The ambiguity of a word or a proposition as a whole, which lends itself to an unfair interpretation, gives the opponent an opportunity for his ingenious exposition and this seems the main plank on which he bases his refutation. It may be pointed out here that the fallacies of equivocation, as one find in traditional logic, centre round the ambiguity of the terms of proposition constituting a syllogistic inference. The Indian logician, however, assigns a wider scope of this form and the classification of chala as outlined and detailed in Nyāya literature will countenance our position.

However, Vātsyāyana argues here that it is not possible to cite an example of chala taking it in its general definition. For that one needs the examples and classification under different forms. Accordingly, there are three forms of chala: verbal, generic and figurative. This whole idea is carried out by the later Nayāyikas also without any change. It also shows that the standpoint of chala is less discussed without any exception. Here, the question arises that why this art is not explained properly in such doctrine like others. Answers can be traced in philosopher’s disinterest in traditional Nyāya or the disappearance of śastrārtha (debate).

310 17th World Sanskrit Conference, 2018 Philosophy

The Change of Concept of Adhyavasāya in the Buddhist Logico-Epistemological Tradition: Dharmakīrti, Dharmottara, and Jñānaśrīmitra Miyuki Nakasuka Hiroshima University (Hiroshima, Japan)

According to Dharmakīrti, a conceptual cognition has the function of judging X to be Y, which is called adhyavasāya. To judge X to be Y is to superimpose X on Y. A conceptual cognition, directly grasping a mental image (X), superimposes it on an external object (Y). For Dharmakīrti, the sāmāṇyalakṣaṇa which Dignāga holds to be the object of a conceptual cognition is the X which has been superimposed on Y, not the X itself. For Dharmottara, on the other hand, the sāmāṇyalakṣaṇa is none other than X, which has been superimposed on an external object. This view of Dharmottara has a problem that adhyavasāya must be a double superimposition: a conceptual cognition superimposes on an external object its image which has already been superimposed on it. Jñānaśrīmitra considers it being absurd. In his view, the X must be, as Dharmakīrti holds, a mere mental image. It is to be noted that Dharmakīrti and Jñānaśrīmitra interpret the object of conceptual cognition differently: for the former, it is the sāmānyalakṣaṇa which is a mental image that has been superimposed on an external object, and for the latter, it is an external object as the conceptual construct. The difference of opinion among them about what sāmāṇyalakṣaṇa is arises from their different understandings of adhyavasāya. This presentation aims at showing how the concept of adhyavasāya changes in the Buddhist logico-epistemological tradition.

311 Philosophy 17th World Sanskrit Conference, 2018

Making Space for God: Theism, Anti-Theism, and Devotion in Vedānta Cosmologies Andrew J. Nicholson State University of New York at Stony Brook (Stony Brook, NY, USA)

In this presentation, I will examine some of the differing accounts of god (īśvara) among Vedāntic commentaries on the Brahma Sūtra and Bhagavad Gītā, showing how ambiguities and contradictions in these two texts’ accounts of īśvara’s relation to brahman led to a variety of ontologies of īśvara.

The Brahma Sūtra, one of the three foundational texts of the Vedānta philosophical school, gives an ambiguous and relatively small place to god (īśvara) in its cosmology. Instead of presenting a being who is absolute and all-powerful, Brahma Sūtra 2.1.34 suggests that īśvara is accountable (sāpekṣa) to the law of karma and therefore limited in his actions. This admission is a response to accusations of īśvara’s cruelty (nairghṛṇya) by an atheist interlocutor. While the Brahma Sūtra is successful in rehabilitating god’s reputation, its attempt to do so has profound repercussions. Atheist Mīmāṃsā and Sāṃkhya philosophers subsequently argue that given īśvara’s minor role in creation and karmic justice, the principle of argumentative simplicity (lāghava) requires us to reject god’s existence. If īśvara’s existence is allowed, he is more like a demiurge than a full-fledged creator god.

In contrast to the Bhagavad Gītā, in which the personal god Kṛṣṇa is portrayed as the foundation of brahman (vide BhG 14.27), in the Brahma Sūtra it is brahman that is the fundamental and ultimate source of all creation. This discrepancy in foundational texts’ accounts of the relation between brahman and god was both a challenge and opportunity for Vedānta philosophers: a problem insofar as it required commentarial ingenuity to reconcile these differing theologies; an opportunity since such ambiguities opened up a space for philosophical innovation. Among these innovations was the idea of a loving god who enters into a reciprocal relationship with his devotees, an idea not found in the earliest extant commentaries on the Brahma Sūtra.

312 17th World Sanskrit Conference, 2018 Philosophy

Tracking Memory Usage – Smṛti as (Individual) Recollection and Smṛti as (Collective) Memory in Kumārila’s Mīmāṃsā Monika Nowakowska University of Warsaw (Warsaw, Poland)

Recently renewed scholarly interest in the questions on the one hand of the so-called roots of (knowledge of) dharma (e.g. Francavilla 2006; Davis, Jr. 2007, 2010; Yoshimizu 2012), and on the other hand of the cultural categories of śruti and smṛti (e.g. Pollock 1996/2012; Brick 2006) draws our attention to the perspective of Mīmāṃsā. This exegetical-philosophical school offers apparently some of the earliest known to us discussions on smṛti as “[tradition transmitted by] memory” juxtaposed with śruti. The contemporary analyses of the problem usually only cursorily acknowledge the fact that in other, epistemological context, smṛti as a recollection, individual memory content, happens to be discussed under the topic of (reliable) cognitive processes, either as a not-so- trustworthy source of (indirect) information or as an element of complex cognitions determined as reliable or not otherwise. The two semantic subdomains of the term smṛti seem to be treated in studies or secondary literature separately. Yet, in the beginning of the discussion of dharmamūla in Kumārila’s “Tantravārttika”, i.e. in smṛtyācāraprāmāṇyādhikaraṇa, in the pūrvapakṣa portion (1.3.1), the epistemological connotation of smṛti is emphatically recalled as an argument against the authoritativeness of smṛti (texts) as the source of knowledge of dharma. The objection is not rejected outright as pointless and this implies that at least to Kumārila both usages of the term overlapped. In this paper we track Kumārila’s understanding of the role of memory in cognitive processes (discussed e.g. along the way of analyses of various pramāṇas in “Ślokavārttika”; see also Taber 2005; Kataoka 2011) to arrive at a better understanding of the notion of memory – in its graded distance from some possible original cognition and in its various dimensions, individual vs. collective – as conceptualized in one of the main traditions of Mīmāṃsā in ca. 6-7th AD.

313 Philosophy 17th World Sanskrit Conference, 2018

मीमांसानय ईशर निरास: Kashinath Nyaupane Nepal Sanskrit University (Beljhundi, Nepal) वेदा- रथ वि च ा र -परायणानां मीमांसकानां ना सि कशि दीशर-पदा: रथ । मीमांसा-नय ईशरानङीकारो मुख: पक:, तदङीकारो नूतन- मीमांसकानामासे मत मिति प सिदतरम्। न च भट-कु म ा रि ल-कृ त -मङलानुपप ति : पा- रथ सार थिना तस यज-परतया पि वाखानात।् मङलाचरण-िविध ं पदश र तदाचरणऽे िप तत ईशरािसदेश। ईशर-वादी भवतु नाम सयं भट-पाद:, तस गनतो नेशर- सि दि :, न वा मीमांसायामीशर-सीकृ तिरिति। नव-कमलाकरोऽपेक-दे शिषु पातय ति पवादाश नि :, कि न ु ते भट- शबरादय एव। कि म स ी श र े मानम्? नानुमानम्। तयैवानङीकारात्। स च सयमेवानुमा निकमीशरं नि र ा च क ा र । सिदाथे तस तयैवामानतोके रन शब:, अामायस कि य ा थ तर य ा सि द -वचसाम- रथ वादतेन साथेऽमानतात् शबात् सिदसेशरसाङीकारे- ऽप सिदान-पाताच। न च भूता- रथ वाद: सी कियते, तथाते वेदानानां सा- रथ परतेऽ पि पतिपतेरदैत-खणन-वैय रथात् । जान- करम-समुचय-पतिपादनान रथकाच। न च शु ति -चो दितेशरसेह मानम्, तसा- रथ वादा दि -परतेन साथेऽपामाण मिति वि स र श ो बहत दशिततात्। नायस तुलतात् सृ ति -पुराणयोरक ईशर: कथमङी कियताम्। न च लोक- सिदसेशरस सीकृ तिरिहा सि मीमांसायां लोक-पामाणासीकृततात्। लोकव दिति यतूत-भाषा दिषु पोचते ततेवलं दषान-मात-हेतु:। तस पतकताच। तथा चोकं भगवतादेना पि – न हि तावलोको नाम किित ञ तनं पमाणम सि इति। अत ईशरे ना सि किि ञ त् पमाण-जातम्। नािप जगतोऽजिनमतादअ् नाशाच तततृतर मस लकणं भिवतंुशकोित, अपूवरसैव जगत: िसित-कारणताभपपु गमात।् तस चेतनतं सत: सि द ं भवती ति न सि ि त -हेतुतम पि।ईशरमपेक न हि करमापि फलं ददा ति यत रम-फल-दातृतं तस भवेत्। इतं संसारसाहेतुरफल-दाता चेशरो न कथंिचत ् सीिकयते, तसािवदमान-समतात।् तसादीशर-सीकृतौ िकं मानम्, िकं लकण: स:! एष एव नायो देवता- विगहादाव पि।मीमांसायामुभयत देवता- वि च ा र ो वि ग ह ा द स ी क ा र श । पुन: “यसै देवतायै हवि :” इता दि -वाकमा शित सं शयो देवताया वि ग ह ा दि धेय:, शब-मातं वा धेय मिति नाव मिकेऽ धिकरणे तासां पयोजकत-मात- पितषेध-परतादूपादेधये तिे त पूवर-पक:। सिदानसु शब एव धेय इति। ततुतो मीमांसाक-मते तासां वि ग ह ा दि - सि दि :? मान-वैय रथयोश तुलतात्।

314 17th World Sanskrit Conference, 2018 Philosophy

Indebted to Adversaries? Hypothesis on a Yogic Debt in Advaita Vedānta Gianni Pellegrini University of Turin

Throughout the first pāda of his commentary on the Brahmasūtra (BS), the main target of Śaṅkara’s criticism is the Sāṃkhya school of thought. In the Brahmasūtrabhāṣya ad BS 2.1.3, Śaṅkara does not lose much time in rejecting those Yoga doctrines, closely corresponding to the positions of Sāṃkhya. Thus, once Sāṃkhya is finally rejected, Yoga is automatically defeated. More precisely, Śaṅkara condemns Yoga doctrines as dualistic and non-vedic (avaidika), although he recognizes yogic practices as partially useful. It is also well known that the attitude towards yogic practices and doctrines changed somewhat in the later Advaita Vedānta textual history, mainly in Vidyāraṇya works, where yoga terminology, texts and practices are widely used and referred to.

Yet how are the positions presented in original Yoga-texts (mainly in the Yogasūtra) reused in Advaita Vedānta? Of course, there are several Sāṃkhya-Yoga views reused and re-semantized by Advaita authors. For instance, one of these ideas is surely Advaita Vedānta theory of super-imposition (adhyāsa), clearly traceable in the Sāṃkhya concept of the undue association (saṃyoga) between prakṛti and puruṣa. I specifically believe that in the later Advaita milieu there is quite an undetected sacrum furtum – beside others – directly borrowed from Yogasūtra (1.47-51), namely the particular cognitive condition called ṛtambharaprajñā. Although Advaita authors do not use this compound, I shall try to textually demonstrate that the later advaitic concept of the undivided (akhaṇḍa), final (carama) mental modification (vṛtti) bears the same characteristics.

315 Philosophy 17th World Sanskrit Conference, 2018

Application of Syntactical Principles of Mīmāṃsā to Brahmasūtra Sandhya Pruthi University of Delhi (Delhi, India)

Pūrva Mīmāṃsā guidelines were originally created for interpreting religious texts pertaining to the sacrifice. However, they were so rational and logical that gradually they came to be utilized in law, grammar, philosophy etc. They possess such nature that they tend be applied universally. Thus, Śaṅkara has also utilized tātparyaliṅgas (upakrama, upasaṃhāra, etc.) all along his Brahmasūtrabhāṣya and Upaniṣadbhāṣya. In many cases the agreement between upakrama, upasaṃhāra, abhyāsa and apūrvatā are shown to determine the purport of a passage. Śruti, liṅga, vākya etc. The guidelines for determining the meaning and rule of transference or extended application are also utilized. Besides, certain principles or laws of interpretation: (a) the principle of syntactical unit (ekavākya, one sentence), (b) the principle of syntactical split (vākyabheda, what constitutes different sentences), (c) the principle of elliptical extension (anuṣaṅga, how an incomplete sentence may be completed) and the rule on strength of which to determine the signification of words have also appeared to be universal in its application. We shall deal with these in detail, as they play an important part in the whole science of Mīmāṃsā and serve a direct useful purpose in all textual interpretation.

This paper will take into account of the exegetical version of the matter presented in third chapter of Brahmasūtra. It will enable us to grasp more skilfully the grammar of this continuity and difference, i.e. the series of smaller, exegetical decisions out of which the Vedānta is fashioned as a true Uttara- Mīmāṃsā system of philosophy.

316 17th World Sanskrit Conference, 2018 Philosophy

नायवैशे षिक-दरशनयोः लौ किकनायानां शिकणप विधिरपेण पयोगः Anita Rajpal University of Delhi (Delhi, India) आगमन- नि ग म न वि ध ी शिकणस पाचीनतमौ वि शि ष ौ च वि ध ी वतेते। आगमन- वि ध ौ स रवपथममनेका नि उदाहरणा नि च पसुत वि ष य स वाखा कि य त, े तदननरं तेषामुदाहरणानां नि र ी क ण ं कृ त ा नि य म ा ः नि ा यरध न । े नि ग म न वि ध ौ सरवपथमं नि य म ा ः जापन,े तदननरमुदारणाना ं माधमेन िनयमाः परीकन।े अनयोः िवधोः पयोगेण दरु हिवषयाः अिप सारलं पापन।े सुकोमलमतीनां गहणधारणयोगानाम पि बालकानाम् शि क ा य ा ं रचिः उतदते। के न क ठादि उप निषतु दरु हिवषयानामातबहािदना ं वाखा निचके तादीना ं कथामाधमेन रथरपेण च कृता। इतं लोकशासयोः संयोगेण एव िवषये नैपुणं पापते। लोकेषु पचा रिताः कथाः, सूकयः, लोकेकयः, शासेषु सीकृत नियमाः, शास विशेषस पदतादयः च “लौ किकनायाः” कथने। एताननयाना शित शासकाराः स-स सिदानान् सा पितवनः। नायद रशने एतेषां नि य म ा न ा ं पयोगः सिदानस सषीकरणाय, पू रवपकेण सिदाने दोषपद रशनारथम्, पू रवपकस सं शयं नि व ा र यि त ं , ु स सिदानस सिदय रथ च कि य त । े यथा - चैतनं शरीरस धरम मनमानस शरीरातवा दिनः चा रवाकमतस खणनमुदयनाचा रयः ‘नानदषं सरतनः’ इति नायेन करो ति। नायद रशनस मु किविषये पू रवपकी दयोः नाययोः पयोगेण खणनं करो ति। यथा - ‘कीरं वि ह ा य रोचकगसस सौवीरर चिमुदावयती’ ति चेत्। ‘ननेकमुस निततोपर पचते’ इति नायः। नैया यिकानां वीचीतरंगकदमकोरकनायौ शबोततेः सिदानरपेण प सिदौ। एवं नायानां शिकणपद तिरपेण पयोगेण नायवैशे षिकाचा रयाः जीवस जगतः ईशरस च वाखां कृ त ा परमपुरषा रथस पाप रथ सामानजनेषु रचिमुतादितवनः।

317 Philosophy 17th World Sanskrit Conference, 2018

Interpretation, Explication and the Determination of Philosophical Concepts Expressed in Sanskrit Shyam Ranganathan York University (Toronto, ON, Canada)

This paper concerns methdology for determining the meaning of philosophical concepts in Sanskrit, and is not restricted in application to any specific author or text. It contrasts two methods of understanding. Interpretation relies on what one takes to be true (one’s beliefs). Explication relies on validity, the essential property of reason (the property of entailment such that if the premises of an argument are true, then the conclusion has to be true). The two are mutually exclusive: as truth is neither necessary nor sufficient for validity, interpretation violates validity and explication. If one adopts interpretation in the study of Indian philosophy, Indic uses of a term such as “dharma” are correlated with the substantive beliefs of the interpreter: when in the view of the interpreter an author writing in Sanskrit uses “dharma” for what the interpreter believes is “ethics,” it means “ethics”; when it is used for what the interpreter believes is law, religion, or an attribute, the term is taken to mean in these cases “law,” “religion,” or “attribute.” Meanings of “dharma” proliferate as the perspective of the Sanskrit author diverges from the interpreter. If one explicates, one treats a perspective as entailing a theory that entails all of the perspective’s claims about dharma, and one understands the concept of dharma as what competing theories of dharma converge on as they disagree, which we discover is the Right or the Good—the basic concept of moral theory. This distinction is crucial to the study of Indian philosophy, as it shows: (a) how conventional views in the literature about the numerous meanings of “dharma” are generated (interpretation), how it seems that moral theory was not the basic topic of Indian philosophy (interpretation); (b) explicated, each darśana entails a theory of dharma, and their disagreement is about dharma: the Right or the Good; (c) interpretation (and hence the conventional approach to “dharma” in the literature) violates validity and is hence irrational and is subjective as it depends on one’s beliefs—explication is rational and objective (invariant across beliefs).

318 17th World Sanskrit Conference, 2018 Philosophy

Epistemic Autonomy of Testimony in Nyāya: The Key Anti-Reductionist Argument Posed by Uḍḍyoṭakara, Bhāsarvajña and Jayanta Agnieszka Rostalska Ghent University (Ghent, Belgium)

The philosophers of the Nyāya tradition discuss the conventional nature of the connection between words and things. They regard ‘word’ (śabda) which corresponds to the notion of ‘testimony’ as an independent instance and autonomous knowledge source (pramāṇa). Their account of testimony is anti-reductionist, where the statement of an authority (āpta) is distinct from the case of inferential reasoning because it requires a presence of a credible person. A trustworthy testifier by definition has to possess distinct features, i.e., intention, competence and benevolence. What is more, the self- sufficiency of this knowledge source is also evidenced by the conditions of the relation between the speaker and the hearer.

The aim of this paper is to first reconstruct the early arguments of the Nyāya authors in favour of the irreducibility of testimony to other sources of knowledge as presented by Uḍḍyoṭakara in the Nyāyasūtra’s commentary (Nyāyavārttika ca. 7th cent.) and in two Nyāya treatises: by Bhāsarvajña (Nyāyabhūṣanam ca. 9th cent.) and Jayanta Bhaṭṭa (Nyāyamañjarī ca. 9th cent.). Second, I will focus in detail on one of the arguments for the epistemic autonomy of testimony that refers to the compound ‘reliable-teaching’ (āpta-upadeśa) and points to Sanskrit grammar - which allows to analyse it in two different ways: 1) as the teaching of a reliable person or 2) the teaching that is reliable. I argue that this distinction is taken up not only in order to defend the epistemic autonomy of testimony by the above mentioned Nyāya philosophers, but also its refinement by Jayanta is crucial for the ultimate rejection of the counterarguments posed by the Buddhists philosopher Dharmakīrti and the Mīmāṃsā philosopher Kumārila Bhaṭṭa.

319 Philosophy 17th World Sanskrit Conference, 2018

Authorship of Pātañjalayogasūtrabhāṣyavivaraṇa Susmi Sabu University of Kerala (Sasthamcotta, KL, India)

Discussions pertaining to the authorship problem of Pātañjalayogasūtrabhāṣyavivaraṇa, a sub- commentary written by Śaṅkarabhagavatpāda on the commentary Vyāsabhāṣya of Yogasūtra became alive in the field of Indian philosophy, soon after the discovery of the work. Owing to the perplexing colophon, scholars differ among themselves when they address the authorship problem. The present paper pays attention to some observations on the problem of authorship. The similarity in the name of the author and the colophon has made many scholars to consider Śaṅkarācārya as the author of the Vivaraṇa. The disagreement of the similarity set forth by several scholars is carefully evaluated in the present paper. The main observations put forward by the present study clearly differentiate the author from Śaṅkarācārya. They are the following: The style of Vivaraṇa is different from that of Śaṅkarācārya. Evidences show that, the author is later to Vācaspati Miśra, of the 9th century A.D. The particular arguing method suggests that the author had lived at least a century or two after Udayana. The manuscripts of Vivaraṇa are available only in Devanāgari or Malayalam script. This points to the possibility of Kerala origin of the work. The word “vedāraṇyakavat” which is purposefully used in the conclusion sentence of the text indicates author’s relationship with Payyur family. According to the calculation of kalivākya in the text, the date of Vivaraṇa can be assumed as the beginning of the 14th century A.D. This date is similar to the date assigned to the teacher of the famous Parameśvara I, one Śaṅkarabhagavatpāda, a disciple of Govindabhagavatpāda who was an ardent follower of Yoga and was a sannyāsin.

320 17th World Sanskrit Conference, 2018 Philosophy

Maṇḍanamiśra’s Application of the Mīmāṃsā Rules in the Tarkakāṇḍa of the Brahmasiddhi Akane Saito EFEO Pondicherry (Pondicherry, TN, India)

The second chapter in the Brahmasiddhi of Maṇḍanamiśra, later known as the Tarkakāṇḍa—if we agree with the partition in Kuppuswami Sastri’s edition—begins with the question of whether scripture is consistent with other means of knowledge, namely, direct perception etc. According to later commentaries, the purpose of the Tarkakāṇḍa is to solve the problem which arose from the discussion in the first chapter, namely that if scripture teaches oneness or non-distinction as the essential nature of the universe, it would contradict direct perception etc., which presupposes distinction. Maṇḍana tries to solve the problem on the basis of his strict logic; and we, overwhelmed by his going into the minutest details, can easily overlook the fact that in the background of his discussion there are several Mīmāṃsā rules which function as key-concepts underlying this logic. They are sometimes stated explicitly and sometimes not, and it is hard to see their importance at first sight. Maṇḍana takes the rules prescribed by Jaimini and refined by Śabara, and although they were originally purely concerned with the performance of sacrifice, applies them to his epistemic analysis, giving them the status of basic testimony. In this presentation, I shall first show how these Mīmāṃsā rules are handled in the Tarkakāṇḍa, and then investigate their importance in Maṇḍana’s philosophy.

321 Philosophy 17th World Sanskrit Conference, 2018

शीमदगवदीतायां सास विजानम् Mansi Sharma Jawaharlal Nehru University (Delhi, India) सकलमानवमानसं सुसासं कामयते। भारतीयजीवनपद तिमूलमन:- “सवे भवनु सु खिनः सवे सनु नि र ा म य ा ः ” इति। भारतीयदशरनपरमरा आधाितकािधदैिवकािधभौितकािदितिवधदःखु िनविृ तमेव पितपादयित परमाधिु नकजीवनशैलीवशानानवािसिवधद:ु खेषवे िनमगाः। शीमदगवदीताया ं मानवजीवनसवाङर ीणिवकासयोिवसतचृ चा र पापते । वि क ा स सि वि ध : शारी रिकमान सिकाधा तिकभेदेन। शीमदगवदीतायामुतमसासाय मानवजीवनस सरवविधसमसासमा हिता:। सास मि ह विचारणीयम्? वि श स ा ससङठनानुसारेण “Health is a state of complete physical, mental and social well being and not merely the absence of disease or infirmity”. प रिभाषेयं समगूपेण सासस मापदणान सषीकरो ति। चि न त े अतायुवेदीयपयोजनम् “ससस सासरकणमातुरस वि क ा र प श म न ञ ”। आयुवेद चिकिता शारी रिकरोगाणामेव समाधानं करो ति। सुशुतसं हितायां ससस लकणं पापते- “समदोषः समा गिश समधातुमल कियः। पसनाते नियमनाः सस इतिभधीयते”(१५.४१)। अिसलकँ णे ‘पसनातिे नयमनाः’ इित पदमवधये म-् कमिर नषादनायातिे नयमनािं स सहैव यकु ानतो हेतोरेषा ं सहजभाव: आवशक:। गीताया ं ससलकणमिभिहतम-् “समदःखु सुखः ससः समलोषाशकाञनः”। मनस: सुखद:ु खेषु सामावसवै ससतामावहित तसामापाया ं जन: पापैिनिलपिसषित। शङराचायरः गीताभाष े ‘सस’ इित शबस नि व चर न ं कृ त म ् - से आत नि सि त ः पसनसससः। उचतेऽ पि “मन एव मनुषाणां कारणं बनमोकयोः”। अतशासा णि मनशानैव यतने। ईशरस माया तिगुणा तिका पकृ तिरेव समसचराचरस कारणभूततेन बीजं पव रतते। सतरजसमां सि जीवनजीवनाय महताहायं कु रवनि । पकृतेसैगुणानन सिगुणातकम्। पभावेणासैव मनसः मानवसासं पभाववत्। आधु निकसनभे शारी रिकरोगाणा निवृत रथमयुकौषधै: कोधालस निदा दिमान सिक विकारा: जायने तथैव मान सिकरोगोपचारपयुकौषधैशारी रिकरोगा: पजायने। वरष१९९८तमे वि श स ा ससङठनप रिषदा मनसोऽशानतं वीक ससजनप रिभाषां पुनसंशोध समगसाससावधारणायै आधा तिकतमपा हितम्। “Health is a dynamic state of complete physical, mental, spiritual and social well being and not merely the absence of disease or infrmity”. इति प रिभाषेयं समु चिता मता। कि म त ा ध ा त मि ि त ? शांकरभाषे आतानं देहम धिकृत पतगाततया पवृतं परमा रथबहावसानं वसु सभाव: अधातशबेना भिधीयते। अधातमा शित भारतीयसंसृ ति सदैव यतमाना दरीदशते। इमामा शितैव “दारा शिकोहमहोदयः” मान सिकाधा तिकशानै उप निषदां पारसीभाषायामनुवादं कृ त व ा न ्। तथ मिदं कठोप निषदा परिपोषते- नािवरतो दशु िरतानाशानो नासमािहत:। नाशानमानसो वाऽिप पजानेनैनमापुयात॥् (१.२.२४)

वरतमाने ई रषासूयाकामकोधलोभमोहमतरा दिदोषपी डितजनानां चि न ा क ु ल त ो द व ा : उनत विकिपमूढो दिगहदयाघातादय: वि वि ध ा : असाधरोगा: जायने। अत: आधा तिक चिकिता महतावशकी।

322 17th World Sanskrit Conference, 2018 Philosophy

Editorial Notes on the Ninth Chapter of the Prakaraṇapañcikā Taisei Shida University of Tsukuba (Tsukuba, Japan)

The entire text of the Prakaraṇapañcikā (PrP), which is ascribed to Śālikanātha Miśra of the Prābhākara school of Mīmāṃsā and comprises fourteen chapters, has been edited at least three times—namely, by Viṭṭhala Śāśtrī in 1866–1871, by Mukuṇḍa Śāstrī in 1904, and by Subrahmaṇya Śāstrī in 1961. Nevertheless, there remain a few fundamental textual problems, such as (1) duplication of contents and discrepancy in the order of chapters five and six, as pointed out by Kuroda (1981), (2) references in the Pramāṇapārāyaṇa, the sixth chapter, to possibly lost chapter(s) or other treatise(s) called the Prameyapārāyaṇa and Pañcikādvaya, and (3) the possibility, as discussed by Kuroda (1978), of the prose portion containing embedded verses, where the verses, as far as extracted by the previous editions, alone are not sufficient to build the plot.

In my ongoing project, I am reediting the ninth chapter entitled Nyāyaśuddhi, in which the author seeks to prove the eternality of linguistic sound (śabda). The new edition is being prepared on the basis of nine textual witnesses, namely, two manuscripts in Nāgarī script, six in Malāyalam script, and one in Telugu script. In this presentation, I wish to report some findings of the early phase of the research project, including (a) a description of the available manuscripts and transcriptions that cover the pertinent section, and (b) a discussion of their mutual relationship. In addition, I will, on the basis of background analysis, demonstrate cases where the manuscript readings drastically vary.

323 Philosophy 17th World Sanskrit Conference, 2018

On Aprayojaka and Upādhi Ryushin Sudo Kyushu University (Fukuoka, Japan)

The Nyāyasūtra defined five kinds of hetvābhāsa (pseudo-reason) and most Naiyāyikas have accepted them. It is, however, well-known that some Naiyāyikas classify hetvābhāsa differently. Bhaṭṭa Jayanta (ca. 9-10th century) is one of them. In his Nyāyamañjarī Jayanta refers to the six kinds of pseudo- reason as held by others (anye). The sixth one is called “the reason which does not cause [a probandum correctly]” (aprayojaka), and it is a reason without “logical relation” (pratibandha) between “probandum” (sādhya) and “probans” (sādhana). Jayanta adopts this view positively. According to him, this pseudo-reason, namely aprayojaka, can be the sixth pseudo-reason or present in all types of hetvābhāsa. At the same time, Jayanta mentions the possibility that aprayojaka is the same as anyathāsiddha that is a subdivision of another pseudo-reason, sādhyasama.

Some Naiyāyikas such as Vācaspatimiśra (ca. 10th century?) and Udayana (ca. 11th century) treated pratibandha in the inference when discussing upādhi (additional/associate-condition or undercutting-condition) (see Suzuki 2011), which Jayanta doesn’t refer to in the present context. In Navyanyāya works, the term upādhi is often used to explain a sound-inference or a proper pervasion. The fact that these philosophers used upādhi and not aprayojaka brings us to the question how they discussed aprayojaka.

This presentation examines how Vācaspatimiśra and Udayana accept and criticize prayojaka/ aprayojaka, which is also referred to in Kumārila’s Ślokavārttika in the discussion of inferential concomitance. To conclude, Vācaspatimiśra doesn’t refer to aprayojaka as the sixth pseudo-reason but does refer to the term prayojaka in his Nyāyavārttikatātparyaṭīkā, whereas Udayana criticizes aprayojaka and replaces the discussion of prayojaka/aprayojaka with the discussion of upādhi in his works such as the Nyāyakusumāñjali or the Nyāyavārttikatātparyapariśuddhi.

324 17th World Sanskrit Conference, 2018 Philosophy

Dharma in the Bhagavad Gītā Ithamar Theodor University of Haifa (Haifa, Israel)

The Bhagavad Gītā is one of the central doctrinal texts for Hinduism; being one of the three founding texts of the Vedānta tradition, known as Prasthānatrayī, along with the Brahmasūtra and the Upaniṣads, it serves as a major source for doctrines and ideas concerning dharma among other topics. In a previous work I have offered the metaphor of a “three storey house” in order to describe the structure of the Bhagavad Gītā (Theodor 2010, 5). Accordingly the Bhagavad Gītā is divided into three metaphysical tiers or layers which could each be described in terms of epistemology, ontology and ethics. The lower level is humanistic and may be termed “the world of dharma,” the second level is spiritualistic and may be termed “the world of yoga,” and the third level is liberated and may be termed “the world of mokṣa.” In a recently published paper I have expanded upon the middle level which is grounded in the concept of rebirth or reincarnation. The purpose of this paper is to expand upon the lower level by studying the term dharma in the context of the Bhagavad Gītā. Examining dharma in the Bhagavad Gītā one may find some apparent contradictions and tensions; on the one hand, the Bhagavad Gītā propounds ethics of varṇāśrama but on the other hand, it rejects varṇāśrama identity altogether. Similarly, the Bhagavad Gītā propounds fighting and violence while on the other hand it promotes non-violence. This paper looks into the complexity of the topic and argues that the dharma delineated in the Bhagavad Gītā may be characterized as “dharma of enlightenment”, i.e., a concept of dharma by which the observing of duty is grounded in the progressive quest for enlightenment and mokṣa.

325 Philosophy 17th World Sanskrit Conference, 2018

Anubhūtisvarūpācārya’s Contribution to Advaita on the Nature of Mokṣa, Māyā and Avidyā With Reference to Prakaṭārthavivaraṇam and the Ṭippaṇam on Māṇḍūkyagauḍapādīyakārikābhāṣya S. P. Thompson University of Oxford (Oxford, England)

Anubhūtisvarūpācārya, the little-known Advaitin (the teacher of Ānandagiri), has an important contribution to the understanding of the nature of mokṣa, māyā and avidyā, which starts what he calls the essence of Advaita Vedānta ― viz. what appears as God, individual souls and the universe are all in reality non-different from Brahman, in the light of which mokṣa, māyā and avidyā are discussed. After putting forward possible objections and seeking to refute, he puts forward the view that avidyā is beginningless, indeterminable and positive. Furthermore, he says it is revealed by the ‘witnessing consciousness’. The paper presents his discussion arising partly out of a consideration of Vimuktātman’s views, as to whether avidyā is only one or many. The paper concludes after the consideration of different views about the locus and content of avidyā, with his rather unique view at the time that, even after liberation, māyā exists.

326 17th World Sanskrit Conference, 2018 Philosophy

What Does “Anubhava” Mean? A Hermeneutic Approach to Experience in the Philosophy of Utpala and Abhinavagupta Sthaneshwar Timalsina San Diego State University (San Diego, CA, USA)

Roughly translated as “experience”, the term anubhava is used in the Īśvarapratyabhijñā [IP] as synonymous with terms such as cid, dṛg, jñāna, caitanya, saṃvid, saṃvitti, prakāśa, bodha, or citi. Broadly, these terms refer to the subjective domain of consciousness that also involves what is intuitively given in the first mode of encountering reality. There are two reasons why this identification is problematic. One, the Sanskrit terms identified above have multiple meanings and are used to cover a wide range of issues that address consciousness and its functions. Two, the term “experience” is itself problematic with phenomenologists, behavioral psychologists, cognitive scientists, and contemporary analytical philosophers having different understandings regarding consciousness, its states, and functions. For instance, is consciousness always intentional? Is there a higher order consciousness to read the lower or pre-conceptual order? Do we represent reality in our experience? And, is consciousness simply a term for particular behavior? These questions have occupied a big field of contemporary philosophical discourse. Reading anubhava in Utpala’s or Abhinava’s literature, in my opinion, can be all the more productive if some of these contemporary issues are engaged. This paper explores the exact model of consciousness in Utpala and Abhinava and seeks to ground experience in their philosophies. This has the potential to engage some of the developments in consciousness studies in our times, particularly Husserl’s phenomenological approach and the conversations for and against Higher Order Theory (HOT).

327 Philosophy 17th World Sanskrit Conference, 2018

Intertextual Elements in Vidyānandin’s Works Himal Trikha CNRS

Jaina philosophical authors frequently composed their works by replicating argumentative patterns that were previously used. Due to quotations, paraphrases and other forms of textual parallels the individual works of Jaina philosophical literature are linked to each other and to other works of Indian philosophy by a considerable amount of corresponding textual material. The identification and analysis of these intertextual elements provide researchers with valuable textual variations of central arguments and with hypotheses on their historical development as well as on the chronological relation of the works involved.

The 10th century Jaina Vidyānandin was a very productive Sanskrit scholar. Nineteen works are ascribed to him, ten are available in editions, which add up to roughly 1200 pages in Devanāgari script. Within this considerable textual corpus, the author demonstrates that he was well acquainted with the dominant philosophical traditions of his epoch. Large portions consist of quotations or reports of arguments from other traditions, notably from Nyāya-Vaiśeṣika, Mīmāṃsā, Yogācāra Buddhism or Advaitavedānta. The presentation will give an overview of the intertextual material that has been identified so far.

328 17th World Sanskrit Conference, 2018 Philosophy

मीमांसाद रशने पमाणमीमांसाया: वि च ा र : Kaushlendra Tripathy Banaras Hindu University (Varanasi, UP, India) मीमांसाद रशनस मुखतया पतिपादो वि ष य ो धरम: | उकं च मह षिणा जै मिनिना दादशलकणां पथमं सूते अथातो धरमजि जासा इति | ततश दि त ी य ेन सूतेण चोदनालकणो अथो धरमः इता दिना धरमस जानं चोदना निमितक मिति पतिपादितम् | तदनंतरं यु किभिः साधु यितुं तस नि मि त प र ी षि ः इति सूतस उलेखः कृ त ः | पतका दिपमाणेन धरमस जानं करतु अशकं के व लं वेद एव तत पमाण मितुि दश अना नि पमाणा नि शासकारै: परी किता नि | असिनैव पसंगे पू रवमीमांसाशासे पमाण विचारः पव रतते | द रशनेषुपमाणपमेययो: परसरा शित: साधसाधक-बोधबोधकभावो वा समनः | यतो हि पमेय सिदिः पमाणा दि इति नायात् पमाणा शिता एव पमेयानां सिदि रभवति | पमाण सिदानेव पमेयानभुपेया नि | पमेयानुसारमेव पतिद रशनं पमाणान भिमता नि | पदाथो यतं सिदै पतकेतराणां पमाणानामपेका आवश कता वा अनुभूयते | अतः पतकमेव एकमातं पमाणम् तेषाम भिमतम् | इयमेव सि ि त ः दरशानानाम् | यथोकं मानमेयोदये- चा रवाकासावदेकं दि त य म पि पुनबौदवैशे षिको दौ भास रवजश सांख सितयमुदयनादाशतुषं वद नि | पाहः पाभाकरा: पं चकम पि च वयं तेअ पि वेदांत विजाः षट्कं पौरा णिकासतषकम भिद धिरे समवै तिहयोगात् ||

329 Philosophy 17th World Sanskrit Conference, 2018

दि त ी य व ा पि स र प े जगदीशगदाधरयोः सामं वैषमञ Bhagirath Trivedi Darshanam Sanskrit Mahavidyalaya (Ahmedabad, GJ, India) “आरष धमोपदेशं च वेदशासा विरो धिना । यसकेणानुसनते स धरम वेद नेतरः।।” इति मनुसृतुकरीता तरकसहकृत एव वेदः यथावद रथबोधनकम इति सवे सं वदने । तस तरकस साङस सामानतः विशेषतश सरपप तिपादना रथ नाय विसाराखं शासमवातरत् । पतका दिचतु रषपि पमाणेषु अनुमानपमाणसैव पाधानं मनमानाः गङेशोपाधायाः दि त ी य व ा पि स र प मि तं पितपादयिन यत-् " साधविदनसाधाभाववदविृ ततम ् । " अवापविृ तसाधकसदहे् ताववािपमाशङ्क साधविदन’े ित विशेषणमुपातम् । जगदीशगदाधरयोः सामम् । अ धिकरणभेदेनाभावो भि द त इतीमं नायम भिमुखीकृतैव दितीयलकणारमः कृ त ः । साधव दिनसाधाभाववदवृ तितातक दितीयलकणे समाससीकारपके करमधारयसमासमनाधृत सपमीततुरषसमासाङीकारे एव लकणसा रथकता । वापवृ तितावापवृ तितयोः विरदध रमयोः एक सिनेवा धिकरणे वृ तिताभावः उपपा दितः । साधपदपयोजनकाले साधव दिनवृ तिः यः गगनाभावः तद ति परवतादौ धरमस वि द म ा न त ा त ् अवा पिः अतः साधपदमुपातम् । गुणवृतभावगगनाभावयोः भेदे पि न दोषः । साधतावचेदकध रमसमनावचिन सीकारात् ‘विमान्ह धूमात्’ इता दि सले नावापादिदोषपसकिः ।

जगदीशगदाधरयोः वैषमम् । गदाधरभटाचायेण- साधवतागह विरो धिता नियामकसमना दिना ’ घटः पटताभाववान् पटतात् ’ इता दिसलेषु पकारानरेण अवापेः परिहार: कृ त ः , अनेके सूक वि च ा र ा श दशिताः । तरकालङारेण तु एतादशसमनान् असृषैव अवा पिमाशङ्क वि वि ध ा ः वि च ा र ा ः कृ त ा ः । गदाधरेण-‘अनतराभावः अनतरसरपः’ इति नायस सीकारो न कृ त ः । जगदीशपके तु साकात् अवा पिः पद शिता । अने गदाधरेण-‘घटतघटताकाशसंयोगानतराभावाभाववानाकाशतात्’ इतत अवा पिरापा दिता जगदीशेन तु – ‘घटतघटताकाशासंयोगानतरताव चिनाभाववान् गगनात्’ इति आकाशतात् इति साने गगनतात् इति शबानरं कृतम ् । उभयत अवापविृ तसाधकसदहे् तावेव अवािपः तदवसवै इतलं लेखनजालेन।

330 17th World Sanskrit Conference, 2018 Philosophy

Nature of Brahman: An Analysis of Suṣupti and Turīya in Māṇḍūkyakārikā Pawan Kumar Upadhyay University of Delhi (Delhi, India)

There has been a lively debate on the nature of Brahman in the Upaniṣads. It is very difficult to define as to whether it is equivalent to deep sleep or transcends it. In the ancient Chāndogya, Bṛhadāraṇyaka and Praśna Upaniṣads, soul in suṣupti is said to sleep (svapiti); which attains its own nature (sva apīto bhavati). When self is connected with the physical organs, it has relative attributes because of limiting adjuncts (upādhiḥ). In Chāndogyopaniṣad, the metaphor of ‘bird tied with string’, ‘honey-bee’ and ‘river-ocean’ has been used to describe the nature of deep sleep. The bird comes back to its nest, the nectar of different trees and rivers merge their identity in the honey and in the ocean respectively; likewise, all the limiting adjuncts i.e. the mind, the senses and all objects are absorbed in the soul and cease to function in deep sleep. On the other hand, Māṇḍūkyakārikā contends this position saying that in the deep sleep state, soul does not resemble the Brahman but it is a non-perception of Brahman. Thereafter, the Māṇḍūkyakārikā adds the fourth stage i.e. Turīya, in which the soul knows all the three waking, dreaming and deep sleep states (sarvadṛk). It subsumes all the three within it and yet is more pervasive than the three. So, this paper attempts to analyze the nature of Brahman in reference to the concepts of suṣupti and turīya.

331 Philosophy 17th World Sanskrit Conference, 2018

Do Prior-Commitments of Philosophers to Their Ontological Categories Govern the Epistemology in Indian Philosophies? Shrinivasa Varakhedi Kavikulaguru Kalidasa Sanskrit University (Nagpur, MH, India)

Indian non-Buddhist philosophical systems claim that the ontology proposed by them is supported by the proofs i.e, means of knowledge. There is a famous statement in Sanskrit मानाधीना मेय सिदिः which means “the objects or reality are established with the support of knowledge-means”. This leads to a problem of mutual dependency. Unless epistemological categories are defined, ontological objects cannot be established. Hence, epistemological categories need to be independent of ontological systems. However, my findings are quite contrasting. In fact, the ontological prior commitments of the philosophers force them to accept certain principles and scheme of epistemology, which decide the nature, number and function of the knowledge-means. In other words we can rationalize the statement i.e., मेयाधीना मान सिदिः that means “the epistemology (science of knowledge) is established on the basis of ontology (science of real objects).”

Thus, in this paper, I propose a new radical theory “मेयाधीना मान सिदिः” which claims that the ontological prior commitments of the philosophers compel them to accept a particular scheme of epistemology with certain number and nature of means, function of the same, and generation process of knowledge etc. I will try to show how the philosophers are biased in the selection of knowledge- means due to the nature of their preconceived ontological objects. No philosopher is exceptional in this case, as we could see the prior fixation of reality in their philosophy without any strong base. This notion is totally radical in the context of Indian philosophy as every Indian philosopher claims that his ontology is perfect and consistent on the sound basis of the proven epistemic authentication. But, when the knowledge sources are not independently defined that are free from the influence of ontology, how can they attest the objects in question? This hook holds the philosopher’s neck when he tries to move on the support of his own knowledge sources.

332 17th World Sanskrit Conference, 2018 Philosophy

करम करमाशयश योगद रशनगीतासनभे Vijay Laxmi CCS University (Muzaffarnagar, UP, India) संसरणशीलममुं सं सारं पशामशेदत सरवत वै विधमेव दषिपथमायाति , कशि त् सरवविधसौविधसमनः तथा पि सुखं नानुभव ति , अपरः लोकदषा सवरथा अिकञनः परं परमानने िनमगः सन ् सासं ािरकसुखािन तृणवद ् गणयित। कोऽिप सामतं सवरथा समकु वरनिप कथमिप सुखं न समशतु े, अपरतशकै ो िवपरीतमाचरनिप नैकान ् भोगान ् भुञान इव पतीयत े । मनीिषिभः असाः वि चि त त ा य ा ः मूलकारणं करमवैचितमङगीकृतम्। सांखद रशनकारेण मह षिणा कपिलमुनिना नि ग दि त म ् – करमवैचितातृ षिवै चि तम् (6.41) । अभिहितञ महाभारतकारेण- न तत सं विभजते सक रमणा परसरम्। तथा कृ तं सक रमजं तदेव भुजते फलम्। (शा निप रव 321.58) सत पि वै चि ते, विदमानेऽ पि वै विधे, वि श सि न ् वि श े वि श ऽे पि मानवाः, पशवः, पिकणः, कीटाः, पतङाः, जलचराः, सलचराः यिसनकै िसन ् िवषये सवरथा ऐकमतं धारयिन तद ् िवषयो वततर े –सुखम ् । सुखदःखु मूलं जापयता नायदशरनकारेण महिषणा जिै मिनना समगेवोकम-् पूवरकृत-फलानुबंधात-् तदतु ितः(3.2.64) आशयोऽयं वततर े यदिसन ् जनिन पापमाणािन सुखदःखु ािन असाकं कृतकमरणामेव फलम।् अतः सवेषा ं जीवने कमािर ण पधानभावं जुषन इति रादानः सि र ः । करमवैविधं पतिपादयता आचा रयवरेण पतञ लिना भिहितम्- क रमाशुकाकृ षं यो गिन सिविध मितरेषाम् (योगसूत 4.7)। अत वासभाषम-् “चतुषदी खिलयं कमरजाितः- कृषा, शुककृषा, शुका, अशुकाकृषा चेित । तत कृषा दरु ातनाम्, शुककृ षा बहिःसाधनसाधा, तत परपीडानुगहदारेणैव करमाशयपचयः, शुका तपःसाधायधानवताम्। सा हि के व लं मनसायततादब हि :साधनाधीना न परान् पीड यिता भव ति। अशुकाकृ षा सं ना सिनां कीणकेशानां चरमदेहाना मिति।” अथातर ् दषु जनाना ं कमािर ण कृषािन भविन तथािवधाः जनाः अनान ् जनान ् पीडा ं कषं वा पददित, तथािवधःै कमिर भः कृष- करमाशयो नि म ी य त । े सामानजनानां करमाणि शुका नि कृ षानि उभय विधा नि भव नि। अथ च ये तप सि साधाये च नि र त ा ः तेषां करमाणि बाहसाधन निरपेकतात् के व लं पुणयुकानेव भव नि अतः एतेषां जा तिः शुकाखे ति , तथा च नि व तृ च ेत स ा ं करमाणि पापपुणर हिता नि भव नि यतो हि तैसु करमाणि न फलभोगाय कि य न े अपितु भोग निरोधाय । गीतानुसारं क रमणां करम, वि क म र , अक रम इति भेदतयम्। (4.17) करमाशयः- शुक–अशुक-सा तिक-राजस-तामस-सकाम- नि षाम-भावयुक-अभावयुकक रमभिः करमाशयो नि म ी य त, े करमाशयो जीवानां जा तिरायुभोगपदाने कारणम्। ऋ षिणा पतञ लिना सू तितम्- केशमूलः करमाशयो दषादषजनवेदनीयः (योगसूत 2.12) तताह भगवान् भाषकारः- “पुणापुणक रमाशयः कामलोभमोहकोधपसवः। स दषजनवेदनीयशादषजनवेदनीयश”। अरथात् पुणक रमाशय अपुणक रमाशयश दषजनवेदनीयः- वरतमानजननि फलदायकः, अदषजनवेदनीयः- भा विजनसु फलदायको भव ति। सं सारतीवतानुरपं पुणापुणक रमणां फलकालो नि ा रध रि त ो भव ति। “तत तीवसंवेगेन मनतपः समा धिभिनि रवतित ईशरदेवतामह षिमहानुभावानामाराधनादा यः परिनिषनः स सदः परिपचते पुणक रमाशय इति। तथा तीवकेशेन भीत-वा धित-कृ प ण ेष ु वि श ा स ो प ग त षे ु वा महानुभावेषु…….”। (2.12 सूतस वासभाषम्)।

इतम् भगवता पतञ लिना करमपकारं तदाधा रितं करमाशयञ वि व रण बो धिताः लोकाः कै व ल ा व ा प य े , अमुमेव वि ष य ं योगद रशनसनभे आधु निकजीवनसनभे च समीकणमस पतसोदेशम्।

333 Philosophy 17th World Sanskrit Conference, 2018

Causality in Early Navya-Nyāya: The Definitions of Cause Formulated by Śaśadhara Toshihiro Wada Nagoya University (Nagoya, Japan)

Śaśadhara (ca. 14th CE) is said to have greatly influenced Gaṅgeśa (ca. 14th CE), i.e., the consolidator of the Navya-nyāya school and a predecessor to or contemporary of him. To construct the early history of this school, it is needed to investigate Śaśadhara. Among various philosophical concepts, I have focused upon that of cause and analyzed the “Cause-ness Chapter” (Kāraṇatā-vāda) of his Nyāya- siddhānta-dīpa. He gives five provisional and three conclusive definitions of cause in this chapter. From previous research, we learn that two of the five provisional ones are from Udayana (ca. 11th CE), and that Śaśadhara revises and accepts those two as correct ones and adds one as correct. I will make clear the characters of those three conclusive ones.

334 17th World Sanskrit Conference, 2018 Philosophy

Perceptibility of Time in Nyāya-Vaiśeṣika Philosophy Masayoshi Watanabe University of Tokyo (Tokyo, Japan)

Can time be perceptible by the organ of sight? This question seems strange to us, but is quite important for both Nyāya and Vaiśeṣika schools. Many scholars in Vaiśeṣika school, including Praśastapāda, who wrote Padārthadharmasaṃgraha (PDhS) in the 6th century, and his two later annotators, Śrīdhara and Udayana, insisted that time is known by inference. On the other hand, another annotator of PDhS, Vyomaśiva, introduced the opinion that states perceptibility of time in his Vyomavatī (Vy) and examined its validity in details. A Naiyāyika scholar in 9th century, Jayanta, also quoted the similar view in his Nyāymañjarī (NM). Such a variety of opinions on perceptibility of time is worth investigating for the reason that these opinions reflect the great dispute about theory of perception aroused between the two Naiyāyika groups called “ācāryāḥ” and “vyākhyātāraḥ.” However, few modern researches have referred this topic until now. In this paper, I compare and classify the arguments in Vy, Śrīdhara’s Nyāyakandalī (NK), Udayana’s Kiraṇāvalī (Ki), and NM. In conclusion, I declare that there are four types of theories on the understanding of time in these works. Time is perceptible, and is the differencer in temporal cognition. (NM, Vy) Time is neither perceptible nor the differencer. It is the means of cognition. (NM, negated in NK) Time is not perceptible but the differencer. (NK, Vy) Time is not perceptible. The differencer is not time but action (kriyā) of the sun. (Ki)

As a result, we can acquire a good example that shows the interactive process of development of the epistemological theories in both schools.

335 Philosophy 17th World Sanskrit Conference, 2018

Jayanta Bhaṭṭa on Whether Perception Supports or Refutes the Buddhist Doctrine of Momentariness Alex Watson Ashoka University (Sonipat, HR, India)

The paper will examine a passage from the 7th chapter of Jayanta Bhaṭṭa’s Nyāyamañjarī. The passage has not yet been translated into a European language. It has, however, along with the whole of the rest of the Nyāyamañjarī, been translated into Gujarati (Shah 1992).

It can be divided into two parts, the first of which addresses the question of whether recognition (pratyabhijñā) refutes the Buddhist doctrine of momentariness. Does a cognition such as ‘this table that I am looking at now is the same table I was looking at a moment ago’ imply the existence of a unitary table existing at both moments? No, says the Buddhist of course, because the existence of such a ‘recognition’ cognition can be adequately explained even if the two table-phases are distinct objects. What follows is a discussion between the Buddhist and the Naiyāyika about the nature of recognition, with the Buddhist holding that it is not a single cognition (jñāna), but rather two: a perception (anubhava) followed by a memory (smṛti). I will discuss what motivates the Buddhist and Naiyāyika positions and what hangs on them.

In the second part of the passage the Buddhist defends the thesis that momentariness is apprehended by direct perception (pratyakṣagamyam eva kṣaṇikatvam). A key claim here is that direct perception cannot grasp a temporally extended entity, because the range of sensory perception is the present alone. I will investigate whether the Buddhist illegitimately moves from this merely epistemological claim to an ontological claim about the duration of objects—whether he unwarrantedly assumes that the temporal extent of an object exactly matches the temporal range of perception, or whether there is more to his argument.

336 17th World Sanskrit Conference, 2018 Philosophy

Why Prāṇa Is the Most Excellent Among the Vital Functions, or: The Shapley Value in the Upaniṣads Harald Wiese Leipzig University (Leipzig, Germany)

This paper deals with the contest of the “vital functions” for superiority in the Bṛhadāraṇyaka Upaniṣad and the Chāndogya Upaniṣad, in the Aitareya Āraṇyaka and others. For example, death succeeds in capturing the vital functions with the exception of breath in BĀU 1.5.21. This fact shows breath’s superiority.

In contrast to the above example, the paper concentrates on generalizable approaches. One may argue that generalizability refers to: (a) some method (prakāra), (b) which is teachable (prakāropadeśaḥ), (c) which is applicable beyond the actual application (cetanāvanta iva puruṣāḥ), and (d) which serves to avoid struggle or competition (spardhānivāranārtham).

In the Old-Indian texts, one finds two different manners to decide the superiority question. They are considered generalizable by the commentators who employ the words cited above. The sequential approach works like this: The vital functions enter the body (which does not stand) one after another. Only when breath enters, the body gets up, making the decisive difference. The second generalizable approach could be labeled the withdrawal approach: Speech leaves the body and reenters it after a while. Then, the same procedure is followed by the other vital functions. Each time, the remaining functions are asked how they fared. It turns out that the leaving of breath cannot be endured and that, hence, breath is superior. Breath demands a tribute (bali).

These two approaches can be shown to be closely related to the so-called Shapley (1953) value from cooperative game theory. In particular, the algorithmic definition (a mathematical formula) of the Shapley value builds on rank orders, i.e., on the sequential approach. Also, one prominent property obeyed by the Shapley value amounts to the withdrawal approach. The important role of bali in that approach is discussed.

337 Philosophy 17th World Sanskrit Conference, 2018

Time in Indian Philosophy Nataliya Yanchevskaya Princeton University (Princeton, NJ, USA)

This paper examines and compares a notion of time in different schools of Indian philosophy, specifically, in Sāṃkhya, Vaiśeṣika, Mimaṃsa, and philosophical works of the Grammarians (first of all, in Bhartṛhari’s Vākyapadīya). Although each school treats the notion of time differently, we can see that there are specific, striking similarities in their understanding of time: all of them seem to be struggling with an idea of time being simultaneously singular and indivisible (as a substance, “dravya,” a power, “śakti” etc.) and divided into intervals, periods and other segments. Then, to coordinate “one and indivisible” time with time divisions, many systems introduce (a) a theory of substitution, “upādhi,” or (b) a similar view: time is undivided but appears divided by its association with objects, i.e., it is manifested as divided by its association with the motion of the sun.

My paper attempts to find origins of such an understanding of time. Is this understanding of time a result of philosophical necessity in each system, or a way to accommodate pre-existing traditional views? To answer this, I analyze historical developments and origins of this concept from the Vedic, early Brahmanic, and epic sources and establish conceptual and historical connections between early, pre-philosophical, views on time and those of philosophical schools. I will argue that there existed a unified pre-philosophical doctrine of time and I will summarize its characteristic features and offer an interpretation of why the notion of time has similar features in different schools of Indian philosophy.

338 17th World Sanskrit Conference, 2018 History, Art & Architecture, Epigraphy

15. इतिहासः कलाः सापतम भिलेखशासं च - History, Art & Architecture, Epigraphy

नैपालकेषु लि च वि क ा लि क े ष ु अभिलेखेषु धरमसमनयः । Rakesh Krishna Amatya Tribhuvan University नेपालस ऐतिहासिकपृषभूमौ लि च व ी क ा ल ः ताता लिका विशप रिवेशदषा स रणयुगमेवे ति मनने । एतसात् कारणात् लि च व ी क ा ल स इतिवृतस वि शि ष ं सानं महतं च वतेते । तत जन हिताय शासनववसा सुदढं जनजीवनम् आत नि रभरा आथि कीववसा वि द ा , कला, नी ति : आदीनाम् उतृष विकासः । लि च व ी क ा ल ः नि त ा न ं गौरवपूणोऽनुभूयते । नेपालस सं सृ तिः सभता चा सिन् एव कालखणे एव उदातरपे पति षापिते पतीयेते । असिनेव युगे समाजे धा मिकस हि षुता आसा: शदाश सुदढाः आसन् । एतासां राजकीया सामाि जकी च सुरका आसीत् । “महेनसमवीयरस कनपरसदशदुतेः राजः शीमानदेवस समकालयतः पजाः ततादभका िविधवदरतसङेन सवरदा रतशे रः पयतेन सा पितोऽयं सुरोतमः ।। ” धा मिकं समनयनं सं सा पितमासीत् । लि च व ी स म य े मूलतः नेपालीसमाजे वै दिकसनातनध रमस पचलनं पमुखरपेण पाचुयेण पापते अभिलेखेषु । तेन सहैव बौदध रमसा पि कमशः वि स ा र ो S पि ताता लिकेन अधयनेन जातुं शकते । तसिन् सनभे धा मिक विभेदः पायः शून एव दरीदशते । मानवेषु सामानजनेषु अपि परसरं समानभावः तेषां मनस ु अनुभूयते स । तत वै दिकसनातनध रमानरगतेषु शैवेषु वैषवेषु शाकेषु च समदायेषु सत पि वै दिकेतरबौदध रमसा पि समकचारः आसीत् । नेपालराजस पाचीन इितहासो िलचिवकािलके षु अिभलेखेषु धमरसमनयपूवरकम ् उदृतो वततर े । तेषु तेषु अिभलेखेषु सवे पका उलि खि त ा : सनि । एतदाधारीकृत धा मिकान् सामाि जकान् सांसृ तिकान् शासकीयान् च अनेकान् पकान् पकाश यितुं जातुं च पयतो मम अनुसनानस वि ष य ो S सि । शोधपतेS सि न ् तदनीनना धा मिकी अवधारणा का आसीत् ? सनातनध रमस का प रिभाषा? वै दिकध रम-आरयधरम-मानवध रम-शैवध रम-वैषवध रम-शाकध रमाणां समीकातकमधयनं खलु पसूयते ।

339 History, Art & Architecture, Epigraphy 17th World Sanskrit Conference, 2018

The Baḍoh-Paṭhārī Saptamātṛkā Panel Inscription Dániel Balogh British Museum (London, England)

Baḍoh-Paṭhārī is located in Vidisha District, , close to the better-known site of Eraṇ. A large rock shelter with a sculpted panel depicting the Saptamātṛkās guards a weathered inscription next to the sculptures. Although the epigraph was reported as early as 1926 by M. B. Garde, only the name of viṣayeśvara Mahārāja Jayatsena has been read, along with a partial date (the year is irretrievably lost) and the phrase bhagavatyo mātaraḥ. The rest of the text has remained undeciphered due to the bad condition of the inscription coupled with the remoteness of the site. The palaeography closely resembles the Tumain Inscription of Kumāragupta’s time which, incidentally, refers to this site by the name Vaṭodaka. The inscription is thus dateable to the fifth century, also corresponding to the art-historical dating of the sculptures, which have been compared to those of Udayagiri. While a substantial part of the inscription is weathered beyond any hope of decipherment, roughly half of the text can be read with confidence, some of the rest may be restored conjecturally, and some speculatively. As presumed by earlier scholars, the epigraph is directly connected to the Saptamātṛkā icons. Its opening stanza pays homage to Rudra wearing a bloody elephant skin and probably describes him as accompanied by the Mothers. The inscription includes strong indications of the association of Skanda with the cult of the Mātṛkās, even though he does not feature in the iconography of the site. The Mothers themselves are also the subject of a full verse, which asks for their protection. Even in their fragmentary state, these stanzas are important additions to our meager store of epigraphic evidence for Saptamātṛkā worship in the Gupta period.

340 17th World Sanskrit Conference, 2018 History, Art & Architecture, Epigraphy

Centre and Peripheries Through Temples and Inscriptions in Medieval Karnataka Cristina Bignami and Elena Mucciarelli University of Tübingen (Tübingen, Germany), The Hebrew University of Jerusalem (Jerusalem, Israel)

One of the main issues in the state formation in early medieval South India is the relation between centre and peripheries. The kings and chiefs needed to be constantly validated by different social and religious groups that represented the basis of their power and the possibility to control larger area or to present themselves as overlord (see, e.g., the studies on the Cōḻa dynasty by Stein and Heitzman). As Appadurai, among others, has shown, a central component of the royal polities was the temple, a centre of ritual legitimation and, at least at some point, a centre of territorial and economic administration.

In this paper we will try to understand the relation between centre and peripheries using temples and inscriptions both in Sanskrit and in Kannaḍa as informants; in fact these two were the main media the royal power had at its disposal to seek legitimation. As part of a larger research project on the representation of power in medieval South India, we selected temple inscriptions from two main phases of the Hoysala period (1100–1150 CE and 1150–1220 CE) in which the use of the local language plays a significative role. We will present a data-base of the donors and donee that takes into into account the social and religious contexts. Using this database will outline few peripheral temples and we want to discuss the methodology used to compare the stylist features of these temples and their inscriptions with that of the two main centres (Belur and Halebid). Can the degree of similarity (replicas, re-adaptation) between the temples and the relative inscriptions in the periphery and in the centre be studied as a parameter of the connection and the influence of the latter onto the former?

341 History, Art & Architecture, Epigraphy 17th World Sanskrit Conference, 2018

Plagues and Brahmins: Did a Combination of Epidemics and Ideology Empty India’s Cities and Buddhism’s Monasteries? Université de Lausanne (Lausanne, Switzerland)

Brahmanism did not like urban life. A number of early texts say so explicitly, others ignore cities altogether, presenting an image of an ideal world that no longer existed (assuming for argument’s sake that it ever existed). Brahmanism made a concerted effort to project a certain image of Brahmins and the world they live in. This world was partly based on an idealized memory of the past; partly it represented the present interests of those Brahmins. The ideal Brahmin had no truck with the corruptions of modern life, such as city dwelling, the use of debased dialects (i.e., of languages other than Sanskrit), and much else. Instead he lived (or presented himself as living, or as wishing to live) in a pure and idyllic āśrama, he used Sanskrit, i.e. the original and pure language, and he did not use modern inventions such as writing. The Brahmanical dislike for urban life had profound consequences. Scholars have pointed out that it played a role in the decline of the urban and mercantile economy of the subcontinent roughly from the third century onward. However, another factor no doubt played a role in combination with Brahmanical ideology: urban diseases and epidemics. Their historical role in other civilizations (Europe, China, and of course America after its “discovery”) is well known. They are systematically overlooked in the case of South Asia. This paper will attempt to put the record straight.

342 17th World Sanskrit Conference, 2018 History, Art & Architecture, Epigraphy

Columns in Context: Monumental Inscriptions and Landscapes of Memory in Early India Elizabeth Ann Cecil Leiden University (Leiden, Netherlands)

The inscribed stone column gained particular popularity in early India as media for political self- fashioning and religious merit-making in the territories of the Gupta rulers and their successors. Political elites erected these towering columns within larger ritual and temple sites to memorialize military victory, territorial expansion, and deceased ancestors. These events and individuals were eulogized in elaborate works of Sanskrit poetry artfully inscribed upon the object’s surface. Intertwining political and religious rhetoric, the epigraphs also style the columns as pious works by publicizing a ruler’s devotion to his or her chosen deity. Analysis of the textual semantics of the inscriptions has, thus far, dominated the study of the columns, leaving the significance of the visual language and the material semantics of these objects unexplored.

Monolithic in form, but certainly not in function, columns were part of a larger continuum of ritually efficacious objects imbued with the power of spirits and deities. By adapting monumental columns as a site for display inscriptions, rulers succeeded in mobilizing the ritual and religious potency of the objects in the service of their political agendas. Accessing these extra-textual meanings requires analysis of the geographic, architectural, and cultural contexts in which the objects were encountered and experienced. This study details a contextual approach to the study of inscribed columns that draws upon recent fieldwork undertaken at sites where these monuments were defining features of the political and religious landscape—with a particular focus on Sondhni and Eran in the Northern Indian state of Madhya Pradesh. Making the column itself the subject of inquiry, this study contributes a new perspective on the experiential gestalt of lived religion in early India by tracing the ways in which meanings accrue in particular material forms and the values attached to them by particular communities.

343 History, Art & Architecture, Epigraphy 17th World Sanskrit Conference, 2018

Complementarity of Sanskrit and Khmer Portions of an Eleventh-Century Inscription (K. 1198) Kunthea Chhom Ministry of Culture and Fine Arts (Phnom Penh, Cambodia)

K. 1198 is one of the double-language inscriptions from Ancient Cambodia (6-14th century A.D.) which provides complementary texts in Sanskrit and Khmer. The Khmer part which records the property that the protagonist Lakṣmīpativarman offered to gods cannot be properly understood without the general background presented in the Sanskrit text. Taking into consideration that only the Khmer portion has been published so far, A. Griffiths, D. Soutif, and myself are editing the inscription by studying both portions (paper to be published in 2018). The Sanskrit and Khmer texts present complementarity at two levels: 1. complementarity in some topics of the two texts; 2. complementarity in the whole content of the inscription.

The first level of complementarity is discerned through a minute examination of the Sanskrit text which appears before and is twice shorter than the Khmer part. We pay more attention on the stanzas which can be given complementarity information in the Khmer prose. Donation of various plots of land, cult objects, and servants to gods and installation of divinities’ images are the two common topics where the two texts supplement each other. Moreover, we notice that when the two texts do not treat any common topic, they provide information of the same story from different angles. This allows us to define the second level of complementarity: the supplemental role of both texts in forming “a maximum content of an inscription”, starting with a preliminary benediction in Khmer and an evocation of gods in Sanskrit. K. 1198 is one of the rare double-language inscriptions in the corpus of Cambodian epigraphy in which the Sanskrit and Khmer texts cover all the possible themes.

344 17th World Sanskrit Conference, 2018 History, Art & Architecture, Epigraphy

The Valkha Kingdom: A Socio-Religious Study from a Hoard of Copper-Plates (4th – 5th Cent. C.E.) Suchandra Ghosh University of Calcutta (Kolkata, WB, India)

The kingdom of Valkha was among the many new, small kingdoms which surfaced during the time of the Guptas. It was situated on both sides of the Narmada river, at the southern periphery of important Gupta strongholds in central India. To the south of the Valkha realm lay the northern frontier of the Vākāṭaka kingdom (c. 300 CE–500 CE), embracing the modern regions of central India and the northern Deccan. Detailed information about this kingdom could be gleaned after the discovery of a hoard containing 27 copper plates from Bagh in Madhya Pradesh in 1982. K.V. Ramesh and S.P. Tewari edited the plates in 1990. Though the editors have given a very useful and detailed introduction, there is still much scope to study these plates from various aspects. The nature of the Valkha State has been discussed earlier. The socio-religious condition and its gradual complexity would be understood through a reading of these charters. It is evident that this was the initial phase of the land grant charters in India. The inscriptions of Bhuluṇḍa, the first ruler, suggest that most of the grants known as devāgrahāra were donated for the services of the gods and goddesses. That the temples were already active is clear from the fact that the charters speak of persons related to temples as devakarmāntika , devaparichāraka, devaprasādaka, devakarmina and devakīyakarshaka. These are of special significance in the context of the role of religious establishments in undertaking agricultural production. The grants further suggest that sectarian differences did not surface in these areas during the 4th century CE. Autochthonous social groups are visible in the plates along with the Brahmanas. They were included in the administrative structure initially. Gradually a differentiated social structure could be perceived. Emergence and growth of Valkha state also entailed changes in the socio- religious scenario.

345 History, Art & Architecture, Epigraphy 17th World Sanskrit Conference, 2018

The Foundation Stela of Śrī Pūrvāmaravāsinī: A New Sanskrit Inscription of Campā Found at Hà Trung Arlo Griffiths EFEO Paris (Paris, France) A. Southworth (Rijksmuseum)

In July 2015, we undertook fieldwork in the provinces Quảng Nam, Thừa Thiên-Huế, Quảng Trị and Quảng Bình of Vietnam, which correspond to the original heartland and the northernmost extensions of ancient Campā. During our visit to the provincial museum of Quảng Trị, we were happy to encounter a large stone stele that had been discovered in 2000 at Hà Trung. This site was, so far, known for the ruins of an old temple, including large square pillars in situ, one of which engraved on all four sides with inscriptions in Old Cam and in Sanskrit. But all of them were so badly weathered that only a few lines have ever been published (C. 113). The new inscription, found at the same site, is also partly damaged, but can be deciphered to a large extent. It is entirely in Sanskrit, and records the installation of a goddess called Śrī Pūrvāmaravāsinī by a queen called Śrī Svargadevī, beside a secondary foundation by her brother of a deity called Śrī Puṣkareśvara. We tentatively date the stela to the 9th century. It is composed in the mixed verse and prose form that is common in the Sanskrit inscriptions of Campā, but is remarkable in several other ways, among which the metrically intentional blurring of the distinction between short and long vowels, and the use of pādāntayamaka — to our knowledge totally unprecedented in Southeast Asian Sanskrit epigraphy. Our paper will first give a general overview of the archaeological and epigraphic material found at Hà Trung, before presenting the text of the new inscription with special focus on its unique aspects, and the difficulties we face in interpretation. We will conclude with an overview of what we learn from this new document for the history of Campā in the so-called Indrapura period.

346 17th World Sanskrit Conference, 2018 History, Art & Architecture, Epigraphy

A Controversy Under Debate: On the Historicity of Kumudacandra’s Defeat at the Caulukya Court Basile Leclère Université Jean Moulin Lyon 3 (Lyon, France)

According to Jain chronicles such as Prabhācandra’s Prabhāvakacaritra (1278 CE), the Śvetāmbara logician Devasūri defeated the Digambara dignitary Kumudacandra in 1125 CE at the court of the Caulukya king Jayasiṃha Siddharāja, and naked Jain monks were consequently expelled from Gujarat. Modern scholars have taken up this narrative tradition without questioning it, despite the sectarian bias of these sources and the existence of earlier accounts of the debate from the twelfth century. Indeed, it inspired the painted decoration of a book cover as well as a drama possibly composed in 1164 CE: Yaśaścandra’s Mudritakumudacandra. The purpose of my communication is to reconsider the historicity of the event as retold in prabandha literature in the light of both these documents. To do so, I will first prove that the Mudritakumudacandra is less a historical than an ideological account of the event, and then I will determine to which extent the chroniclers were influenced either by the play or by other stories of religious dispute.

347 History, Art & Architecture, Epigraphy 17th World Sanskrit Conference, 2018

Sugarcane-Based Liquor in Sanskrit Texts James McHugh University of Southern California (Los Angeles, CA, USA)

This paper explores the nature and significance of alcoholic drinks based on sugar cane products in Sanskrit texts from time of the epics until the early second millennium, CE, including comments on the terminology for such drinks. Sugarcane processing was highly developed at an early period in South Asia. In addition to substances such as jaggery, it seems sugar cane was an important raw material for the production of fermented intoxicating drinks. These sorts of drinks are described from at least the epic period. Sugar-based drinks differ from those made from grains (paiṣṭī surā) in that they ferment without the addition of a certain type of starter (kiṇva). However, other additives might be used in the production of sugar-based drinks. Because they were produced in a different way to grain drinks, sugar-based drinks were often classified together, as one sees in some Buddhist texts on conduct and to a certain extent in Manu. Within the class of sugar-based drinks there were several liquors: śīdhu (cooked and uncooked); maireya, āsavas and ariṣṭas. The paper examines the differences between these drinks and reflects on the legal, medicinal, economic, and literary significance of these liquors as represented in Sanskrit texts.

348 17th World Sanskrit Conference, 2018 History, Art & Architecture, Epigraphy

Rhythm in Building Libbie Mills University of Toronto (Toronto, ON, Canada)

Chandas, which we may translate as rhythm, is naturally a feature of poetry and music. But we see it referred to in architectural accounts, too, and might want to understand how that works. The Aparājitapṛcchā, a 12th century compendium of architectural theory, gives a fuller account of the matter than most, discussing chandas as it occurs in both verse and architecture. The paper investigates the idea of chandas in building as presented in the Aparājitapṛcchā and other texts on architecture. It is found that, for the most part, the Aparājitapṛcchā, like other texts, uses the term chandas rather blandly, to refer to a style or type of building. But it also offers a passage in which a real attempt is made to think of built elements in terms of metric beats in a true rhythm. It is on this passage that the presentation will focus.

349 History, Art & Architecture, Epigraphy 17th World Sanskrit Conference, 2018

The Influence of Nāṭyaśāstra in the Karaṇa Sculptures Sujatha Mohan Dr. MGR Janaki College of Arts & Science for Women (Chennai, TN, India)

Ancient texts on music and dance have not been easy to understand in modern times, as it requires deep knowledge of the language and practical aspect of arts. The Karaṇas of the Nāṭyaśāstra have been a living tradition in the sculptures of Tamil Nadu. Scholar V. Raghavan and dancer Padma Subrahmanyam have done research on the Karaṇa sculptures. We can see various positions of a Karaṇa in the temple sculptures of Thanjavur, Kumbakonam, Cidambaram, Tiruvannamalai and Vriddhacalam. This paper will deal with the Karaṇas as seen in temple sculptures of Tamil Nadu and Prambanan, relating these with the lakṣaṇa given in the Nāṭyaśāstra of Bharata and its Abhinavabhārati commentary. Scholars wonder how much these sculptures correspond to the dance movements indicated in these texts, as Bharata defines a Karaṇa in just one verse of two lines. The paper will also exemplify whether the text actually matches the sculpture or not. A few Karaṇas will be examined as how the lakṣaṇa of these movements are incorporated in the temple sculptures, thus giving life to the verses of Bharata.

350 17th World Sanskrit Conference, 2018 History, Art & Architecture, Epigraphy

A Short Analytical Study of Vāstuśāstra Ganga Jee Prasad Oli Tribhuvan University (Kathmandu, Nepal)

Sanskrit literature offers a huge range of technical knowledge. There we find various scriptures on medical science, astronomy, astrology, agriculture, military science, archery, musicology, sport, cookery etc. Among them architecture or vāstuvidyā is one of the most important and prominent one. The views and analysis of vāstuśāstras on the material of building construction in the ancient culture is the focus of the current paper.

Material is one of the most important issues at the preliminary stage of construction a building. We can find a number of vāstu scriptures from different traditions that present a vast discourse on this topic; and their scientific approach should, definitely, be appreciated. These scriptures describe the classification, selection and modification of materials for different types of buildings. Sometimes ritualistic performances are also found in this technical field. It is also evident that in the ancient time construction materials used to be selected according to sociocultural arrangements. Māyāmata, a most significant treatise of the southern tradition of vāstu, recognizes seven basic building materials. These are sand, bricks, lime, stone, wood, metals, and precious stones, like Jewels and gems. Colours, foods, medicines, etc. are admitted there as secondary materials. Likewise, a detailed and elaborated description of different kinds of materials for building construction is found in other traditional vāstu scriptures, like the Bṛhat-saṃhitā, Kāśyapa-śilpa, Viśvakarmavāstuśāstra, etc. This paper would discuss different ways of classification, selection, and modification of materials for building construction in ancient times. This paper would try to find out the social reason related to this very topic. In this regard, it would also place a comparative analysis of the different views of the vāstuśāstras.

351 History, Art & Architecture, Epigraphy 17th World Sanskrit Conference, 2018

The Old Capital of the Pāṇḍyas: The Coherence of the Legends Ferenc Ruzsa Eötvös Loránd University (Budapest, Hungary)

There are two near-historical deluge stories in India: the submerging of Dvārakā, the island capital of Kṛṣṇa; and of the old Pāṇḍya land with its capital at Kapāṭa-puram. Comparing elements of the two myths, it will be suggested that they seem to refer to the same event: e.g. both places are called ‘Gate- city’, and a previous capital at Mathurā/Maturai is mentioned in both traditions. Considering the somewhat conflicting geographical data in the Mahābhārata and the known geological facts, a location in the Rann of Kutch area (some 200 km north of present-day Dvārkā town) seems possible. The texts used in the analysis will be some caṅkam texts, especially Puṟa-nāṉūṟu 201 and 9; Nakkīraṉār’s commentary on Iṟaiyaṉār’s Akap-poruḷ Nacciṉārkkiṉiyar’s commentary on the Tol-kāppiyam Ghaṭa-paṇḍita-jātaka Mahā-vaṃsa (& Dīpa-vaṃsa) Mahā-bhārata, especially the 16th book (Mausala-parvan)

Finally an economic motive will be proposed for the migration of the people of the lost land to the far- away South: these are the two locations in India suitable for pearl-fishing (and also for obtaining śaṅkhā conch).

352 17th World Sanskrit Conference, 2018 History, Art & Architecture, Epigraphy

Temple Colleges (Maṭha): Epigraphic Evidence for the Patronage of Religious Institutions Annette Schmiedchen Humboldt University (Berlin, Germany)

Hindu temple colleges (maṭha) are well attested in Sanskrit inscriptions as beneficiaries of endowments. Due to the functional complexity of this type of institution, it is rather difficult to find a translation for the term maṭha that is appropriate in all contexts, and thus this expression is often left untranslated. Was it a hermitage, a monastery, a temple, or rather a college? As there is ample evidence for cultic as well as educational functions of maṭhas, Sircar preferred the connotation ‘temple college’. Others describe them as “cenobitic institutions that also received pilgrims, dispensed learning, and sometimes served as hospices”.

The majority of the records mentioning maṭhas date from the period after the 10th century and have been found in South and Central India. H. Scharfe has explained the scarcity of relevant data from North India “with the large-scale destruction of temples by the waves of Muslim invaders and conquerors”. But whereas there can be no doubt that more monasteries and temples were destroyed in Northern India than in the South, we also ought to see that in the hundreds of preserved North Indian endowment records, maṭhas only play a minor role compared with the situation in the South. And it is remarkable that there are numerous attestations for medieval grants in favour of Brahmins, devakulas, as well as vihāras from the North, although they also suffered from Muslim persecutions and destructions. On the other hand, there is no paucity of references to the foundation of maṭhas in literary texts from the North, e.g. the Rājataraṅginīs from Kashmir, as Walter Slaje has observed.

In this paper I will attempt to compare epigraphic attestations for maṭha from different regions and focus on differences and similarities in the formation of this religious institution in North, Central, and South India.

353 Sanskrit & Regional Languages, (& Southeast Asia) 17th World Sanskrit Conference, 2018

16. सं सृ तं पदेशभाषाश - Sanskrit & Regional Languages, (& Southeast Asia)

Influence of Śāstric Sanskrit on Old Javanese Syntax in the Tattva Genre Andrea Acri EPHE (Paris, France)

The influence of Sanskrit on Old Javanese syntax is an as yet little explored area of study. My paper will focus on the influence of śāstric Sanskrit on the little-studied genre of Śaiva philosophical/theological scriptures from Java and Bali called tattvas, the most representative of which are the Dharma Pātañjala, the Vṛhaspatitattva, and the Tattvajñāna. This corpus evidently stems from the tradition of South Asian Śaiva Siddhāntatantras, and also includes contents and stylistic features of other scholastic/philosophical texts in Sanskrit. I will argue that in Old Javanese tattvas the Sanskrit influence was not limited to the lexical sphere but that it intervened also in shaping their syntax and style. Besides individuating common expressions of scholastic debate and commentarial presentation that appear to have been borrowed from Sanskrit into Old Javanese, I will also point at Sanskrit words used in technical meanings that deviate from those given in Zoetmulder’s Old Javanese-English Dictionary. That dictionary was mainly based on the corpus of parva and kakavin genres of Old Javanese literature, and therefore several glosses can now be updated in the light of their usages in tattvas.

354 17th World Sanskrit Conference, 2018 Sanskrit & Regional Languages, (& Southeast Asia)

Sanskrit in the Age of Devotion: The Hooli Bṛhanmaṭha and the History of Sanskritic Vīraśaivism Elaine Fisher Stanford University (Palo Alto, CA, USA)

Religious identity for Hindus across the subcontinent is a fundamentally regional phenomenon. This regionalization of religion, in our inherited narrative, was driven by the inexorable tides of the Bhakti movement. Across regions and languages, the hegemony of elite Sanskritic religiosity was bound, we are told, to give way to an upsurge of populist devotionalism rooted in the localities of land and language. And yet, in the case of south India, regional religious communities were often forged in the crucible of multilingual and multi-regional exchange, mediated by the transregionalizing function of the Sanskrit cosmopolitan through most of the second millennium. Perhaps no better example exists in south India than Vīraśaivism. While traditionally viewed in scholarship as the exclusive cultural property of Karnataka, articulated most purely in the Kannada language vacanas of the poet-saints, Vīraśaivism was a polyglossic and transregional religion from its very inception.

To complicate this narrative, this paper focuses on a key moment of alliance between Sanskrit and the south Indian vernaculars in Vīraśaiva textual history through the lens of a case study of a single maṭha in northern Karnataka—and one that proved remarkably influential on the intellectual and liturgical history of Sanskritic Vīraśaivism across the Indian subcontinent. Originating centuries earlier as a Kālāmukha network center, which was already steeped in Sanskrit learning, the Hooli Bṛhanmaṭha emerged during the Vijayanagar centuries as a central voice in the consolidation of the modern-day Pañcācārya Vīraśaiva lineages and the Śivādvaita philosophical tradition. Drawing on several unstudied works from the pontifical lineage of the Hooli Bṛhanmaṭha through the sixteenth century in Sanskrit and Kannada, as well as epigraphical data and original records preserved in the maṭha itself, I demonstrate how the micro-history of a single monastery reveals the impact of innovation on religious identities across regions.

355 Sanskrit & Regional Languages, (& Southeast Asia) 17th World Sanskrit Conference, 2018

Vanaparva of the Assamese Mahābhārata Nava Kumar Handique Dibrugarh University

Assamese is one of the Modern Indian languages which is the state official language of Assam, one of the major states (provinces) of North East India. It is a matter of great pride to the people of Assam that a treatise like the Mahābhārata was rendered into Assamese as early as the 16th century. Rāma Sarasvatī, with a few of his associates, rendered the Mahābhārata into Assamese in verse form under the patronage of the Koc King Mahārāja Naranārāyaṇa (1540-1584 A.D.). As Rāma Sarasvatī contributed the major portion of the book, it is most popularly known as the Rāma Sarasvatī Mahābhārata. He was highly competent in both the languages, Sanskrit as well as Assamese, the source and the target languages. Moreover, he was a poet par excellence. His poetic genius is reflected throughout his work. His rendering is not a literal translation as he takes ample liberty in improvising the text with a view to satisfying the taste of his vernacular readers. Therefore, in his Vanaparva, we come across such episodes which are not there in any version of the Sanskrit Mahābhārata, nor in any other written literary text. In these episodes, he made the Pāṇḍavas along with Draupadī encounter a lot of hardships in the forest. Here, the character of Lord Kṛṣṇa, the incarnation of Viṣṇu, is depicted as their saviour. Rāma Sarasvatī did so only to establish Lord Kṛṣṇa’s supremacy and thereby to propagate the Vaiṣṇava cult among the masses. This paper will be devoted to throwing light on his uniqueness as a poet translator of this great Indian epic with special reference to the Vanaparva.

356 17th World Sanskrit Conference, 2018 Sanskrit & Regional Languages, (& Southeast Asia)

Cāṭuprabandha and Theorization of Regional Genres in Sanskrit Poetics Jamal A. Jones University of California, Davis (Davis, CA, USA)

Starting in the 14th century, the poets and poeticians of Andhra began to describe a new poetic genre: the cāṭuprabandha or “pleasing composition.” Defined as relatively short panegyrics to be performed with musical accompaniment, cāṭuprabandhas were a commonplace in the manuals for poets in the Telugu country well into the 20th century. Even so, the genre has been largely ignored. Outside of Andhra and the southern regions ruled by Telugu nāyakas, Sanskrit poeticians have been either uninterested in or, more likely, unaware of the genre. In more recent literary histories from Andhra, it has proven doubly elusive: The cāṭuprabandha’s musical features drive it to the margins of most genre typologies; and, owing to its modest size and limited scope (i.e., royal or divine praise), the cāṭuprabandha has been eclipsed by the more massive works in the mahākāvya model.

In this paper, I trace the history of the term cāṭuprabandha in alaṃkāraśāstra and ask why the genre would have been worth defining at all in precolonial Andhra. While ālaṃkārikas begin defining the cāṭuprabandha in the 14th century, I also show that works matching the description of cāṭuprabandhas first appear in the 13th century and without the poeticians’ label. Additionally, I show that these early cāṭuprabandhas were composed in Telugu and Sanskrit by poets working within Srisailam’s Vīraśaiva-dominated literary culture. Consequently, I argue that within alaṃkāraśāstra in Andhra, the cāṭuprabandha category was created to register poetry that (1) was first popularized by poets unaffiliated with more exclusive brahmanical networks of scholarship and (2) was decidedly a product of the Telugu country. Thus, attending to cāṭuprabandha provides a larger picture of the forms and performance of poetry in southern India and offers insight into the connection between poets and poetic forms in the history of Sanskrit literature.

357 Sanskrit & Regional Languages, (& Southeast Asia) 17th World Sanskrit Conference, 2018

From Satyarādheya to Nanniyoḷ Inasutan: Karṇa’s Characterization in the Old Kannada Mahābhāratas Timothy Lorndale University of Pennsylvania (Philadelphia, PA, USA)

In the Vyāsa Mahābhārata, Karṇa, the Pāṇḍavas’ half-brother who fights on the side of the Kauravas, is revered for his commitment to the truth. This characterization of Karṇa is so pervasive that in the epigraphic record of medieval South India there is even a stock epithet that commemorates his truthful nature, satyarādheya, or Karṇa in Truthfulness. However, when the Mahābhārata was first translated into Old Kannada in the 10th century, poets like Pampa and Ranna recharacterized Karṇa. In the Vikramārjunavijaya (14.64), Pampa describes Karṇa as nanni. While nanni could be understood as a literal translation of the Sanskrit word into Old Kannada, I contend that its semantic reach extends beyond just truthfulness. Nanni could mean a dedicated-friend. In this paper, I argue that both Pampa’s Vikramārjunavijaya and Ranna’s Sāhasabhīmavijaya expand upon Karṇa’s depiction as a dedicated-friend by exploring his relationship with Duryodhana.

358 17th World Sanskrit Conference, 2018 Sanskrit & Regional Languages, (& Southeast Asia)

Vernacular to Sanskrit: An Inquiry Into the Enduring Significance of Sanskrit in 20th- Century Western India Bhakti Mamtora University of Florida (Gainesville, FL, USA)

This paper examines the ways in which regional and Sanskritic idioms, conventions, and languages interact in the modern period by analyzing Sanskrit and Gujarati versions of the Svāmīnī Vāto. The Svāmīnī Vāto contains a collection of sermons given by Swaminarayan’s (1781-1830) disciple, Gunatitanand Swami (1784-1867) in Gujarati during the 19th-century and is regarded as a canonical by guru led denominations of the Swaminarayan Sampraday, including the BAPS Swaminarayan Sanstha. In this paper, I consider how and why Gujarati works engaged with Sanskrit ideas and idioms in the nineteenth century and reflect on how a modern Sanskrit translation of this Gujarati work in some ways colors Sanskrit with a regional hue and reshapes the text’s form, style, and presentation.

359 Sanskrit & Regional Languages, (& Southeast Asia) 17th World Sanskrit Conference, 2018

Karṇa in Sanskrit and Tamil Texts Maithili Thayanithy Independent Scholar, Canada

This paper analyses the characterization of Karṇa in the Sanskrit epic, the Mahābhārata (critical edition) and in Villi Pāratam, a long narrative Tamil poetry belonging to the 14th century. The author of Villi Pāratam states that he sets himself the task of narrating in Tamil the epic composed by the Vedic scholar Vyāsa, driven by the desire to sing the praises of Viṣṇu. The nature, structure, and tenor of the Tamil text, which eschews framed narratives and lengthy instructions on dharma, philosophy and morality are quite distinct from those of the Sanskrit epic. One of the ways to highlight the distinctions between the two texts is to focus on the characterization of Karṇa. Villi Pāratam, inspired by a strain of the Tamil literary tradition which tends to favour characters lacking in social and political power, recasts the villainous hero of the Sanskrit epic as a Tamil hero who deserves the commiseration of its readers. The sympathetic portrayal of Karṇa in Villi Pāratam has influenced Tamil literary and film productions of Karṇa in the 20th century.

360 17th World Sanskrit Conference, 2018 Sanskrit & Regional Languages, (& Southeast Asia)

The Connection of Old Gujarati Literature With Jain Carita: Capturing Kṛṣṇa Tales and Its Effect Tomoyuki Yamahata Hokkaido University of Science (Sapporo, Japan)

Old Gujarati literature began to flourish in the 12-13th century. The Gujarati authors, who were probably Jains, produced various types of work like Rāso, Bārahmāsā, Phāgu and so on. These works have characteristics suitable for music and dance. In the same period, Jain scholars had refined hagiographic literature called Carita in Sanskrit, Prakrit languages, and Apabhraṃśa. Hemacandra, a famous Jain scholar, was involved in various fields of classical literature at the same time. However, it is still not clear what relations existed between these two traditions of literature: Old Gujarati and Jain Carita.

This paper examines how the Kṛṣṇa narrative expanded in Jain Carita literature from Paumacarya (3-6th century) to Triṣaṣṭiśalākāpuruṣacarita (12th century). The Kṛṣṇa story mainly affected the story of Neminātha and Rājal. The early Old Gujarati works inherited this tendency. Besides this, a background to the emergence of Old Gujarati Literature involved the political confusion in Gujarat region during that period. It is very likely that this situation diminished the sophisticated audience for Jain Caritas. We can presume that under the decay of the traditional Jain literature, Jain authors wrote beloved stories of Neminātha and Rājal in the Gujarati language, for the acquisition of a broader audience.

361 Sanskrit & Regional Languages, (& Southeast Asia) 17th World Sanskrit Conference, 2018

Kannada and the Language of the Gods: A Joyful and Difficult Relationship Robert J. Zydenbos Ludwig Maximilian University of Munich (Munich, Germany)

Among all the living languages of South Asia, Kannada (the sole official language in the Indian state of Karnataka, and recognized as one of the classical languages of India) has the second-oldest literary history after that of its neighbouring sister-language Tamil, which also belongs to the Dravidian family of languages. Early remnants of Kannada literature show that as early as the 5th century CE, Sanskrit already had significant influence in the phonology and vocabulary of Kannada, and ever since, the Sanskritic heritage has been an integral part of Kannada-language culture. On the other hand, the influence of Sanskrit is conspicuously absent in other areas, such as prosody, and there have also been historical examples of what may be called “anti-Sanskritism” that still echos today. Throughout most of Kannada literary history, there have been voices that resisted Sanskritic influence in vocabulary, literary form and intellectual content as alien importations, and often, also today, Sanskrit is resentfully associated with what is considered the higher caste in society; on the other hand, it is an obvious, immediately visible fact that there is a Sanskritic presence already in the earliest specimens of written Kannada, and that modern Kannada is replete with Sanskritism in a very harmonious blend. Real problems arise, however, in traditional descriptions of Kannada grammar (also found in school textbooks), where truly alien Indo-European concepts are foisted upon a Dravidian linguistic structure.

The proposed presentation discusses the history of the love-hate relationship between Dravidian Kannada and Indo-European Sanskrit, mainly with regard to the impact of Sanskrit on the Kannada lexicon from the earliest times until today and to the indigenous Kannada grammatical tradition over the past more than one thousand years.

362 17th World Sanskrit Conference, 2018 Sanskrit Pedagogy

17. संसृत शिकाशासम् - Sanskrit Pedagogy

Vedika Sanskrit Rhymes: A Series of Animated Sanskrit Rhymes for Kids Pradnya Shireesh Anjal www.vedika.online

I learnt Sanskrit through the typical grammar-translation method. After learning a few lessons with the help of translation, I started memorizing , , and , , . Sentence #"!$ #"!D #"!&$ 5Õ& /L 5Õ&!$ 5Õ&/$ construction was always a mechanical and tedious job. Even after academic qualification till post- graduation and a PhD in Sanskrit, I never knew sentence construction appropriately. In 2009, I started working for IT companies as an instructional designer. As an instructional designer, I came across Edger Dale’s “Cone of Experience,” which depicts how much knowledge people retain based on how they receive information. As per (the false) “Cone of Experience” attributed to Dale, people generally remember 10% of what they read, 20% of what they hear, 30% of what they see, 50% of what they see and hear and so on. Though Dale did not base his cone on scientific research, his study gave rise to many teaching- learning aids.

One attempt to create teaching-learning aids for Sanskrit is our e-learning platform www.vedika.online. Vedika has been producing a series of Sanskrit rhymes for kids and making them available in the form of animated videos. The rhymes are meant to teach Sanskrit alphabets, numbers, names of birds, animals, colours, and vehicles through simple but catchy music and colourful 2D animations. This is the first of its kind attempt to popularize Sanskrit among children. These rhymes are available on our YouTube channel: www.youtube.com/c/vedika. I am planning to continue producing excellent, original content for Sanskrit in the form of rhymes, stories, comic strips, infographics, e-learning modules, online games and activities. If students are made familiar with the language before teaching grammar, the knowledge retention rate will be greater and the teaching- learning process will be more enjoyable.

363 Sanskrit Pedagogy 17th World Sanskrit Conference, 2018

Enriching Sanskrit Teaching Learning Process Through Interventions Kalpana Athalye Gogate Jogalekar College, University of Mumbai

This paper deals with the field of Sanskrit pedagogy. It focuses on developing and evaluating interventions to create interest and maintain motivation for undergraduate students in Sanskrit learning. As a Sanskrit teacher in a secondary school, I framed some activities to create interest in Sanskrit learning. That fruitful and encouraging experience initiated me to develop interventions for my 135 Undergraduate Sanskrit students during the period 1999 to 2013. Some of them are enlisted below: 1. Conversation courses helped to develop communicative language. 2. Different types of competitions were organised which resulted in improvement in oral delivery, pronunciation and confidence. 3. Challenging tasks like making word puzzles helped students to increase interest and vocabulary. 4. Encouragement through publishing Sanskrit articles in college magazine resulted in writing skills. 5. Conceptualizing and designing orchestra and shows in Sanskrit. 6. Stotra recitation scheme for 15 schools where students played role of teachers. These interventions aimed at language skills and leadership skills. The outstanding recognition about these interventions is gained by the fact that out of the 135 students around 15 became effective Sanskrit teachers. Later data of these 15 Sanskrit teachers were collected through focused semi- structured interviews and a questionnaire. These interventions influenced Sanskrit language skills and created interest and maintained motivation in their Sanskrit learning. It was remarkable that thinking and performance skills also were developed. All these efforts by the researcher contributed to expansion of Sanskrit language through multidimensional pedagogy. The vision and roadmap for the development of Sanskrit is prepared by the Government of India in which the need of committed Sanskrit teachers is underlined. These efforts will surely lead towards fulfilling this need.

364 17th World Sanskrit Conference, 2018 Sanskrit Pedagogy

Preservation of Traditional Sanskrit Teaching Among the Sri Lankan Tamils: Current Status and Future Perspectives Maheshwarakurukkal Balakailasanathasarma University of Jaffna (Jaffna, Sri Lanka) Navaneethakrishnan Subramanian (University of Jaffna)

Sri Lanka has a rich tradition in Sanskrit education over the years and currently it is institutionalized. Sri Lankan tamils are natives of the South Asian island state of Sri Lanka. Most of Sri Lankan tamils in Sri Lanka live in the northern and eastern provinces and minority throughout the rest of the country. Sri Lankan tamils have a rich tradition in traditional Sanskrit teaching. Objective of this study is to identify some evidences of traditional sanskrit teaching among the Sri Lankan tamils through cases studies and other historical evidence. Findings of this study outline the History of Traditional Sanskrit Teaching among the Sri Lankan Tamils starts initially from Eighteenth century. Traditional Sanskrit Teaching initially rooted from temple-based system of education and the oral traditions among Sri Lankan Tamils. The Core elements of this teaching tradition depend on two groups. i.e. traditional organizations and religious persons. This study identified more than twenty Traditional organizations. Under this tradition Gurukula system were revived. Examples of these tradition include Prabōdha Guṇōdaya Samskruta Vidyālayam, Saiva prakāsa vidyāsālai, Ārya Drāviḍa Bhāṣhā abhivirddhi Saṅkam, Cuṉṉākam Sadāsiva prācīṉa pāṭhasālai, Brāmmaṇa Samājam, Sivāṉanda Gurukulam, Gaṇapatīsvara Gurukulam, Mummoḻi kāvya pāṭhasālai, Srī Laṅkā Gurubramma vidyarti Sabai, Sri Vidya Gurukulam, Dharma Śāsthā Gurukulam, and etc, which contributed for this tradition under the first category, and more than thirty personal teaching efforts under the second category. This tradition passed from this traditional organizations into the hands of Universities, themselves run on different medium of instruction, it will become very rare indeed to find anyone taught or capable of teaching in the old traditional way. This study suggests making necessary steps to preserve this tradition with the support of temples and other religious organizations.

365 Sanskrit Pedagogy 17th World Sanskrit Conference, 2018

Re-Structuring of Pāṇinian bhvādigaṇa Verb Roots for Teaching and Learning Derivational Process of Sanskrit Verb Subhash Chandra University of Delhi (Delhi, India) Vivek Kumar (University of Delhi)

Sanskrit verb forms are very complex. Sanskrit has approximately 2014 verb roots, classified in ten groups (gaṇa). The derived verb forms can have 12 derivational suffixes and 22 prefixes. Therefore, unlimited verb forms can be generated from a single verb root. There are approximately 688 parasmaipadīya (PP), 370 ātmanepadīya (AP), and 69 ubhayapadīya (UP) verb roots in bhvādigaṇa (BG). All roots belonging to PPs are first categorized into two major categories according to lakāras. There are two types of lakāras, sārvadhātuka (SDL) and ārdhadhātuka (ADL). We have done a total of 110 subcategories for the derivational process for all verb roots of BG. In categories, first we can easily generate all forms of 540 verb roots in 9 lakāras, and these verb roots are kept in other categories for liṭ lakāra. These methods can be used for classroom teaching to learn the derivational process of all 2000 verb roots in a very simple way. The objective of the paper is to demonstrate new methods for teaching and learning the derivational process of Sanskrit verbal forms in an easy way.

366 17th World Sanskrit Conference, 2018 Sanskrit Pedagogy

Some Remarks on the Interface Between the Aṣṭādhyāyīkrama and the Prakriyākrama: a Modern Dichotomy in the Pedagogy of Pāṇinian Grammar Sanhita Joshi Deccan College PGRI (Pune, MH, India) Malhar Kulkarni (IIT Bombay)

The teaching of Pāṇinian grammar in the educational system in today’s India is done using at least two methods: (i) using the Aṣṭādhyāyī as the base text and teaching grammar in the order of the sūtras as they occur in the Aṣṭādhyāyī, also called the aṣṭādhyāyīkrama (AK), and (ii) arranging the sūtras in the Aṣṭādhyāyī thematically to teach different topics of grammar, also called the prakriyākrama (PK).

It is observed that there are some interactions that have taken place between the proponents as well as the followers of both methods, AK and PK, since the latter half of the 19th century. It is also observed that the proponents of the AK have extensively written about this topic and have in fact mounted a scathing attack on the PK. On the other hand, no written material is available on the PK side to counter such an attack. PK however enjoys an unparalleled status as far as traditional educational institutions and their syllabi are concerned. This interaction between these two methods is pivotal and very much pertinent today for they had a great impact on the pedagogy of Vyākaraṇa since at least 150 years ago. Thus, it invites an exhaustive and extensive scrutiny in its pedagogical context, which is the task undertaken in this paper.

This paper firstly traces the historical origin of the AK and analyses the arguments putting forward by the AK against the PK. It tries to put forward the reasons why PK continues its sway in spite of such a criticism. Lastly, the paper attempts to project the way forward, a third method, that integrates the advantageous points of both methods for the benefit of those who want to learn Vyākaraṇa in modern times.

367 Sanskrit Pedagogy 17th World Sanskrit Conference, 2018

सं सृ त-पठन-दोष- नि व ा र ण े , पठिताधिगमे, एकागतायाः समादने च कू ट - चि त ा ण ा म न पु य ो ग ः Amrita Kaur Rashtriya Sanskrit Sansthan (Delhi, India)

देवनागरी-िलपा संसृतमिधिजगिमषूणा ं बहना ं छाताणा ं पठने बहवः दोषाः समुदताू ः दशन े । तत कारणं तावद ् एकागताया अभावो मान सिकम् असंतुलनं वा सात् । पायशाताः ‘ डि सेकि या’(Dyslexia) इति वि क ृ त ः े कारणात् पठने सल नि । असाः वि क ृ त ः े धानस विकेनीकरणम् अतिसकियता (Attention Defciत Activity Disorder) च जायते । अतशाता अनवधाना भूता पठने दोषान् आवि षुरवनि। एतादशानां दोषाणां निवारणा रथ कू ट - चि त ा णि कथमुपकु रवनीति शोध-पतेऽ सिन् नि र प त े । कू ट - चि त ं नाम रहसमयं चि त म ् । अरथात्, एक सिन् चि त े कू ट स वङस रहसस वा सापनमेव कू ट - चि त मि त ु चते । वसुतः, कीडासु वि शि ष ा पकिया भव ति कू ट - चि त -रहस-भेदनम् । पायः भारतीय-समदाये कू ट - चि त ा णि , कू ट -वाका नि , चाटु-शोका इतादाः पकियाः अतिपाचीन-कालादारभ नि मि त ा वरतने यथा - सरप-बनः, कू रम - बनः, पुष-बनः इतादाः शि ल - चि त -पकियाः । वसुतः सं सृ त-भाषाधुना बहनां न वा मातृ-भाषा न वा मातृ- लि पि क ा । अतः सं सृ त-भाषायाः पठने पाय एतादश-दोषाः समवेयुः – पद-वरण-समदा: पठन-दोषाः, उचारण-गता दोषाः, पठन- पवाह-गता दोषाः, चि ह-समद-पठन-दोषाः, सर-सलनम् (Stammering) इतादयः ।

पयोग-पकिया - सं सृ त-पठन-दोषाणाम भिजाना रथ माध मिक-सरीयाशाता आद रशतेन सीकृताः । ते वि भि न ० प क ा र क ा न ् पाठांशान् पितुंठ पे रिताः । तत छाताः कान् दोषान् पकटय नि ? पतेकं छातस दोषाणां पतिशतता का? इतादीन् अंशान् वि भि न रै ् वि धि भि ः परीक वि भि न -पकारकैः कू ट - चि त ः ै पयोग- वि न ा स -प शिकणमकरवम्। उपकरणैर् दताशं ान ् सङृह, साखं कीयं िवशेषणं िवधाय, पायोिगकान ् िनषषानर ् पापवम।् ये छाता मनोवैजािनक-दशा लकणीयान ् वि शि ष ा न ् दोषान् पाद रशयन् तथा मातृ-भाषायाः पठनेऽ पि येषु दोष-प तिशतता धिकेनादशत ते ‘ डि सेकि या’ इति वि क ृ त ा पी डिता न वा पी डिता इति जातुं विशेषजानां मा- रग दरशनं सवकरवम्। इतं शोध-पतेऽ सिन् सं सृ त-भाषायाः पठन-दोषाणाम् अभिजानं कथम्? सं सृ त-भाषायाः पठन-दोष- निवारणोपायाः के ? पठन-दोषाणा ं िनवारणे कू ट-िचताणा ं पभावः कीदशः? ‘िडसेिकया’ इित िवकृतेर् दूरीकरणं कू ट-िचतःै समवित न वा? शि क ा -केते पठन-दोषाणां निवारणा रथ कू ट - चि त ा णि कथमुपकु रवनि ? इतादीनामनुसनान विशेषाणां वि श ेष ण ं वि हि त म ् ।

368 17th World Sanskrit Conference, 2018 Sanskrit Pedagogy

Understanding Dīkṣita: On the Meaning of the Word “Siddhānta” in Siddhāntakaumudī Varun Khanna Chinmaya Vishwavidyapeeth (Veliyanad, KL, India) Vinayak Rajat Madippu Ganesh (Chinmaya Vishwavidyapeeth)

For the past four centuries, the Siddhāntakaumudī has been used as a way of reviving the study and establishing the superiority of the Pāṇinian method of learning Sanskrit grammar (siddhānta) over other non-Pāṇinian grammar systems, as well as a way of learning Pāṇini’s derivational methods (prakriyā). But does this mean that Bhaṭṭojī Dīkṣita (1550-1630 CE) intended for his seminal work to be used as a prakriyāgrantha, a text whose purpose is to teach students how to perform Sanskrit derivations? What is the meaning of the word “siddhānta” in its title? In this paper, we analyze various sūtras such as kṛttaddhitasamāsāśca and their explanations from Siddhāntakaumudī and its commentaries Prauḍhamanoramā and Laghuśabdenduśekhara to show the difference between prakriyā and siddhānta. We argue that the Siddhāntakaumudī was never intended to be used as a prakriyā- teaching text, but was instead intended to be a higher-level text establishing the siddhānta, or the superiority of the final viewpoint of the Pāṇinian method of learning Sanskrit grammar over other grammar systems or older Pāṇinian commentaries such as Kāśikāvṛtti and Prakriyākaumudī, whose study was hampered in its time, while using prakriyā as the method of doing so. But having established this superiority, due to a dearth of available teachers and textbooks expounding Pāṇini’s derivational methods, students and teachers alike turned to the Siddhāntakaumudī to learn Pāṇinian prakriyā. This paper will elaborate on the consequences of using the Siddhāntakaumudī as a prakriyāgrantha in addition to allowing it to retain its intended purpose as a siddhāntapratipādaka, or a text establishing the siddhānta of Pāṇini.

369 Sanskrit Pedagogy 17th World Sanskrit Conference, 2018

Imparting Yoga Texts in Sanskrit: A Teaching Experiment and Its Outcome Jayaraman Mahadevan Krishnamacharya Yoga Mandiram (Chennai, TN, India)

Currently, Yoga texts in Sanskrit language are taught through the medium of other languages worldwide. Scholars and teachers would agree that knowledge of Sanskrit is essential for better appreciation of Yogic wisdom. In such a situation, can an attempt be made to teach Yoga texts, with maximum possible usage of Sanskrit, without getting into nitty-gritty of Sanskrit grammar, even for a learner without Sanskrit background, in a time-bound manner? A two day international workshop on Haṭhayogapradīpikā was organized in Krishnamacharya Yoga Mandiram in August 2017 to attempt an answer to the above proposition. There were 97 registered participants in the workshop (India (89) and other countries (8)).

This paper, which is about this experiment, is in two parts – a) the description of the teaching experiment and b) its outcome as revealed by the analysis of the written feedback forms. Part A: Thirty four Sanskrit verses belonging to the first chapter of Haṭhayogapradīpikā on fifteen āsanas formed workshop content. At the outset, core words in these verses that had been identified and categorized earlier were practiced interactively. With this syntactical basis, verses were analyzed and paraphrased. The verses were chanted, to increase textual familiarity. Participants saw ‘text in action’ with the demonstration of the āsanas as per the text. Part B: Seventy nine participants offered their inputs through structured feedback forms containing qualitative (descriptive) and quantitative responses. Apart from encouraging response to this workshop, analysis of the descriptive responses offers useful insights and themes for further exploration regarding utilizing Sanskrit in Yoga textual teaching. The paper concludes that, in an era where knowledge of Sanskrit and study of Yoga texts seem like islands apart, experiments such as this should be attempted to bridge this gap and also to arrive at methods leading to an immersive teaching learning experience of Yoga texts.

370 17th World Sanskrit Conference, 2018 Sanskrit Pedagogy

Didactic Strategies in the European Tradition of Sanskrit Pedagogy Iwona Milewska Jagiellonian University (Krakow, Poland)

How to teach Sanskrit to obtain a satisfactory result and not to bore? What strategies and tools can be used in order to fulfill this goal? Various European teachers and authors of Sanskrit grammars have had their own ways to answer these questions. The European tradition of Sanskrit grammars has lasted over 350 years, and during these years more than 100 Sanskrit grammars have been published. They represent at least three different currents: didactic, descriptive and comparative. Together with other tools like chrestomatias, exercises, and dictionaries, they form the basic ground for teaching and learning Sanskrit. In this paper, I confine myself only to the didactic grammars. My main concern is to discuss the strategies used by their authors not to bore the students. The grammars to be discussed are the ones written by Ballantyne, A Catechism of Sanskrit Grammar (first ed. 1843), and First Lessons in Sanskrit Grammar; with an introduction to the Hitopadeśa (first. ed. 1850). I want to compare them with the one by Coulson, Sanskrit. An Introduction to the Classical Language (first. ed. 1976). These works, in their respective times, had achieved great popularity. This is proved by their many re- editions. The analysis will focus on the reasons for their popularity. Was it the choice of topics and the sequence of their introduction, or the way they were exposed to readers? What strategies have the authors used to catch the attention of the ones who wanted to discover the basic rules of Sanskrit? Shall these strategies be continued or shall we invent in modern days the strategies which will be tempting for people who may share the love for such a perfect language as Sanskrit is?

371 Sanskrit Pedagogy 17th World Sanskrit Conference, 2018

The Voyage of Sanskrit From India to Mauritius Kirthee Devi Ramjatton Mahatma Gandhi Institute (Moka, Mauritius)

This research paper provides a glimpse of the voyage of Sanskrit throughout different stages beginning with its introduction by indentured labourers till its teaching at the university level in Mauritius.

In 1835, when abolition of slavery took place, indentured labourers had to be brought to Mauritius from India in order to work in the sugarcane fields. These labourers brought with them their culture, religions and languages. Although Sanskrit was not a mother tongue of any of them but it was still a source of their culture and religion. Hence, they brought with them Sanskrit texts like the Rāmāyaṇa, Mahābhārata, Purāṇas and other scriptures. In this way, Sanskrit was indirectly introduced by the indentured labourers, or coolies as they were called.

Later in the 20th Century, priests also came to Mauritius along with the Brahmins class. In 1925, the Brahmana Maha Sabha in collaboration with the Bharatiya Vidya Bhavan of Delhi started the examinations of Balbodh and Prarambha. In 1965, the Hindu Maha Sabha also started the teaching of Sanskrit to the Purohits or priests. Pt. Thakoorprasad Mishra, Pt. Yadunandan Sharma and Pt. Vasudeva Vishnudayal dedicated themselves for the cause of Sanskrit. The Arya Sabha Mauritius, the Hindi Pracharini Sabha and some other NGOs are also promoting Sanskrit at their levels. However, the credit for promoting and popularizing Sanskrit in a formal manner goes to the Mahatma Gandhi Institute, where Sanskrit is taught at all levels to adults and children and degree programs are now offered.

372 17th World Sanskrit Conference, 2018 Sanskrit Pedagogy

वाचना भिवककमता: नूनीक रतु वेदाङ शिका सिदानानां वैजा निकानुपयोग: Gayatri Murali Krishna Ravuri Rashtriya Sanskrit Sansthan (Delhi, India) “अथ शीकां वाखासामः” इति मने खा ङिता दिषस वाङ्पू रवस चकिङः वकवाकायक रमणः सरपमेव भाषे ति धि य ा भाषाशासानुसनान चिकी रषवः पा णिनादयः मनदषारः न के व लं भाषाशासम पि च भाषामनो विजानमेव नि र प य ा ञ क ु ः । भाषाशासदशा वाचना भिवककमताः इतं सनि – पदव रणसमदवाचनाकमताः अरथात् व रणानां पदानां वा अन भिजानम्, विदमानानामकराणाम्/पदानाम् अपठनम्, अ विदमानानामकराणाम्/पदानां पठनम्, व रणानामन भिजता इतादाः भव नि तथैव उचारणगताकमताः यथा - वाक् -सलनम्, अशुदोचारणम्, वि ल मि त ो च ा र ण मि त ा द ा ः भव नि । तसात् शोधपतेऽ सिन् तान् वेदाङ शिका सिदानान् सीकृत वाचनसा भिवकेश अकमताः नूनीक रतुम् अपे कितकौशला नि वरधयितुं वि हि त स वैजा निकपयोगस नि र प ण ं कि य त े । भारतीयवेदाङ शिकागनेषु उचारणे शबोत तिः कथं भव ति ? तथा पठनप कियायां मिसषे तिनकाकोशाः(neurons) अकरिवनासं कथमिधगचनीित सुषु पतपािद यथा- आकाशवायुपभवशरीरातमुचरन् वकमुपै ति नादः । सानानरेषु प विभजमानो व रणतमागच ति यस शबः।। (आ. शि .1.1) पदे न व रणा वि द न े वणेषवयवा न च । वाकात् पदानामतनं पविभेदो न कशन ॥ (वा.प.1.73) एतादश सिदानानामाधारेण वाचनप कियायाम् अवयवः, व रणः, पदं, वाकं, चि त ं , सन रभः, पदानामातनम् इता दिषु कमंशमाधृत मसि षे तनिकाकोशाः(neurons) अकर विनासं के न पकारेणा धिगचनीत भिजातुं पायो गिकमुपकरणं नि ा य रम 210 वि भि न व य स ा ः पतिद रशाः सीकृत पयोगमकरोत् । अताधु निकानां भाषामनोवैजा निकानां Bouma Shape Teory, Parallel Recognition vs. Serial Recognition, Neural Networks of Word Recognition इतादीना ं िसदानानामपेकया वेदाङिशकािसदानाः वाचनपिकया ं िनदरषु ं पितपादयनीतिभजायते। अतः शोधपतेऽ सिन् वाचना भिवककमताः काः? मसि षे वाचनप किया कथं भव ति ? वाचना भिवककमतानां मापनं कथम्? के वेदाङिशकािसदानाः वाचनािभवकोः अकमताः दूरीकतरुमुपकुवरिन? कः वेदाङिशकािसदानः मिसषस वाचनपिकया ं िनदरषु ं पितपादयित? इतादयः अनुसनानिवशेषाः िनरपन े ।

373 Sanskrit Pedagogy 17th World Sanskrit Conference, 2018

The Perspective of New Pedagogy in Sanskrit Teaching: Importance of Pronunciations and Recitation in Sanskrit Learning Neelam Trivedi Dayanand Girls P.G. College (Kanpur, UP, India)

Sanskrit mantra and shloka were learned by reciting and chanting in a special pitch, in ancient days, in Rishi Gurukuls (schools). Acharya used to speak and recite mantras loudly, and students used to recite the same in a similar voice. Thus, the pronunciation given by pure devotees make them (mantras) kanthasth (remember through voice). It was called Shruti ― in which knowledge was transmitted from one generation to the next generation orally. In the Gurukul tradition, mainly three systems of learning methodology were prevalent, in which the first methodology was named Shruti. The second system of education has been called Manan (Thinking), in which students used to contemplate upon knowledge acquired from teachers and knowledge provided by different other societal groups, and adopt it in their lives. The third system was Nididhyasan, in which students acquired knowledge through profound meditation. All three mentioned above have ended in the current education system. The current system of Sanskrit education is not using audio equipment and tools at large scale for pronunciation and for memorizing mantras, which is a very relevant & necessary teaching methodology. In the Sanskrit language, every part and paragraph is tied to its specific rules. In ancient times, assessment was based on recall; debates (Shastrarth) etc., were based on remembrance. The Sanskrit language is getting extinct. We have to evolve a new methodology to teach Sanskrit, which can be extended to all. We have to find pedagogies and technology which should be used so that students can read, write and speak the language. What other ways can be explored? It’s an Indian language, so we Indians should find ways to overcome these challenges.

374 17th World Sanskrit Conference, 2018 Modern Sanskrit Writings

18. आधु निकं सं सृ तसा हितम् - Modern Sanskrit Writings

Modern Sanskrit Literature in Sri Lanka: Dauldena Gnaneswara Thera’s Contribution Kanumuldeniye Chandasoma Thero Bhiksu University of Sri Lanka (Anuradhapura, Sri Lanka)

The Sanskrit language was a lingua franca not only in India but also in whole of Asia. Scholarly opinions about its introduction to Sri Lanka vary, but the advent of Mahayanism undoubtedly provided an impetous to the widespread use of this language on the island. Sanskrit has been employed in Sri Lanka for religious and academic purposes as well as as a link language to communicate between and among nationals. Sankrit literary works written in Sri Lanka covers areas like poetry, Āyurveda, astrology, philology, and even inscriptions.

This paper focuses on the modern use of Sanskrit in Sri Lanka and more specifically on the contributions of Ven. Dauldena Gnaneswara Thera, a prominent scholar in the field. The methodology adopted in this research is the literary analysis of relevant works. At this juncture the Sanskrit works of Gnaneswara Thero were analysed in the wider context of modern Sri Lankan Sanskrit. This analysis projects him as the most illustrious of all contemporary Sanskrit scholars, which is acknowledged by awarding some of the most prestigious awards to him. His works are studied under various literary categories. They cover the fields of poetries on Buddhist doctrines (dharma kāvyam), poetries on message (dūta kāvyam), Hundred Verses (śataka kāvyam) and panegerics (praśastiḥ). In conclusion, a variety of fields such as the teachings of Buddha, the history of Sri Lanka, the true nature of human life, destructive conflicts in contemporary society, and the appraisal of scholars of the time have been explored. The author’s literary skill, explication of poems, language ability, etc. are shown in this study. Again Sanskrit, though neglected by the mass of people, has been upheld by the author for the wellness of Sanskrit in modern time.

375 Modern Sanskrit Writings 17th World Sanskrit Conference, 2018

Vāmācaraṇavaibhavam: A Gem of Modern Sanskrit Literature Abhishek Das University of Calcutta (Kolkata, WB, India)

Vāmācaraṇa, also known as Vāmā-khyapā, is one of the well-known sages from Bengal, India. His religious beliefs has been depicted in Vāmācaraṇavaibhavam, a Sanskrit mahākāvya, written by Pandit Nityānanda Mukhopādhyāy, an unmatched poet of modern Sanskrit literature from West Bengal. Mukhopādhyāy’s writings were mainly based on non-imaginary characters or living identities. His work has several features, including the incorporation of his ancestry at the end of each of the cantos, which deviates from the age old custom of writing autonomously. Along with Mā Tārā, Mukhopādhyāy has praised his father and teacher in his writings and each of his mahākāvya is completed with the date of completion from the Bengali calendar. Though he has abided by the rules of the rhetoricians, still he has infused some modern flavours in his writings. The book being miniature in size provokes deep thinking for the reader. Mukhopādhyāy’s depiction on Vāmācaraṇa’s noble life shows his devotion in a pleasurable manner.

Vāmācaraṇavaibhavam was completed in the year 1394 of the Bengali calendar (1988 A.D), and is divided into twenty cantos. The cantos contain step by step analysis of his life and the briefing of his sacred events. In the mahākāvya, Vasiṣthadeva was reincarnated as Vāmācaraṇa. Being a devotee of Mā Tārā from childhood he used to enchant and recite devotional songs. Vāmācaraṇa successfully sighted the Goddess on much awaited meditations and sadly left the mortal life. The mahākāvya is written in simple Sanskrit so that it is easily introduced to the common people. The glory of Mā Tārā should bring aesthetic inspiration and the life of Vāmācaraṇa is praised by Mukhopādhyāy. In the book, Vāmācaraṇa’s life is fully identical and embodied historical events. The description of supernatural incidents were absolutely transparent and poet has delineated the tantra system to establish his credit.

376 17th World Sanskrit Conference, 2018 Modern Sanskrit Writings

“Trying to Get Along After Getting Together” – Investigation Into the Relationship of Couples in Postindependence Sanskrit Prose Narratives Beate Guttandin University of Bonn (Bonn, Germany)

The challenges faced by couples in their relationships provide important topics for the short epic literature in Sanskrit after Independence. The different aspects like agreement and demarcation between the partners, relationship building, infidelity, dealing with dowry demands, arranged or self-organized marriage are processed in the narratives. After clarifying the particular constellations of couple relationships in some prose narratives of Kṣamā Rāva (1954), Viṇāpāṇī Pāṭaṇi (1994) and Ṛṣirāj Jāni (2015) they are related to their legal background.

The authors and authoresses, each of a different period of time during the seventy years of Indian Independence, do not hesitate to present all facettes of a couple relationship in their narratives. The handling of traditional practices of customs and newer laws by partners and extended family are depicted:

Saralāhamidayor madhye nibhṛtāsaktisambandhaviṣaye na ko ’pi saṃdehaleśa iti niścitamatiḥ sā samabhūt. “As for the secret love affair between Saralā and Hamida, there is not the slightest doubt,” she concluded. (Rāva)

Vijayā—Bhavantam asmāc choṣaṇān mocanāyaiva mayā kāryālaye idaṃ kā-ryaṃ prārabdham. Pitaḥ. Tvam imaṃ dhanarāśim etebhyo na dāsyasi. Vijayā: "I took this office job only to save you from bankruptcy. 19.6 Father! Tell me that you will not give them that amount of money.“ (Pāṭaṇī)

Malhāra! Mayā divasatrayaṃ yāvat tava praṇayaprastāvaviṣayakaṃ cinta-naṃ kṛtam. 06.09 PM "Malhāra! I’ve been thinking about your confession of love for three days now.“ 06:09 PM (Jāni)

The narratives show socially relevant content, be it openly socially critical or showing partners dealing with customs in their self-chosen ways.

377 Modern Sanskrit Writings 17th World Sanskrit Conference, 2018

The Meter Analysis of Sanskrit Ghazal Pushpa Jha Government Autonomous Mankunwar Bai College (Jabalpur, India)

In the modern age, the Sanskrit poets desirous to do something new, along with the traditional Sanskrit poetry, experimented with various new subjects and styles in Sanskrit poetry. In the Mughal period, poets adopted a new genre, which has the feelings of union and separation, with Erotic Rasa, and in which rendering is prominent, and it became hugely popular. So the Sanskrit poets adopted this new stanzic pattern, and Ghazal-writing in Sanskrit poetry became a trend.

The Sanskrit poets experimented with new types of meters in Ghazals. An eminent Sanskrit poet, the resident of Jaipur (India), Bhaṭṭa Mathurānāth Śāstrī “Mañjunāth”, not only wrote Ghazals in Sanskrit meters but also wrote in all the original 19 meters of Urdu poetics. Some poets have written two short vowels in the place of a long vowel in Urdu meter. Other Sanskrit poets, instead of this, have written a short vowel in the place of a long vowel, but the equality of the number of mātrās in the meter has been retained. Sometimes the poet, going against the stanzic rules of Urdu-poetics, changed the order of short and long vowels, but there is equilibrium in the meter.

Most of the Ghazal writers have accepted Sanskrit meters, but some poets have also written in those meters of Sanskrit which are used in Hindi poetry. In this way, different types of stanzic analyses are used in Sanskrit Ghazals.

378 17th World Sanskrit Conference, 2018 Modern Sanskrit Writings

Kālāya Tasmai Namaḥ-The Life Journey of Ogeti Parikshit Sharma Geetha Kasha Government Degree College for Women (Hyderabad, AP, India)

Ogeti Parikshit Sharma was a traditional Sanskrit scholar, a celebrated poet of the 21st century, and a great propagator of Sanskrit language and Indian culture. He dreamt to see Sanskrit as the national language of India. An ardent lover of Sanskrit, he strongly believed that culturally Sanskrit is the only language that could unite India. He had received a Sahitya Academy award and Kalidasa samman for Yaśodharā-mahākāvya. Rashtriya Sanskrita Vidyapeetha, Tirupathi conferred upon him the title of Vācaspati.

Kālāya tasmai namaḥ is a novel written in simple Sanskrit by Ogeti Parikshit Sharma. In the kavi- kathana he mentions “āsvādayantu ātma-kathāṃ pravṛttām”. The novel is divided into 21 chapters. The character of Śrāvaṇa developed by Ogeti Parikshit Sharma is a self portrayal. Śrāvaṇa, who was an average student and treated Sanskrit as a language used in temples or else at funeral rituals, later transforms into an ardent devotee of Sanskrit. Because of his poverty, Śrāvaṇa starts to learn Sanskrit. But he strongly believes in Time and faces every situation with courage and with sincere efforts. Śrāvaṇa crosses all the hurdles of life to become a Language officer of Sanskrit and after his retirement, goes to Canada for Paurohitya. In the Hindu temple of Ottawa he teaches spoken Sanskrit classes. He travels all over the world to promote Sanskrit language and literature. He is bold enough to teach paurohitya to women. Then he writes a novel about his own experience titled, Kālāya tasmai namaḥ: Salutations to Time. He strongly believes that by learning Sanskrit he became a disciplinarian with a philosophical attitude. The author concludes the novel thus “after observing the character of Śrāvaṇa, the reader may realise that the fruit of an adventurous life is real and is a unique experience.”

379 Modern Sanskrit Writings 17th World Sanskrit Conference, 2018

िवशं ितशताबदा ं िलिखताना ं संसृ तकावाना ं पवृितः Yogesh Pandey Rashtriya Sanskrit Sansthan (Delhi, India) पाचीनभारतीयवाङयेषु वैिशकजगतः सामािजकिचननं सषतया पिरलकते, िवशं ितशताबदाः संसृतसािहते न के वलं सामाि जकचिननं वरतते, अपितु सामाि जककुरी तिनां, आतङवाद-नकलवाद-भषाचार-नारीचेतना-राजनै तिक विषयाणाम पि वणनर ं तेषा ं िनवारणम्, राष्ीयानारािष्यिवषयाणाम्, मानवीयसंवेदनायाश वणनर ं पमुखतया िविलखित किवः। सामतं सं सृ तसा हितस कथावसूनां वि स ा र ः जातः। पायः सवेषु नवीन विषयेष पि लि ख त, े वि च ा य र त े च । नारीचेतना विषये कविभिः नाटक-कथा-उपनासा दिविविध विधासु लि ख त े । यसिन् हरिदतश रमाविलिखितं “वधूदहनम्” नामकं नाटकम्, इचाराम दिवेदीकृत् “पशातापः” नामकः कथासङ्गहः, शि व ज ी उपाधायपणीतं “यौतुकं ” पसिदं वरतते। वधूदहननामके नाटके वधोः दहनं परिलकितं भव ति , इचाराम दिवेदीकृत् “एकादशी” ना मि कथासङ्गहे वरमूल विवाह- शोषणािदिविवधसामािजककुरीतयः विणताः, हषरदेवमाधवकृत ् “तथास”ु नािम कथासङ्गहे गृहिहसं ावेदनायाः सजीवं िचतणं पापते − “सानगृहं गता गृहकेशशाना वधूः। नि शबं रो दिति तदा सानगृहं तसा पितृगृहं भव ति ॥” अनेन पकारेण एतादशं वणनर ं कतरु किवः सवरदा पयतरतः दशते। तथवै ावाचर ीनपरमरायामेव राष्ीयचेतनायाः नवीनोताहः सवरत परिलकते। आचा रयSरेवापसाद दिवे दिना साम तिकभारतव रषस समू रणसजीवं चि त ण ं “सातनतसमवम्” ना मि गने सतनाननरं उप सितेषु सवेषु वि ष य ेष ु व रणनं चका रि। यत सतनाननरं जनानोलनानां व रणनं, तैः परिवतितं समाजस वि स तृ ं वणनर ं पञिवशं ितसगेषु विणतम।् आचायरभटमाथरु नाथशािसणा “भारतवैभवम”् नािम महाकावे भारतस वैभवस यथाथतर ं वि वि ध वि ध ा भि ः , छनो भिश पद शितम्। तत नूतना एव कला पद शिताचायेण। आधु निकसंसृते नवीन विधासु उपनास-कथा- आखा यिकासु वि वि ध ग न ा ः गथिताः। कथासु भटमाथुरनाथशा सिणः ऐतिहासिक-सामाि जक-कानार-मनोवैजा निक-हासादयः वि वि ध ा ः कथाः सनि , कथासु व रणनदारेण सामाि जकचिननं लोकानुरञनाय, लोककलाणाय च वणितमसि। उपनासिवधायामेव भटमाथरु नाथशािसणः “आदशर मणी,” “भिकभावनो भगवान्,” “मोगलासामाजसूतधारो मानिसहं ः” इतादयः पमुखाः सनि। आचायेण राधावलभ तिपािनाठ पायः स रवासु विधासु सवेषु वि ष य ेष ु च सलेखनाः वै शिषनु पद शितमेव। अतः िवशं ितशताबदा ं िलिखतेषु गनषे ु न के वलं वैिशकदषा वणनर ं महतपूण र अिपतु आवशकतानुकू लािन एव। संसृतकवीना ं सातनतात् पू रवम पि वै शिषं वरतते, सं सृ तक वि भिः आङ्गानां वि र द ं सलेखना बहधा लि खि त म ् , आचायैः वि वि ध ा ल ङ ा र म ा ध म ेन वङ्गमाधमेन च आङ्गानां पतिकारं सलेखना पतिपादितम्। आचा रयरमाकानशुक विर चितं “भा ति मे भारतम्” नामके गने न के व लं भारतस सांसृ तिक विवेचनं वरतते, अपितु काव विधादषा पि ततवौतृषं वरतते। यादशी गेयता आधिु नकसंसृतकावेषु दशते, पायः पूवर ततदलु रभमेव। संसृतकवीना ं आलोचकातकरपं सामतमिप जी वितमेव, "भा ति मे भारतम्’ इति गनस पतिकावरपेण भा ति ते कावं नामा गनं लि खि त म । ् यत आलोचनातकता वरतते, सा भारतस वासिवकी ंिसित ं साकातारयित, यिसन ् भारते जनेषु सभारतीयसंसृित ं पित तादाताभावः पिरलकते, तथा पाशातसंसृित ं पित अनुरागः दशते, तेन ससूकदषा कठोरवाचा च वासिवकी ंिसित ं विणतम।् अतः पायः आधिु नकसंसृतकावेषु सवासर ु िवधासु यितमिप समित िलखते, ततवर राष्ोतानाय, नवयवु काना ं चेतनाय, ससंसृतेः समुतानाय, वि क ा स ा य , भारतव रषस परमवैभवाय आधु निकक विभिः लि ख त । े तस पभावोऽ पि सामतं परिलकते।

380 17th World Sanskrit Conference, 2018 Modern Sanskrit Writings

Saṃskṛta Pratibhā: Literary Print Nationalism at the Dawn of Postcolonialism Charles S. Preston Millsaps College (Jackson, MS, USA)

Beginning a year after the 1956-57 Sanskrit Commission, the Sahitya Akademi commenced publishing Saṃskṛta Pratibhā, a half-yearly journal for new literary works. This prominent national journal, edited by Dr. V. Raghavan, began amidst newfound national interest in reviving Sanskrit and government funding for culture. While there were, and still are, countless journals in Sanskrit published throughout India during the colonial period and after, Saṃskṛta Pratibhā is unique in having strong government backing and even more unique in serving as a journal for new Sanskrit literary productions. Aside from the literary material and translations, each issue commences with short editorial notes (sampādakīyam) and concludes with book reviews (called nikaṣopalaḥ). This paper analyzes Samskrita Pratibhā (particularly those issues of its first two decades under Raghavan’s editorship) as a form of print nationalism. Read along with Benedict Anderson’s work, the pages of this journal appear as a venue for Sanskrit writers to speak to one another and publish their works, while, in the process, collectively and creatively imagining the Indian nation as rooted in Sanskrit. The paper culls material from Raghavan’s editorial introductions (combinations of news and opinion) along with some more prominent literary works that have national overtones, to show how the journal served the effort/push to imagine the nation. This paper also challenges the implications of Sheldon Pollock’s “Sanskrit Knowledge-Systems on the Eve of Colonialism” project which implies an impending dark night after colonialism. In contrast, Saṃskṛta Pratibhā is evidence of an attempt to use the nationalist print medium to forge a new knowledge system that emphasizes culture. This paper expands Sumathi Ramaswamy’s analysis of the Commission’s translation of Sanskrit into a language of “culture” and adds the dimension of print nationalism.

381 Modern Sanskrit Writings 17th World Sanskrit Conference, 2018

Contribution of Gujarat in Modern Sanskrit Literature Devsinh Bhalabhai Rathva Hemchandracharya North Gujarat University (Patan, GJ, India)

Gujarat, as we all know, has its remarkable importance in the history and geography of our holy nation India. Gujarat has galaxy of creative writers, philosophers, commentators and critics, like Kapila, Lakulīśa, Kāṇaḍa, Uvat, Dyā Dviveda, Durgācārya, Māgha, Bhaṭṭi, Hemacandrācārya, Rāmacandra and Guṇacandra, Someśvara, Vastupāla, etc., of the past, and Mulshankar Yajnik, Shankarlal Mahesvar, Rishiraj Agnihotri, Harshadev Madhav, A.D. Shastri, Rajendra Nanavati, Ghanshyam Trivedi, Jasvanti Dave, Uma Deshpande, Jaydev Jani, Vasant Patel, Dr. Vasudev Pathak, Ajit Thakor, etc., of the present. The subjects treated here are about greater Gujarat of the ancient days and are not confined only to the present geographical boundaries of Gujarat. They have kept the banner of modern writings very high in the sky of Sanskrit Literature. A host of Indologists have made this area of Gujarat their home and they have contributed in the field of preparing critical editions or providing most genuine criticism. It would not be out of place to note some of the most noteworthy names here: Sarvasri Kanaiyalal Munshi, Dr. Anandshankar Dhruv, Umasankar Joshi, Prof. G. H. Bhatt, Prof. G. C. Jhala, Prof. H. P. Shah, Muni Punyavijay-ji, Prof. B. J. Sandesara, Dr.P. M. Modi, Dr.J. H. Jetali, Prof.R. B. Athawale, Dr. J. K. Bhatt, Dr. J. T. Parikh, Prof. A. G. Bhatt, Prof. S. S. Bhave, Dr. Chaitanya Prasad Divanji, etc. While at present, Gujarat is fortunate enough to have a host of internationally- celebrated scholars like Padmabhushana Dr. Dalsukhbhai Malvaniya, Padmashri K. K. Shastri, Padmashri Dr. E. S. Soloman, Dr. Harivallabha Bhayani, Dr. Arunoday Jani, Dr. R. S. Betai, Dr. Bhagavati Prasad Pandya, Dr. T. S. Nandi, Dr. S. G. Kantawala, Dr. Manibhai Prajapati. Dr. Gautam Patel, and Dr. Hanshaben Hindocha all have been honored by the President of India. Such is my Gujarat. But unfortunately, till now there has been no work that provides even primary information about the contribution of Gujarat to Sanskrit Literature.

382 17th World Sanskrit Conference, 2018 Modern Sanskrit Writings

Contribution of Harshdev Madhav in Modern Sanskrit Literature Rumaliben Rathva S. R. Bhabhor Arts College (Singvad, GJ, India)

Shri Harshdev Madhav is a powerful and prolific poet. A winner of many awards he has established himself as a modern Sanskrit poet. His collection of poems “Tava Sparshe” won the central Sahitya Akademi award, 2006. This is a collection of poems noted for their intensity and original imagination. The work is undoubtedly a priceless contribution to modern Indian poetry in Sanskrit. He changed the face of Sanskrit poetry by introducing new forms like haiku, tanka, sijo, mono image poems, mural poems, symbolic poems, college poems, absurd dramas, typographical poems, etc. His poems need a critic like Mammata, who gave critical commentary to ancient Sanskrit poetry. He contributed a lot to modern poetry, but still he composed many poems in traditional chandas. The kind of poetry he introduced became famous in India and abroad and is countable as (Uttamadhvani Kāvya) one of the specimens of the best poetry in Sanskrit Literature.

Harshdev Madhav’s contribution to the modern Sanskrit is great. The internationalization and modernization of Sanskrit poetry is an outcome of Harshdev’s vision and visualization. He may not be fully acceptable by orthodox Sanskritists on account of his arbitrary choice to use some English words in original form and some in Sanskritized form, but the subject matter he presents is definitely up to the mark. He presents the idea through new images and motives and expresses much through economy of words and lines. In conventional terms we can say that his abhivyakti is through anyokti. He is a spokesman of the present day world and singer of human sensations. He is appreciated by western critics as a full-height poet. The promising new corner also exploits Sanskrit allusions and associations against the backdrop of the native and local nuances of dialect. He brought out six valuable books on teaching, learning and speaking Sanskrit.

383 Modern Sanskrit Writings 17th World Sanskrit Conference, 2018

अरवाचीनसंसृतसाहितसनभे आचा रयसतवतशा सिमहाभागस रचनासमीकणम् Sipra Ray Tripura University साम तिकयुगे अरवाचीनसंसृतसाहिते आचा रयसतवतशा सिमहाभागानां सानं पथमतया गणते । पदशीः, पद विभूषणः, जानपीठ इता दिभिरपा धिभिविभू षिता एते वि द ा सं ः । आचा रयशा सिपवरः न के व लं भारतवषे अपितु विदेशेष पि संसृतभाषासा हितस पचारे-पसारे दढ़मनसा सं लगा । आचायेण ती णि महाकावा नि , ती णि खणकावा नि , पबनकावमेकं , पतकावमेकं ,पञसंखकाः समालोचनातकाः गनाः वि र चि त ा ः । शोधपतेऽ सिन् मया आचा रयशा सिव रयाणां कृ त ी न ा ं समीकणं वि ध ा स त े यथा – आचा रयसतवतशा सिमहाभागैः “थाईदेश विलासम्” इति शी रषकेण रसमयं याताकावं रचितम् । कावेऽ सिन् थाईलैणवासवानां वसु सितीनां सुर चिपू रण चि त म य ं व रणनं पसुतम् । यथा च – “ऎशरयसौनरयविलासधानी बङाकनामीै खलु राजधानी ।”, “इनिरागानिचरितम्” इति कावे इनिरापियदशिनः सुनरसरपस कु म ा र स म व म ह ा क ा व े वणितं पा रवतीसोन रयव रणनमनुसृत व रणनमकरोत् । थाईदेशीया राम कियेन रामकथामाधृत शा सिणा “शीरामकी तिमहाकावम्” िवरिचतम ् । महाकावेऽिसन ् पञिवशं ितसगेषु नवोतरदादशशतपदेषु च थाईदेशीया रामकथा िनरिपता । इयं थाईदेशीया रामकथा शीमदालीकीयरामायणाद ् िभना वततर े । रामकथा थाईदेशे “रामिकयेन”् इित नामा पचिलता । चतु रदशस रगातकं “शीबो धिसतच रितमहाकावम्” जातककथामनुसृत बुदजनजनानरवृतानमनुसृत रचिता एका सुल लिता शोभना रचना । “बृहतरं भारतम्” इत सिन् गने भारतस सरवविधं वै शिषं कविना सुर चिपू रण वणितम् । आचा रयशा सिवयैः भारतीयपरमराम धिकृत “सुभा षितसाहसी” इति कृ ति रचिता । गने अनेका नि ललितानि सू किवचना नि रचितानि सनि कावेऽिसन ् भारतीयसंसृतेसर कणस भावना पिरलकते । शािसणा “शीगुरगोिवनिसहं चिरतम”् इतिसन ् कावे िशखमतस दशमगुर शीगुरगोिवनिसहं स जीवनवताृ नस चचा र कृता । आचायरवरैः जमरनदेशमाहातमािशत “शमरणदेशः सुतरा ं िवभाित” पुसकिमदं सुषुरपेण िवरिचतम ् । प णितचारदेवशा सिसूनुः पणितसतवतशा सिमहाभागाः नवनवोनेषशा लिनीप तिभया शबचातुयेन उभय विधालङारपयोगकोशलेन सहदयहदयेषु मनोजतायाः सञारं पसारय ति । इतलम्

384 17th World Sanskrit Conference, 2018 Modern Sanskrit Writings

सं सृ त-खृषीय-सा हिते जन-मुर-वरयाणां (John Muir) सारसतमवदानम् Soumyajit Sen Cooch Behar Panchanan Barma University (Cooch Behar, WB, India) § उपोदातः॥ भारतभूमौ सा पितचरणानां वैदे शिकानां विशेषत आङलानां पधानतया दे उदेशे सः। पथमतो ववसा यिकप ति षा अपरतश खृषध रमपचार इति। अतो बाहणैः समं समाजे पभावोपेता जना यदि खृषध रम पति मनागपागहा निता भवेयुसदा साधारणजनेषस धरमस पचारः सुतरां नि र ल स त य ैव समवपरः सा दितेवं वि चि न य दि स ः ै पचारकै रभारतीयप णितानां सकाशात् सं सृ ताधयनं समारबम्। गचता कालेन भाषायामसाञापवुततयसे संसृतभाषां दारीकृतैव तेषा मिषभगवतो येषूखृषस पवितं जीवनमा शित बह नि कावा नि सुर गिरा विरचयामासुः। अतः सं जजे सं सृ तसा हिते नि तरामनालोचि तावहेलि ता वि स तृ प ा य ा परनत विसरणीया का चिदारा, सा हि — ‘सं सृ तखृषीयसा हित मि ’तभिधयाभिधातुं शकते। § सं सृ तखृषीयसा हितम्॥ सं सृ तवाङय मिव यद पि सं सृ तखृषीयसा हितवपु रन वि श ा ल ं , तथा पि खृषध रममाशित पव रतमानेयं सं सृ तसा हिता तिका मधुधारा नैका नि सहदयहदया नि हाद यितुमल मिति ना सि का चिदिप तिप तिः। मया समवापानां तथानामनुसारं नूना तिनूनतया पायः पञाशतंखका नि येषूखृष विषयका णि तथा पखाताङलशासकानां जी वितवृतमा शित संसृतभाषोपिनबदािन कावािन पाशातःै पाचैश िवदिदरनिवशं -िवशं शतकयोिवरिचतािन, यािन खलु िनशयेन संसृतसािहतजगतोऽसामानसमदूपेण िविशषामेका ं धारा ं संसजरयिन जनगणमानसं च मोहयिन वरीवतनर इतत िकं िचतम?् § शीजन-मुर-वरयस सारसतसाधना॥ वि वि ध श ा स नि षातानां विमलप तिभानशा लिहदयानां ततभवतां पखातपाचततजानसमृदानां सट्लाण्-वासवानां शीजन-मुर-पादानां जानं कीदग् गभीरं वि श ा ल ं पशसञासीतत ततणीता नि कावपबना दिकानेव साकमावहनी ति मनयः। कार यिती-भाव यि तोरभययोः प तिभयोरसामानाधारतेन जन- मुर-वयाणार ं िवदषु ा ं संसिद पकाश इतत िकं िचतम।् तथाहत सहदयाना ं मनिस पशः समुिदयादतािन तावतावािन तेन कविनामुना पणीता नि , यान धिकृत गवेषणापबनरचनायां कशन वती भवती ति। अतः समेषामनुस नितूनां सहदयहदयानामवगतयेऽधसातावत् पसूयते शीमुरपादानां समगेऽ पि जी विते रचितानां मौ लिकसंसृतगनानां का चिता लिका - ।। शी-जन-मुरस रचनापञी ।। § सं सृ तकावगनगामाः॥ १. पापमोचनीययथाथोपायपद रशनम्। २. ईशरोकशासधारा। ३. शीयेषूखृषमाहातम्। ४. शीपौलच रितम्। ५. मतपरीका – भारतीयशास विचारातकः पथमः खणः। मतपरीका – िसीयमतपदरशनातकोख दि त ी य ः खणः। ६. नि स ा र म ा ग र द ी पि क ा । ७. नूतोदनोदोतः। ८. शरमपदतिः। ९. परमातसवः। १०. मानसध रमदी पिका – अपका शिता ११. शी विदाचमता रिका – अपका शिता। § गवेषणाबनस लकम्॥ १. संसृतखृषीयसा हितान रगतानां कावानां रचनोदेशसमीकणम्। २. सं सृ तखृषीयकावानां समू रणा का चित् सूची सहदयसमकं पसुतीकरणपयतः। ३. सं सृ तखृषीयसा हितसं स रजकानां कवीनां जी वितावलोकनम्। ४. खृषीयमतानुपजीव पणीतेषु कावेषु ऐतिहासिकतथानां याथा रथविचारः। ५. आलङा रिकदषा (रस-री ति -गुण-दोष-धनि - वको कि -औ चि त -छनः-अलङारा दिगतदशा) कावानां रसोती रणतविचारः। ६. कावेषु भाषागतवै शिष विचारः। ७. कावानां वैयाकरणदषा समीकणम्। ८. ऐतिहेन साकमाधु निकतायाः समनयसंसापनम्।

§ उपसंहितः॥ “किवता मुरपादस सिवता च जगततेः। अदताु सृिषरदताू मनःपदपबोधने।।” — इित कािलदाससिु त ं किि ञ तरिव रतमुरपाद विषयेऽ पि शोकोऽयमुदाह रतु शकते। पसादगुणगु मफिता महाकवेः रचनापकृ तिरितसतोऽट ति चि त ह र ं झं क ा र ं शावयनी। येषो मुख निःसृतकथाकलापकूि जतै रसिकमनांसि समुलासयनो, सरवदिगामिभि रधनिभिः िदकिरकणरर नाणासोटयनो, धमरकथा ं शोतिु मपभु ः सदपु देशं पयचनः कं पािणनममनाननसनोहसमिनतं कतरु न पभवाः सनि कविवरकोकिलाः?

385 Modern Sanskrit Writings 17th World Sanskrit Conference, 2018

Modern Sanskrit Poetry in Global Perspective Hari Dutt Sharma University of Allahabad (Allahabad, UP, India)

In the modern age the stream of Sanskrit poetry is flowing with a galaxy of new trends and tendencies. The multi-colored face of the Maid with fresh emotions is now remarkably visible. The sphere of this poetry is not limited to the soil of India, but it has crossed the border and spread widely in the world. A great variety of pictures of different countries are visible in it. This type of foreign- oriented poetry emerged in Sanskrit in the later half of the twentieth century, when Sanskrit scholars gifted with poetic genius happened to visit several foreign countries under various academic and cultural programs. They expressed their heartfelt experiences and described a lot about the position of Sanskrit, institutions and scholars in the countries visited, cultural environment, journey by airplane, beauties of nature, rivers, seas, mountains, forests, cities, villages, paths, buildings, libraries, museums, monuments, historic places, etc. The poetry is replete with the cultural and literary journey of the poet. Among the main masterpieces of this type are: Germany-Yātrā-varṇanam, Swiss-deśe Prakṛti-varṇanam, Śarmaṇyadeśaḥ Sutarām Vibhāti, Thai-deśa-vilāsam, Bhūloka-vilokanam, Ruṣiyā- śatakam, Bhāti Maurīśasam, Bālī-pratyabhijñāna-śatakam, Bālī-vilāsam, Rasapriyā Paris-rājadhānī, America, America-vaibhavam, Vaideśikāṭanam, Śarmaṇyāḥ Prācyavidaḥ Deśadig-varṇana- mahākāvyam etc. written by the celebrated Sanskrit poets.The countries mainly covered by the poets are Germany, France, Switzerland, Russia, America, England, Holland, Italy, Thailand, Indonesia, Mauritius, etc. On the lines of such poems there arose series of poems containing international consciousness and modern ideologies. The kāvyas like Lenināmṛtam, Lenin-kusumāñjaliḥ, Karl-Marx- śatakam, Patra-dūtam, Maxim-Gorkī-Pañcaśatī Kristu-bhāgavatam, etc. and a number of pieces of poems fall in this category. A large part of the world and global ideas are covered by these poets in their compositions, which show peculiar trend of modernism in Sanskrit poetry.

386 17th World Sanskrit Conference, 2018 Modern Sanskrit Writings

Eco-critical Poems in 21st Century Sanskrit Literature Sarita Sharma University of Delhi (Delhi, India)

The theme and theory of eco-criticism is not novel for Sanskrit poets. Nature plays a pivotal role in the focal premise of Sanskrit literary works. Nature is the key essence of poetry and coexists with the mortal characters in Vedic and classical Sanskrit literature. Modern Sanskrit literature also transmits this bequest for the generations to come. As we all know, the world is facing numerous threats to the existence of life of diverse species in their numerous habitats. Pollution levels are elevated in the air, water and land, and the glaciers are melting and sea levels are ascending. Indian literati have shown immense concern on the issues of environmental degradation in their writings.

This research paper attempts to examine how Sanskrit poets of the present century are envisaging these contemporary environmental crises. Dr. Kant Shukla has written a poem entitled “Tsunāmī Tāṇḍava” in 2004. Professor Hari Dutt Sharma penned a poem, “Śūci Paryāvaraṇam” in his lyrical collection, Lasallatikā. He has compared the pollution-free village life with highly polluted life of metropolitan cities. In his poem, “Bhuvi Kiṃ Bhavitā”, he has referred to the forest fires burning the greens on the Earth. This research paper additionally includes other Sanskrit poets and their poems with a focus on eco-criticism. It can be construed from the research that there is a paradigm shift in the attitude of Sanskrit poets towards nature. The poets not only appreciate the beauty and aesthetics of the nature but they also raise their concerns with powerful words and voices. The present paper will be of significance for the studies in modern Sanskrit literature as no research has been done in this field so far.

387 Modern Sanskrit Writings 17th World Sanskrit Conference, 2018

सातनतोतरा रवाचीनसंसृतकावानुशीलनम् Rajendra Kumar Tripathi University of Allahabad (Allahabad, UP, India) सातनतोतरा रवाचीनसंसृतकावे एकतो यावत् पारम रिककावमनु शित महाकावखणकावनाटकथासा हितपभृ तिगना वि लि ख न े अनतसावत् अभिनवलोकगीतपरमरामवलम विविध विधासु लयतालरागरा गिणीमाधृत सं गीतसरस मि शितगीतगलज लि क ा दि - लोकगीतानां पयोगा अपि सहदयै: समा दियने। न के व ल म ेत द पि त ु छनोबना:,गीतबना:, मुकचनोबना:, अनू दितबना:, बालगी तिबना: हाइकु- सि ज ो - ताना- कवितादय: मुकशैलां नूतनपग तिशीलरचनानुसारेण संसृतकावेष पि दशने पयुजने च।

साम तिकसमये वि श ऽे सि न ् घटमाना घटना: सूकेकिकया कविवयै: यथा रथरपेण यथैव दशने तथैव सकीये कावेऽ पि पसूयने। वरतमानसनभे वैि शकसमसासु परयावरणं-आतङवाद:-भषाचार:- सामाि जक-सांसृ तिक-राजनी तिक-परिव रतनं- धनोपा रजनं- मानवा धिकारसंरकण मितनेके वि ष य ा : सामानतया मनने। सं सृ तकवय: एतेषु वि ष य ेष ु सीयक वितामाधमेन न के व लं वि ष य म ु दाटय निअ पितु जनजागरणाय लोकानाहयनि , पेरय नि च। अरवाचीनसंसृतकावे वैि शकवृतानम भिलक पवािसन: कवय: कदािचद ् वैदेिशकयाताया: वताृ िन सकीये कावे समुपसापयिन। कदािचद ् अमेिरका-जमरनी-िससदेशस पकृ ित ं पदशरयिन।एवञ किचद ् थाईदेशिवलासं- रिशयाशतकम-् िवमानशतकम-् बालीपतिभजानशतकम-् भाितमौरीशस-् यूरोपीय थाई भू मिरियम्- सनामुआङ् भिधान मिति वि ष य ा न धि क ृ त वि वि ध ा नि वृता नि व रणयनि। वरतमानवैशि कसनभे आतङवाद विभी षिकामवलम बह नि गीता नि विलिखने।प रयावरणसंरकणं - वैि शकसुख-शा नि -सदावनां- एकतामखणतामवधा रय कावलेखना नि जायने। असाकं देशे सम ति सचभारतं -प रयावरणसंरकणं - नि म र ल ग ङ ा - गोरकण- योग किया-नारीसंरकण-सुतासंरकण- भूणहता निवारण-आतङवाद-भषाचार- वभिचार-अपहरण-लुणनोतोचा दिविष यिणी महती चि न ा सं जायते। एतासां सरवासां समाधानाय कविवयैरपि संवेदनया कावान पि लि ख न ।े आ ध ु नि क स ं स ृ त क व य : एतेषु वि ष य ेष पि विविध विधासु गीता नि वि र च य लोकसंवेदनामुदोषय नि।

रािष्यैकतासुखशािनसुरकाया: गीतािन गायं गायं कवय: समािजकसदावना ं वधयर िन।राजनीतौ वापभषाचारमपदु ाट जनजागरणं कुवरिन कारयिन च। सामितकसमाजे राजपथमध े चापणे सावरजिनकचतुषथषे िप िहसं ा-बलातारापहरण- लुणनािदवापारमुिदश कावेषु िनना ं भतरना ं च पकटयिन। कदािचद ् सैनबलै: समािदतं सिजकलसा् इक नाम वीरोिचतपौरषमिप पशंसिन। कावकमरिण संलगा: सुकवय: यिद कुतािप सुघटना-दघु रटना सञायते तिह ततालमेव सकीया ं पतिकियां गीत-नवगीत-गजला दि सानुकूल विधामाधमेन न के व लं लि ख न पि त ु मञेषु गाय नि , पकाशय नि लोकानवबोधय नि च।

एवञ रािष्यानारािष्यसरे समाजे या अिप पवतयृ : कुपवतयृ : िवसङितत: सञायन े तान ् सवानर ् अवाचर ीनसंसृतकावदपरणेन सं पकाश कवय: सीयक विध रम नि ा हरव यि त ं ु पाल यितुं च महदोगदानं वाहर नि यतो हि सा हितं समाजस दरपणमिति। समाजस प रिव रतनेन सह सा हितम पि नवं सरपं वि द ध ा ति । साम तिककाल: सं सृ तनवगीत विधानां स रणकाल: इति मनते। एवमवधा रय सुकवय: सम ति सोशलमी डियामाधमेना पि कावा नि रचय नि , पकाशय नि , गाय नि , मञेषु शावय नि च। सं सृ तस पचाराय पसाराय च अ रवाचीनकावसंरचनासु ये सुप ति िताष : सुकवय: सं लगा: वरतने तेषां कृतीनामनुशीलनमेव शोधपतेऽ सिन् यथाशकं पसोतुं मया पयतते इति।

388 17th World Sanskrit Conference, 2018 Computational Sanskrit & Digital Humanities

19. सं सृ तं वि ज ा न त ा नि क ी च - Computational Sanskrit & Digital Humanities

Improving the Learnability of Classifiers for Sanskrit OCR Corrections Devaraj Adiga IIT Bombay (Mumbai, MH, India)

Sanskrit OCR documents have a lot of errors. Correcting those errors using conventional spell checking approaches breaks down due to the limited vocabulary. This is because of high inflections of Sanskrit, where words are dynamically formed by Sandhi rules, Samāsa rules, Taddhita affixes, etc. Therefore, correcting OCR documents require huge efforts. In this paper, we present different machine learning approaches and various ways to improve features for ameliorating the error corrections in Sanskrit OCR documents. We simulated Subanta Prakaraṇam of Vaiyākaraṇa Siddhānta Kaumudī for synthesizing off-the-shelf dictionary. Most of the methods we propose can also work for general Sanskrit word corrections.

389 Computational Sanskrit & Digital Humanities 17th World Sanskrit Conference, 2018

Enriching the Digital Edition of the Kāśikāvrtti by Adding Variants From the Nyāsa and the Padamañjarī Anuja P. Ajotikar Shan State Buddhist University (Taunggyi, Myanmar) Tanuja Ajotikar (B. M. Kankanwadi Ayurveda Mahavidyalaya) Peter M. Scharf (IIIT Hyderabad, The Sanskrit Library)

It is known that the Kāśikāvrtti, which is a well-known complete commentary on the Aṣṭādhyāyī, has textual problems. It would be helpful for the community of Sanskrit grammarians if an edition supplemented with the readings available in the commentaries of the Kāśikāvrtti is made available. Kulkarni et al. (2016) include an appendix indicating which readings of the Osmania edition of the Kāśikāvrtti are supported by the Nyāsa and Padamañjarī. In that appendix, they use various signs to indicate which reading is supported by the Nyāsa, which is supported by the Padamañjarī, and which is supported by both of them. It is a useful appendix, yet it lacks information concerning readings in commentaries that differ from the Osmania edition, whether the Padamañjarī, which is a later commentary, is aware of the reading given by the Nyāsa, how many readings are regarded as wrong by these commentators, etc. The Osmania edition itself seldom mentions variants reported in the commentaries, and, when it does, occasionally does so erroneously. So there is a need to create an edition that presents this information accurately to the community of Sanskrit grammarians in particular and Sanskrit scholars in general. Herewith we create such a digital edition of the Kāśikāvrtti by adding readings from the Nyāsa and Padamañjarī according to TEI. We report issues in tagging the data as well as the sample results of the tagging.

390 17th World Sanskrit Conference, 2018 Computational Sanskrit & Digital Humanities

From the Web to the Desktop: IIIF-Pack, a Document Format for Manuscripts Using Linked Data Standards Timothy Bellefleur University of British Columbia (Vancouver, BC, Canada)

This paper describes the implementation of a document file format for the representation of composite image, text, and additional data, focusing on the use case of manuscripts. The organizational methodology follows emerging standards for Linked Data, as well as some standards already in use by scholars and projects in Sanskrit Digital Humanities. It also presents a model for scholars in need of organizing this relevant data to begin to do so in a manner that facilitates future transition into online spaces.

391 Computational Sanskrit & Digital Humanities 17th World Sanskrit Conference, 2018

A Tool for Transliteration of Multilingual Sanskrit Texts Nikhil Chaturvedi IIT Delhi (Delhi, India) Rahul Garg (IIT Delhi)

Sanskrit texts are increasingly being written in bilingual and trilingual formats, with Sanskrit paragraphs/shlokas followed by their corresponding English commentary. Sanskrit can also be written in many ways, including multiple encodings like SLP-1 and Velthius for its romanised form. The need to tackle such code-switching is exacerbated through the requirement to render web pages with multilingual Sanskrit content. There is a need to automatically detect whether a given text fragment is in Sanskrit, followed by the identification of the form/encoding, further selectively performing transliteration to a user specified script. The Brahmi-derived writing systems of Indian languages are mostly rather similar in structure, but have different letter shapes. These scripts are based on similar phonetic values which allows for easy transliteration. This correspondence forms the basis of the motivation behind deriving a uniform encoding schema that is based on the underlying phonetic value rather than the symbolic representation.

In this paper we describe an open source tool developed by us to carry out automated transliteration of multilingual Sanskrit texts. The tool detects the language, script and encoding automatically using a machine learning algorithm and carries out the transliteration of Sanskrit words in the given output script and encoding. This tool may be used in the back end by Sanskrit web site developers. Researchers in the areas field of Computational Sanskrit Linguistics may also find this tool very handy for preprocessing of their Sanskrit texts in multiple formats from multiple sources. Our results indicate that this tool achieves an accuracy of 98.2% between SLP-1 and English on a Wikipedia corpus using simple machine learning techniques.

392 17th World Sanskrit Conference, 2018 Computational Sanskrit & Digital Humanities

A Digitization Process for Laukikanyāyas of Mahābhāṣya in Animation Approach Abhijit Dixit IGNCA (Delhi, India)

Exploring the concept of digitization process through Animated Short-Stories on Laukika-Nyāya of Shāstric Vyākaraṇa i.e. Mahābhāṣya. The Mahābhāṣya of is a monumental work in the tradition of Pāṇinan grammar. Patañjali explains a large number of the Sūtras of Pāṇini and Kātyāyana’s Vārtika thereupon. Composed in a lively and absorbing conversational style, the Mahābhāṣya has set a model for exegetical writings for all time while learning Mahābhāṣya learners are reading several Upākhyānas, Nyāyas, Paribhāṣās and as explanations of these they also read related apologues. This work will attract attention not merely from scholars of Sanskrit grammar but also from ordinary learners and enthusiasts. The purpose of developing this work is to create a new approach for Shāstric Vyākaraṇa Learners for educating and entertaining while learning Mahābhāṣya with smart thinking in enjoyable way.

The paper presents the collect information on the tools and platforms popularly being used to create a character of animation, study learner expectations and concepts that are suitable for them. this is an elaboration a way of techniques to build a animation story where it is mainly focuses on Shāstric Vyākaraṇa Learner.

393 Computational Sanskrit & Digital Humanities 17th World Sanskrit Conference, 2018

New Vistas to Study Bhartṛhari: Cognitive Natural Language Processing (NLP) Jayashree Aanand Gajjam IIT Bombay (Mumbai, MH, India) Diptesh Kanojia (IIT Bombay) Malhar Kulkarni (IIT Bombay)

A sentence is an important notion in the Indian grammatical tradition. The collection of the definitions of a sentence can be found in the text Vākyapadīya written by Bhartṛhari in fifth century C.E. The grammarian-philosopher Bhartṛhari and his authoritative work Vākyapadīya have been a matter of study for modern scholars, at least for more than 50 years, since Ashok Aklujkar submitted his Ph.D. dissertation at Harvard University. The notions of a sentence and a word as a meaningful linguistic unit in the language have been a subject matter for the discussion in many works that followed later on. While some scholars have applied philological techniques to critically establish the text of the works of Bhartṛhari, some others have devoted themselves to exploring philosophical insights from them. Some others have studied his works from the point of view of modern linguistics, and psychology. Few others have tried to justify the views by logical discussions.

In this paper, we present a fresh view to study Bhartṛhari, and his works, especially the Vākyapadīya. This view is from the field of Natural Language Processing (NLP), more specifically, what is called as Cognitive NLP. We have studied the definitions of a sentence given by Bhartṛhari at the beginning of the second chapter of Vākyapadīya. We have researched one of these definitions by conducting an experiment and following the methodology of silent-reading of Sanskrit paragraphs. We collect the Gaze-behavior data of participants and analyze it to understand the underlying comprehension procedure in the human mind and present our results. We evaluate the statistical significance of our results using T-test, and discuss the caveats of our work. We also present some general remarks on this experiment and usefulness of this method for gaining more insights in the work of Bhartṛhari.

394 17th World Sanskrit Conference, 2018 Computational Sanskrit & Digital Humanities

Word Complementation in Sanskrit Treated by a Modest Generalization of Categorial Grammar Brendan Gillon McGill University (Montréal, QC, Canada)

Syntacticians generally distinguish between complements and modifiers. Generative syntacticians wittingly or unwittingly use some form of a categorial grammar to handle complementation. This approach works well enough for those fragments of a language where complement order is rigid, but it does not handle derogations from rigid word order in a satisfactory way. Moreover, for languages such as Sanskrit, where complement word order appears to be completely free, off the shelf categorial grammars are utterly unsatisfactory. However, it is possible to alter the standard version of a categorial grammar to accommodate in a deft fashion the free ordering of a word’s complements, as exemplified, for example, by Sanskrit. The basic idea is to take advantage of the mathematically well known equivalence between sequences of length n and functions from the set of positive integers up to and including n in order to modestly generalize the definition of a categorial grammar. The presentation will outline this modest generalization, present data from Classical Sanskrit texts confirming the suitability of the application of the generalization and summarize several challenges which still have to be met.

395 Computational Sanskrit & Digital Humanities 17th World Sanskrit Conference, 2018

Introduction to the Indic Text Analysis Program: ITAP Sumachaya Harnsukworapanich Dhammachai Tipitaka Project (Bangkok, Thailand)

This paper is an introduction of the so-called Indic Text Analysis Program (ITAP), a software system which is designed to facilitate the editorial tasks to produce a critical edition of the Pali canon. It has been developing by the Dhammachai Tipitaka Project (DTP), Thailand, since 2012. The main concept is to create a database to link a digital image of palm-leaf manuscripts and Pali readings on the basis of page-by-page or even word-by-word, then automatically collate readings from each manuscript, generate a synoptic table, and present all readings on a platform that is convenient for the researchers to edit a text. Finally, the ITAP will present the text edited by researchers online. In sum, the ITAP provides an effective and flexible feature which generates an accurate base text and manuscript readings to serve the work flows of the production of a critical edition of Pali canon with the ultimate goal to promote the academic studies and preserve valuable palm-leaf manuscripts.

396 17th World Sanskrit Conference, 2018 Computational Sanskrit & Digital Humanities

Preliminary Design of a Sanskrit Corpus Manager Gérard Huet Centre de recherche Inria de Paris (Paris, France) Idir Lankri (Université Paris Diderot)

We propose a methodology for the collaborative annotation of a digitalized Sanskrit corpus tagged with grammatical information. The main features of this proposal are a fine grain view of the corpus at the sentence level, allowing expression of inter-textuality, sparse representation allowing non- necessarily sequential acquisition, and distributed collaborative development using the Git technology. A prototype Sanskrit Corpus Manager has been implemented as a proof of concept, in the framework of the Sanskrit Heritage Platform. Possible extensions and potential problems are discussed.

397 Computational Sanskrit & Digital Humanities 17th World Sanskrit Conference, 2018

An ‘Ekalavya’ Approach to Learning Context Free Grammar Rules for Sanskrit Using Adaptor Grammar Amrith Krishna IIT Kharagpur (Kharagpur, WB, India) Pawan Goyal (IIT Kharagpur) Bodhisattwa Prasad Majumder (Walmart Labs)

This work presents the use of adaptor grammar, a non-parametric Bayesian approach for learning (probabilistic) context free grammar productions from data. In adaptor grammar, we provide the set of non-terminals followed by a skeletal grammar. The productions and the associated probability for the productions are automatically learnt by the system from the usages of words or sentences, i.e., the dataset. No prior linguistic knowledge, other than the skeletal grammar, is provided to the system. The system completely learns the grammar structure by observing the data, we call this approach an ‘Ekalavya’ approach. In this work, we discuss the effect of using adaptor grammars for Sanskrit at word-level supervised tasks such as compound type identification and also in identifying source and derived words from corpora for derivational nouns. In both of the works, we show the use of sub-word patterns learnt using Adaptor Grammar as effective features for the supervised task. We also present our novel approach of using adaptor grammar for handling structured prediction tasks in Sanskrit. We present the preliminary results for word reordering task in Sanskrit.

What excites us the most is the provision this framework provides to incorporate the syntactic knowledge which is explicitly defined in our grammar formalisms. With this work, we plan to extend the work to two immediate tasks. First, we plan to extend the word-reordering task to the poetry to prose conversion task. Second, we will be performing the dependency parse analysis of given sentences at a morphological level. A dependency analysis of a sentence using context free grammar, is not straightforward. Currently we are working only on the projective dependency parsing. We will be relying on the dependency model with Valence to define our PCFG formalism for dependency parsing.

398 17th World Sanskrit Conference, 2018 Computational Sanskrit & Digital Humanities

Yogyatā as an Absence of Non-Congruity Sanjeev Panchal University of Hyderabad (Hyderabad, AP, India) Amba Kulkarni (University of Hyderabad)

Yogyatā or mutual congruity between the meanings of the related words is an important factor in the process of verbal cognition. In this paper, we present the computational modelling of yogyatā for automatic parsing of Sanskrit sentences. Among the several definitions of yogyatā, we modelled it as an absence of non-congruity. We discuss the reasons behind our modelling. Due to lack of any syntactic criterion for viśeṣaṇa (adjectives) in Sanskrit, parsing Sanskrit texts with adjectives resulted in high number of false positives. Hints from the vyākaraṇa texts helped us in the formulation of a criterion for viśeṣaṇa with syntactic and ontological constraints, which provided us a clue to decide the absence of non-congruity between two words with respect to the adjectival relation. A simple two way classification of nouns into dravya and guṇa with further sub-classification of guṇas into guṇavacanas was found to be necessary for handling adjectives. The same criterion was also necessary to handle the ambiguities between kāraka and non-kāraka relations. These criteria together with modelling yogyatā as an absence of non-congruity resulted in 81% improvement in the precision.

399 Computational Sanskrit & Digital Humanities 17th World Sanskrit Conference, 2018

A User-Friendly Tool for Metrical Analysis of Sanskrit Verse Shreevatsa Rajagopalan Independent Scholar, USA

This paper describes the design and implementation of a tool that assists readers of metrical verse in Sanskrit (and other languages/literatures with similar prosody). It is open-source, and available online as a web application, as a command-line tool and as a software library. It handles both varṇavṛtta and mātrāvṛtta (based on the number of morae: mātrās) metres. It has a number of features for usability without placing strict demands on its users. These include allowing input in a wide variety of transliteration schemes, being fairly robust against typographic or metrical errors in the input, and “aligning” the given verse vis-á-vis the recognized metre. This paper describes the various components of the system and its user interface, and details of interest such as the heuristics used in the identifier and the dynamic-programming algorithm used for displaying results. Although originally and primarily designed to help readers, the tool can also be used for additional applications such as detecting metrical errors in digital texts (its very first version identified 23 errors in a Sanskrit text from an online corpus), and generating statistics about metres found in a larger text or corpus. These applications are illustrated here, along with plans for future improvements.

400 17th World Sanskrit Conference, 2018 Computational Sanskrit & Digital Humanities

Modeling the Phonology of Consonant Duplication and Allied Changes in the Recitation of Tamil Taittirīyakas Balasubramanian Ramakrishnan Independent Scholar, USA

The phonetics of the Vedas are described by the prātiśākhya and śikṣā texts. Each veda has its own prātiśākhya as well as specific śikṣā texts. While there are similarities between the various prātiśākhya and śikṣā texts, there also tend to be important differences, leading to differences in the modes of chanting in the vedas. Some differences are obvious, but a significant percentage of the differences can be detected only by the trained ear, consonant duplication being in the latter category. Duplication is faithfully preserved, largely adhering to the Taittirīya-Yajuḥ Prātiśākhyam (TYP) rules, especially by the Tamil Taittirīyakas (TT). Additional rules are to be found in various śikṣā texts and some rules are known only from traditional practice.

The printed texts of the TTs use the grantha script and offer a very concise representation of the duplication rules. It should be noted that if the texts were printed exactly as recited, with all duplicated consonants explicitly specified, there would be a prolixity of consonants. This would have had a manifold effect: it would have significantly complicated the task of the scribe, increase the probability of error propagation, as well as hinder text comprehension. The grantha texts offer an admirable combination of precisely encoding arcane duplication rules, yet avoiding prolixity in printing out consonants.

The aim of this paper can be summarized as: • describe duplication rules among TTs, point out where they deviate from the TYP, compare and contrast with other prātiśākhyas and Pāṇini, • develop an algebraic formulation of TT duplication rules, • develop a Non-Deterministic Finite State Transducer (ND-FST) model from the algebraic formulation, and finally • a Perl implementation of the model as a tool to study TT duplication rules.

401 Computational Sanskrit & Digital Humanities 17th World Sanskrit Conference, 2018

TEITagger: Raising the Standard for Digital Texts to Facilitate Interchange With Linguistic Software Peter M. Scharf IIIT Hyderabad, The Sanskrit Library (Hyderabad, AP, India)

For several years, members of the International Sanskrit Computational Linguistics Consortium have been working to facilitate interchange between digital repositories of Sanskrit texts, and digital parsers. Syntactic analyzers have recognized the need to standardize reference to particular passages in digital texts. XML has emerged as the most important standard format for document structure and data interchange and TEI as the most important standard for the XML markup of textual documents. TEI provides methods to precisely describe divisions in texts from major sections to individual morphemes and to associate various versions with each other. Responsible text archives, such as TITUS and SARIT, have adopted the TEI standard for their texts. After a workshop to train doctoral candidates at the Rashtriya Sanskrit Sansthan to mark-up texts in accordance with TEI in May 2017, the Sanskrit Library developed software to semi-automate the process with extensive use of regular expressions and meter-identification software and is currently marking-up all of its texts using the TEITagger. The result will be a large repository of digital Sanskrit texts that can furnish text to the Sanskrit Heritage parser and the University of Hyderabad’s parser and syntax analyzer, that would allow passages parsed and analyzed for dependency structure to be interlinked with their originals.

402 17th World Sanskrit Conference, 2018 Computational Sanskrit & Digital Humanities

A Functional Core for Computational Aṣṭādhyāyī Samir Janardan Sohoni IIT Bombay (Mumbai, MH, India)

There have been several efforts to produce computational models of concepts from Pāṇini’s Aṣṭādhyāyī. These implementations targeted certain subsections of the Aṣṭādhyāyī such as visibility of rules, resolving rule conflict, producing sandhi, etc. Extrapolating such efforts extremely will give us a much coveted computational Aṣṭādhyāyī. A computational Aṣṭādhyāyī must produce an acceptable derivation of words showing the order in which sūtras are applied. We have developed a mini computational Aṣṭādhyāyī which purports to derive accented verb forms of the root bhū in the laṭ lakāra. An engine repeatedly chooses, prioritizes and applies to an input, given in the form of a vivakṣā, until an utterance is derived. Among other things, this paper describes structure of sūtras, visibility of sūtras in the sapādasaptādhyāyī and tripādī sections, phasing sutras and conflict resolution mechanisms. We found that the saṃjñyā and vidhi sūtras are relatively simple to implement due to overt conditional clues. The adhikāra and paribhāṣā sutras are too general to be implemented on their own, but can be bootstrapped into the vidhi sūtras. The para-nitya-antaraṅga- apavāda method of resolving sūtra conflicts was extended to suit the computational Aṣṭādhyāyī. Phasing can be used as a device to defer certain sūtras to a later stage in the derivation.

This is the first part of a series. We intend to write more as we implement more from the Aṣṭādhyāyī.

403 Computational Sanskrit & Digital Humanities 17th World Sanskrit Conference, 2018

PAIAS: Panini Aṣṭādhyāyī Interpreter as a Service Sai Rama Krishna Susarla MIT-ADT University (Pune, MH, India) Tilaka Mohan Rao (MIT-ADT University) Sarada Susarla (Karnataka Sanskrit University)

It is widely believed that Pāṇini’s Aṣṭādhyāyī is the most accurate grammar and word-generation scheme for a natural language there is. Several researchers attempted to validate this hypothesis by analyzing Aṣṭādhyāyī’s sūtra system from a computational / algorithmic angle. Many have attempted to emulate Aṣṭādhyāyī’s word generation scheme. However, prior work has succeeded in taking only small subsets of the Aṣṭādhyāyī pertaining to specific constructs and manually coding their logic for linguistic analysis.

However, there is another school of thought that Aṣṭādhyāyī itself (along with its associated corrective texts) constitutes a complete, unified, self-describing solution for word generation (krt, taddhita), compounding (samāsa) and conjugation (sandhi). In this paper, we describe our ongoing effort to directly compile and interpret Aṣṭādhyāyī’s sūtra corpus (with its associated data sets) to automate its prakṛti-pratyaya-based word transformation methodology (leaving out kārakas). We have created a custom machine-interpretable language in JSON for Aṣṭādhyāyī, a Python-based compiler to automatically convert Aṣṭādhyāyī sūtras into that language, and an interpreter to reproduce the Aṣṭādhyāyī’s prakriya for term definitions, meta-rules and vidhis. Such an interpreter has great value in analyzing the generative capability of Pāṇinīan grammar, assessing its completeness or anomalies and the contributions of various commentaries to the original methodology. We avoid manually supplying any data derivable directly from Aṣṭādhyāyī. Unlike existing work that focused on fast interpretation of rules, we focus initially on fidelity to Aṣṭādhyāyī.

We have started with a well-annotated Aṣṭādhyāyī text online. We are able to automatically enumerate the values of all saṃjñās defined in the Aṣṭādhyāyī in a given word transformation context, determining which paribhāṣā sūtras apply to which vidhi sutras, and in developing a generic rūpa- siddhi engine starting from a prakṛti-pratyaya sequence. Our service named PAIAS ( ) aims to 50Ö provide programmatic access to Aṣṭādhyāyī and its data sets and their interpretation via open RESTful API for third-party tools.

404 17th World Sanskrit Conference, 2018 Computational Sanskrit & Digital Humanities

Language Lab for Sanskrit: A Scalable Technological, Pedagogical and Content Knowledge(TPACK) Approach P. Venkatasubramanian Karnataka Sanskrit University, Vyoma Labs

Language Lab for Sanskrit: A scalable Techno-Pedagogical-Content knowledge (TPACK ) approach

Relevance of the topic

Imparting of Sanskrit and Indic Knowledge has been prevalent from the vedic age. This precious knowledge has been carefully and systematically transmitted through the Gurukula (face to face) system in countries like India and modern education system across the world. Recent times have seen innovation in Sanskrit learning through availability of instructionally designed e-learning content (MOOC, webinar, smart class, self-learning tools etc).

The next transformation in this space would be to provide an experience of the language as a complete integrated knowledge system which can bring more learners because of the intrinsic benefits that sanskrit can offer to mankind.

This could be achieved through Language Lab for Sanskrit.

Sanskrit Language Lab( SLL) is a blended integrated digital eco-system of experiencing Sanskrit which nurtures and builds requisite skills for learners at appropriate levels leading to proficiency and personal mastery.

Our approach

The paper attempts to define the components of SLL, how it works for different types of learners (formal/ non –formal). The broad technical framework, progressive content framework and pedagogical framework of the SLL is presented leading to an integrated scalable comprehensive TPACK approach for Sanskrit. Two case studies of the authors in implementing some components of the Lab shall be presented. Results and impact of the studies also shall be discussed.

Conclusions

Audio-visual-experimental-experiential-activity based learning engages all types of learners equally. Far reaching impact of this concept can be felt at individual, family, school, society, national and international levels. A few important impact areas could be: Sharp increase in the quality of learners, kindling of basic interest to pursue Indic knowledge; improve the way Sanskrit communication happens. A scalable, implementable, modular, learner specific franchise based implementation throughout the globe can be thought of.

405 Manuscriptology 17th World Sanskrit Conference, 2018

20. हसलेख विजानम् - Manuscriptology

The Mīmāṃsakas on the Meaning of Ākhyāta from an Unpublished Text, Ākhyātaviveka Reeta Bhattacharya Kolkata Nivedita Shakti (Kolkata, WB, India)

The primary source of Mīmāṃsā philosophy is the Mīmāṃsā-sūtra of Jamini. Later works are mainly commentaries on it and commentaries on commentaries. The earliest known commentary on Mīmāṃsā-sūtras is Śabarabhaṣya by Śabara Swāmi. Two different interpretations on this commentary by Kumārila Bhaṭṭa and Prabhākara Miśra led to the development of two main branches. In early Mīmāṃsā, ākhyāta is equated with karmaśabda. Jaimini’s Mīmāṃsā-sūtra says, ākhyātas are those words, which, on their origination, have no application to or connection with any object. Those two schools differ when trying to explain the tin-suffix which forms a part of ākhyayta . According to the Prābhākaras, it is niyoga or an enjoined duty that is directly conveyed by a liṅ suffix, and it is this niyoga, the meaning of the verb-suffix that predominates over the other meanings involved in a sentential meaning. But the Bhāṭṭa Mīmāṃsakas identify bhāvanā i.e., the action element as the meaning of the tiṅ and often equate it with kriyā. In their view ākhyāta is kriyāpradhāna or bhāvanāpradhāna or bhāvapradhāna.

On this topic the present author has got one unpublished text of seven folios named Ākhyātaviveka authored by Kṛṣṇa Bhaṭṭa where some of the unique features of the Mīmāṃsā theory on Ākhyāta has been discussed. The purpose of study of this manuscript is to get at the meaning of ākhyāta in the light of Mīmāṃsā philosophy though the other schools of philosophy of language come in incidentally. Three copies of this particular manuscript were used here which are preserved at three different institutes in Kolkata, Pune, and Varanasi. Details will be given in the paper. The present paper aims to explore some unique features of Mīmāṃsā theory which is mentioned in this unpublished text and also will discuss some problems faced while preparing the text.

406 17th World Sanskrit Conference, 2018 Manuscriptology

Critical Evaluation of Saṅgīta-Saroddharaḥ and Saṅgītopaniṣat-Saroddharaḥ Shweta Avdhoot Jejurkar The Maharaja University of Baroda (Vadodara, GJ, India)

Saṅgīta-saroddharaḥ of Kikaraja is a rare unpublished manuscript in Sanskrit on the subject of Indian Music, preserved in the Government Manuscript Library at the Bhandarkar Oriental Research Institute, Pune. The Manuscript contains 16 folios (a and b) i.e. 32 pages in all. Saṅgītopaniṣad- saroddharaḥ of Vacanacarya Sudhakalasa (a Jain monk) is a work on Indian Music and Dancing written in Sanskrit and edited by Dr. Umakant Shah and published under the Gaekwad Oriental Series of the Oriental Institute of the Maharaja Sayajirao University of Baroda. The main confusion regarding these two texts is created because Dr. Umakant Shah, refers to both these texts as same. It is possible that he must have traced these texts which are unavailable to us now, for one or the other reason. After studying the contents of both these texts available to me, it is evident that both these texts are different and do not bear whatsoever resemblance to each other. This Paper will focus on the concepts of Indian Music and dancing elaborated upon, in these Sanskrit texts on music, out of which one is published and other is yet to be published. It will also point out the relevance and difference for such a confusion of text names as to whether they are one or whether there are other such texts in Sanskrit written on Music bearing the same name. It will critically evaluate their significance and contribution to both the fields of literature – Sanskrit as well as Indian Music. An attempt will be made here to edit the unpublished Manuscript for the first time, which will be an original contribution to both the fields of Knowledge.

407 Manuscriptology 17th World Sanskrit Conference, 2018

Creation of National Manuscript Database and Its Impediments: A Critical Perspective, With Special Reference to National Mission for Manuscripts Nrusingha Charan Kar Hankuk University of Foreign Studies (Seoul, Republic of Korea)

Inquiry into an ultimate reality of human life has steered the Indian society throughout ages. Uninterrupted flow of discourse in this direction has contributed ideas in shaping the Indian intellectual tradition. Theories were promulgated and made immune to criticism by creative explanations and careful interpretations, which eventually gave rise to prolific writings available to us today.

According to National Mission for Manuscripts there could be around a billion of such writings available across the world. Less than ten percent of manuscripts have only come to light through research and publication, a large number of manuscripts are yet to be catalogued. Attempts have been made in the past to collect and catalog this heritage. Sir Robert Chambers, Col. Mackenzie, H.H. Wilson, F. Hall, Aufrecht, Rajendralal Mitra, Haraprasad Sastri and many other prominent scholars have contributed in unearthing and cataloging manuscripts mostly in individual level. Those attempts have never been encompassing. In recent times (2003), the Govt. of India has taken an initiative to prepare a National Electronic Database of Manuscripts under the auspices of Ministry of Culture. In its short span of lifetime the project has experiences a lot of success and failures. The causes of failures or delays could be broadly divided into two categories: 1.) Intrinsic 2.) Extrinsic. The tangible as an object to preserve and the intangible as the knowledge content to study is a complex subject. The extrinsic causes are quite many–starting from unrealistic expectation, structural lacunae, planning, implementation, awareness, culture dogmas, etc.

This paper has made an attempt to explore and bring to light the determinants of failures and delays in creation of national electronic database and other aims and objectives for which the mission was established. This paper will also be constructive by suggesting ways to improve the problematic aspects.

408 17th World Sanskrit Conference, 2018 Manuscriptology

The Origin and Date of the Bower Manuscript Purnima Koul University of Delhi (Delhi, India)

The Bower Manuscript discovered by Lt. Bower in Chinese Turkistan in 1890 is a landmark in the study of the development and spread of the Brahmi script.The manuscript is written on birch-bark. The script of the Bower MS is akin to that of North Indian Inscriptions of the contemporary period. A question is raised whether it was written in the place of its find. Within the MS some variations are visible in mode of writing as well as in the form of alphabet. Either different people wrote different portions of the MS, or there is some change in handwriting. The proper fixing of the date is also important. After having examined the views of A.F. Rudolf Hoernle in Indian Antiquary and others like Thomas Luders and Sten Konow, and after a comprehensive and comparative study of the script found in North India Inscriptions and in some other MSS also, the scribes, date and the place of the origin of the manuscript has been fixed.

409 Manuscriptology 17th World Sanskrit Conference, 2018

Imprints of Existence: Place-Names in the Post-Colophonic Statements of Vedic Manuscripts Nirmala R. Kulkarni Savitribai Phule Pune University (Pune, MH, India)

The manuscript colophons as well as the post-colophonic statements provide information of the circulation of the text, places important for copying the manuscripts and the families involved in copying the manuscripts (Kulkarni 2015). In the present paper an attempt has been made to analyze information regarding place-names occurred in the post-colophonic statements of Vedic manuscripts deposited in the libraries of Pune. The study may help partially in locating existence of Vedic śākhās during 14th century CE to 19th century CE.

The paper considers approximately 250 manuscripts deposited in the libraries of Pune by using the following methods: 1. Recording place-names mentioned in the colophons or in the post-colophonic statements; 2. Corroboration of these names with those in the inscriptions, if any; 3. Identification of the place-names with the current ones; 4. Classification of place-names in accordance to the Vedic śākhās; 5. Presentation of identified place-names in the map of India

410 17th World Sanskrit Conference, 2018 Manuscriptology

मृगाङ-पदावती परिचयः Priti Nayan Pancholi B. J. Institute of Learning and Research (Ahmedabad, GJ, India)

मृगाङ्-पदावती कृितः ला.द.िवदामंिदरे हसपतिवभागे सू.कमाकं - ४१८५ संखातके साने पापते । एकादश (11) पतािण सनि । मदमा सि ि त ः । कजलपसारणात् अकरा णि न पितुंठ योगा नि । मा रगशी रषमासे शुकपके एकादशां सोमवासरे । शी कमाकीितगािण िशष राजकीितमुिन दारा आगाया ं िलिखता । भाषा महाराष्ीय पाकृता वततर े । गा. ३४८ अिस । मृगाङ्-पदावती एका पाकृतभाषा कृितः वततर े । पाकृतकथायाः सािहतम ् अित पाचीनमिस । जानवदृ ै अतुपयकु ं साधनम सि । कथायाः पाचीन सरपम् ऋगेदस यम-यमी,पुररवा-उरवशी, सरमा-पणि इता दि कथारपम् उप निष दिव सनतुमारनारदा दि -वाखानरपेण महाभारतस गङा्वतरणवत् वाखानेषु उपकथते । पा लिजातकगनसु उपदेशातक कथा रथम् अतनं प सिदम सि । जातकानां कथासु आगमद रशनयो महतपू रणा वा रता वरतते । अरधमागधी नामकागमगनेषु अमेय विधाः कथाः वरतने । पाकृतागमसा हितेषु आचारततं आधा तिका दिविषयाणां नि र प ण ं कथामाधमेन कृ त म सि । गूढातक विचाराः गणधरैः आचायैः कथायाः एव आधारो गहीतः । कावस कथायाश उत तिः उपमानरपक पतिकानां सहयोगेन जाता असि । अतः कथा सा हितस वि क ा स ः अरधमागधी एवं शौरसेनस आगमगनदारा एव जातः इति सीक रतवमेव ।

एषा पाकृतगाथाबदकथा “सुपातदान” िवषियणी वततर े । तिह ॅ नाम सुपातदानम ् । मृगाङ्-पदावती कथाया ं सुपातदानिवषयाः बहवः वरतने ।

411 Manuscriptology 17th World Sanskrit Conference, 2018

Indian Traditional Manuscript Writing: Roles & Functions of the Scribe: A Critique Narasingha Charan Panda Silpakorn University (Bangkok, Thailand)

A manuscript speaks of the past, reveals historical perspectives, reflecting the socio-cultural, even socio-political conditions of its time. Its contents are significant literally, scientifically, historically; its form is fascinating palaeographically, calligraphically, & technically. Manuscripts are the invaluable treasures of Indian heritage. The literary works were transmitted through writing before the invention of printing technology, and the tangible history of writing dates back to the age of Aśoka. Written tradition in any language is a continuation of the oral tradition. After a period of exclusive oral transmission, manuscript transmission started first in Kharoshti and Brahmi & next in different Indian scripts, northern & southern ones such as Sharada, Grantha, Nagari, Odia, Telugu, Malayalam, etc. The scribe may be a professional copyist or a scholar or student. An ancient scribe has described his situation thus: bhagna-pṛṣṭhaḥ kaṭigrīvaḥ tulyadṛṣṭir adhomukhaḥ / kaṣṭena likhitaṃ granthaṃ yatnataḥ paripālayet // (Quoted in New Lights on Manuscriptology, SSES Research Centre, 2007, Chennai, p. 115) Regarding the nature & characteristics of a scribe, Chanakya specifies: sakṛdukta-gṛhītārtho laghuhasto jitākṣaraḥ / sarva-śāstrasamāokī prakṛṣṭo nāma lekhakaḥ // (Quoted in New Lights on Manuscriptology, p. 111). It is also said about the clear writing of a good scribe: śīrṣopetān susampūrṇān śubhaśreṇīgatān samān/ akṣarān vai likhed yas tu lekhakaḥ sa varaḥ smṛtaḥ // (Quoted in Viromitrodaya, Rajaniti-prakasa, Edn., Benares, 1916, p.182) This paper will further discuss the functions & roles of the scribe, his thoughts & advises fears & precautions noted in the colophons of different Mss. & texts.

412 17th World Sanskrit Conference, 2018 Manuscriptology

Unique and Unexplored Miniature Painting of Manuscripts Vijay Vishwanath Rajopadhyay Daly College (Indore, MP, India)

It is believed that the popular version of Mughal style of painting which painters carried to various places influenced the already existing style of painting there with consequences that number of new schools of paintings originated in Rajasthan and Central India in the 17th and 18th century. Amongst these important schools of paintings are Malwa, Bundi-Kota, Amber-Jaipur, Bikaner, Mewar and Kishangarh.

Recently, two manuscripts representing Bundi and Malwa School of painting were found in Indore with illustration of God and Goddesses. One manuscript named ‘tantroktam devi suktam’ has ten miniature paintings of different forms of Goddess Durga. Perhaps, this is for the first time the artist has chosen the subject of ‘Shakhata’ cult. This is the evidence of ‘Tantra Sampradāya’ flourishing in Central India and was patronized by the rulers for their success involved as there is other manuscript on ‘Daśamahāvidyā’ was found at same place, which is an example of influence of ‘Tantra śāstra’ which was used for the success and victory of the ruler. The manuscript was written between 1775 to 1795 during Maratha rule. Another manuscript which is found in a book form has a subject of astrology. The horoscope was made for the then ruler where all planets are shown on handmade paper in a Malwa style of painting. There are including nine planets, one Ganeśa’s miniature paintings also drawn by the artist.

413 Manuscriptology 17th World Sanskrit Conference, 2018

The Development of Śāradā and Related Scripts : Some Evidence From Manuscripts Saraju Rath IIAS (Leiden, Netherlands)

Thanks to the work of G.H. Ojha (1894, 1918), G. Bühler (1895, 1904), and J.Ph. Vogel (1911), the large outlines of the development of inscriptional Śāradā is quite well established. Vogel, especially, was of the opinion that “a close examination of the characters will … enable us to fix the approximate date of any undated Śāradā record” in pre-Islamic northwest India. Inscriptional writing is evidently adapted both to its material basis, mostly stone, coins and copper plates, and to its monumental purpose, whereas the writing employed in manuscripts will be more open to the influence of the cursive style of scripts used for mercantile purposes. In order to establish a more solid chronology of literary Śāradā we will therefore explore in this paper some of the available evidence in the form of the characters used in various Śāradā manuscripts and in manuscripts in these related mercantile scripts.

414 17th World Sanskrit Conference, 2018 Manuscriptology

Tracing the Manuscript of Sarvapratyayamālā (“The Garland of All Affixes”) Through the Ages: A Historical Approach Shivani V Karnataka Sanskrit University (Bangalore, KA, India) Sivaja S. Nair (University of Hyderabad)

Sarvapratyayamālā is a rare unpublished manuscript on Sanskrit grammar authored by Keralan grammarian Śaṅkarārya. It is segmented into 19 chapters, and 16 chapters elegantly versified in anuṣṭup chandas (metre) are available, dealing with subanta to tiṅanta. The topics covered are avyaya, kāraka, kṛdanta, lakāra, samāsa, strīpratyaya, taddhitānta, vibhakti, and others relating to grammar. A detailed elaboration of affixes is ascribed with illustrations and expounds the Pāṇinīya- vyākaraṇa in a systematic and beautiful style that makes the discussions intelligible to the reader. The introductory verses and colophons of the work state that the author of this treatise is Śaṅkarārya, a Kerala grammarian.

The present treatise, Sarvapratyayamālā, is a significant contribution to the tradition of grammar. It narrates elaborately the prefixes and suffixes of Pāṇinian system with suitable illustrations. Six incomplete manuscripts are available in verse form in Grantha, Malayalam and Devanagari scripts. There are four manuscripts written in Grantha script. One is in Malayalam script and the last is in Devanagari script. The work is very precise, complete and clear. It is very simple as does not need any commentary to elucidate its meaning as such. It is more original in approach. He explains the sūtra in his own style instead of taking sūtra as it is. The lucid writing and easily memorable verses make the work elegant in disposition and effective in communicating the critical topics of grammar even to a beginner, or make a scholar ponder over the discussions making the work scholarly and friendly. This paper discusses the style, content and date of the author etc. It also narrates the journey of the manuscript from Kerala to nationwide.

415 Law and Society 17th World Sanskrit Conference, 2018

21. धरमशासमरथशासं च - Law and Society

Śaiva and Dharmaśāstra Treatments of Penance in Comparative Perspective David Brick Yale University (New Haven, CT, USA)

A recent book by R. Sathyanarayanan (Institut Français de Pondichéry 2015) has made available to a broad Indological audience for the first time two digests of Śaivasiddhānta penances and other expiatory rites, both of which rather confusingly bear the identical title Prāyaścittasamuccaya. One of these Prāyaścittasamuccayas, which Sathyanarayanan has critically edited and translated, is a work of the twelfth-century author Trilocanaśiva. The other is a slightly earlier work by Hṛdayaśiva, and there exists an important twelfth-century Newari manuscript of this work, of which Sathyanarayanan gives a complete transcription in a lengthy appendix to his book. Significantly, both of these works are essentially digests or nibandhas in that they are topically arranged compendia of earlier Śaivasiddhānta scriptures on penance rather than original scholastic works per se. Given their forms and especially their contents, they naturally beg comparison with roughly contemporaneous Dharmaśāstra works on the same topic, with which they bear a number of obvious similarities, but also several crucial differences. It is, therefore, the purpose of this paper to highlight certain salient differences and similarities between these two sets of sources on penance in medieval India and to comment on the general extent to which Dharmaśāstra has influenced Śaivasiddhānta treatments of the subject. In this regard, special attention will be drawn to the fact that while Dharmaśāstra sources on penance place a great deal of emphasis on loss of caste (patana) and uniformly regard public penances for publicly known sins as standard, the opposite seems to be true of Śaiva treatments, which overwhelmingly focus on the personal, soteriological aspects of sin and its ritual expiation.

416 17th World Sanskrit Conference, 2018 Law and Society

Politico-Didactic Literature in 19th-Century Nepal: Raṅganātha Pauḍyāla’s Rājavidhānasāra in Comparative Perspective Simon Cubelic Heidelberg University (Heidelberg, Germany)

Throughout the 19th century, the court in Kathmandu commissioned a great variety of political literature—ranging from xenological compendia on foreign state structures and chronicles of English and Chinese monarchs to ‘mirrors for princes’ aimed at the instruction of kings and courtiers—which provide a window into how Indic traditions of political thought evolved in a non-colonial context. The presentation focuses on such politico-didactic literature among which the Sanskrit text Rājavidhānasāra (RVS) (c. 1805–1816), written by Paṇḍitarāja Raṅganātha Pauḍyāla for the education of King Gīrvāṇayuddha Vikrama Śāha, figures prominently. RVS, which has not been critically edited so far, consists of 309 verses which are partly compiled from earlier nīti and rājadharma literature, but also contain Raṅganātha’s original compositions. Whereas the first chapter deals with the different limbs (aṅga) of the kingdom, the second chapter uses a narrative frame of an ideal daily routine to expound the king’s duties. This paper will be divided into two parts: the first part will briefly introduce the textual transmission, content and intertextual references of RVS. The second part relates the text to two other examples of Nepalese politico-didactic literature: the vernacular ‘political testament’ Divyopadeśa, ascribed to King Pṛthvīnārāyaṇa Śāha, and the (unpublished) Sanskrit Dharmanibandha Rājacintāmaṇi of Śrīnātha Śarmā. Thereby, the paper aims to raise questions on how textual genre, language choice and socio-political context shape different political narratives and concepts of political prudence developed within these texts and the role Sanskritic political knowledge played within the intellectual history of political thought in 19th-century Nepal.

417 Law and Society 17th World Sanskrit Conference, 2018

Slaves and Slavery in the Smṛticandrikā Donald Davis University of Texas at Austin (Austin, TX, USA)

This presentation is a study of two chapters dealing with slaves and slavery in the Smṛticandrikā of Devaṇṇabhaṭṭa, a Dharmaśāstra digest from the twelfth or thirteenth century CE. I analyze the dāsanirūpaṇam and dāsaviṣayāni chapters of the text in order to present one author’s understanding of the nature and kinds of slavery according to the dharma tradition. I set this portrayal in the context of historical work on slavery before, during, and after the period of this text and author.

418 17th World Sanskrit Conference, 2018 Law and Society

The Exclusion of Laity From the Buddhist Prātimokṣa Recitation in Pāli and Sanskrit Vinayas Christian Haskett Centre College (Danville, KY, USA)

Of all things Buddhist, one of the most constant and least studied is the uposatha/poṣadha. This is somewhat curious in that we have strong evidence that it has been happening twice a month, purportedly for all fully ordained monks, for at least the last 2300 years. Shared to some extent by the Vedic and Jain traditions, the uposatha centers on the pāṭimokkha/prātimokṣa recitation of over 200 rules regulating monastic life. Buddhist monastic law sets forth a number of provisions dictating various aspects of the uposatha, including a list of those persons who should not be present during its prātimokṣa recitation. This list closely resembles the list of persons who should not be granted upasampada ordination, except that in modern materials, it is expanded to include “laypersons”— and, indeed, only fully ordained monks attend the prātimokṣa today.

This paper examines the exclusion of the laity from the prātimokṣa recitation, and concludes that this prohibition is a later evolution. Considering the Pālī Vinaya text, the Mahāvagga, and the later Sanskrit Mūlasarvāstivādavinayavastu, I suggest that Buddhists used monastic law to create and preserve a unique place for themselves as beneficiaries of lay donors. I contrast the list of excluded attendees with similar lists in the dharmaśāstra literature in order to understand the particular role of Buddhist monks in ancient Indian religious life, as well as the relationship between Pālī-using Buddhist communities and Sanskrit-oriented Brāḥmaṇas. I further argue that this case allows us to understand more specifically how both dharmaśāstra and vinaya law attended to overlapping concerns, while doing so in very different ways, according to different logics, and with different ends in mind.

419 Law and Society 17th World Sanskrit Conference, 2018

Socio-Legal Position of Kalivarjyas and Dharmaśāstra Anagha Vishwas Joshi Savitribai Phule Pune University (Pune, MH, India)

The notion of kalivarjya implies and includes certain practices and customs not to be observed in the Kali age. Certain rules of conduct and certain Smṛtis were of special authority in certain cycles of time. Many prescriptions of the Smṛtis were included under this kalivarjya on the ground that actions at one time prescribed or sanctioned by the śāstra should not be resorted to, if it has become hateful to the people. It was stated by Yājñavalkya (I.156) as well as by Manu (IV.176) also. One finds a number of kalivarjyas such as widow–remarriage (vidhavāvivāha), marriage with maternal uncle’s daughter (mātulakanyāpariṇaya), allowing a larger share to the eldest son (jyeṣṭhāṃśa or uddhāravibhāga), niyoga, etc. The notion of jyeṣṭhāṃśa is closely connected with primogeniture under which special share or provision made for the eldest son. But in the course of time there was revulsion of feeling against giving a special share to the eldest and the Mitākṣarā on Yājñavalkya Smṛti (II.117) quotes a verse stating that niyoga and giving a special share to the eldest son are not allowed in the Kali age. On the other hand marriage with maternal uncle’s daughter still prevails in some caste of Brāhmaṇa especially Deśastha Brāhmaṇa. The customs of a particular country in a particular given period are like a mirror in which the conditions of people of that country are reflected. Again, caste privileges definitely played a significant role in the administration of justice. So a study of the kalivarjyas is of use both to the student of social history as well as to the jurist. It will also help to understand the life of the Hindu community through the ages.

420 17th World Sanskrit Conference, 2018 Law and Society

आतपबननं पं चतनस अपरी कितकारकतनञ- वरतमानराजनीतिसनभे Deepak Kalia University of Delhi (Delhi, India) आतनः पबननम् इति ‘आत-पबननम्’। आङ्ग-भाषायां ‘self-management’ इति कथते। अतातन्-शब: सस वाचकः, न जीवातनः, यत आता नि वि क ा र ः , तस पबननं करतु न शकते। आत-पबननं मानवसावशकम्। जीवने सामाि जक-राजनै तिकाधा तिका दिषु- केतेषु आत-पबननमेव सफलतायाः पधानं साधनम्। पञ-तने पं . वि षु-श रमणा कथादारा कोमल-मतीन् राज-कु म ा र ा न ् पति य उपदेशा: पका शितास् तेऽ पि आत-पबनन एव प रिणमने। तथा हि । अपरी कित-कारक-तने पञच-दश-कथासु काम-कोध-मद-लोभादयो मानवस वि न ा श -कारका इतेतदेवोप दिषम्। एतेषां नि व ा र ण ने ा त -पबननं संभव ति। यथा कपणक-कथायां कथितम्- “कु द षं कु प रि ज ा तं कु श ुतं कु प र ी कि त म ्। तनरेण न करतवं ना पितेनात यत् कृ त म ्।।” वसुतोऽवव सित- चि त ो मानवो लोभ-कोधा दिना वशीभूतोऽ विचा रय –अपरीक – का रय करो ति। इनियादीनां निगहसाभाव एवैतत् संभव ति। अतो नि श य ा ति क य ा बुदा एव का रय करणीयम्। एतदेव बाहणी-नकुल-कथा िशकयित । “अपरीक न कतवर ं, कतवर ं सुपरीिकतम।्। पशाद ् भवित सनापो बाहणा नकुले यथा।।” वतमर ानाया राज-नीतेः सनभर इदमतीव महत-पूण र यत: समूणे िवशऽशे ानःे मूलं कारणं काम-कोध-लोभादयः एव। िहसं ायाः, आतंक-वादस भषाचारस च मुखं कारणमेत एव । अतः वरतमान-राज-नीतेः सनभे आत-पबननस महती आवशकता। यदि काम-कोध-लोभादीन् परितज मानवः मनो- नि ग ह ं इनिय- नि ग ह ं च कु तरया ि ह एव स आत-पब नितः भवितुं शकोति। कौ टिलीयसा- रथ शासस तृतीय-पकरणस इनिय-जय-नामः षषाधायेऽ पि कथितम्-‘ वि द ा - वि न य -हेतु रिनिय-जयः काम- कोध-लोभ-मान-मद हषर-तागातायरः– (1) शीमदगवदीतायामिप शीकृषः िकं -कतवर -िवमूढम ् अजरुनमुपिदशन ् कथयित यद ् इिनय-िनगहं मनो-िनगहं च कृता एव स-कमरणः पालनं कुर। आतन: पबननेनैव मानवाः समूणे जीवने शािन ं सुखं च पापं ु शकुविन। अतः यिद नेतारः राष्-सािमनशािप अस पालनं कुयरःु तिह अवशमेव राष्म ् शािनसुखमुपनतं भवेत।् अस शोधपतसेयमेवावधारणा ।

421 Law and Society 17th World Sanskrit Conference, 2018

The Vedic Sources of the Kāmaśāstra Shaminaj Khan Jawaharlal Nehru University (Delhi, India)

Four Puruṣārthas are considered as the goal of human life in Indian culture. Kāma is one of them along with Dharma, Artha, and Mokṣa. Kāma means an intense desire, love, and sensual pleasure. According to Manu, Kāma is the cause of all the human activities. Hence the lore dealing with Kāma came to be called Kāmaśāstra. The tradition of Kāmaśāstra is rooted in the Vedic literature to which Vātsyāyana also refers his previous lineages in his Kāmasūtra.

The Kāma, in present, is considered as an obstacle on the path of devotion and salvation. However, oppositely the Vedas provide deep accounts and show its importance. The primary purpose of the paper is to present this Vedic perspective on the Kāma. The Kāma in the Vedas is discussed under the process of creation. The fourth verse of Bhāvasūkta [10/129] states the Kᾱma was originated first in creation. This concept and the relation of Kāma with the duality of sex is also supported by Atharva Veda [ 19/ 6 /52/1]. In Śatapatha Brāhmaṇa, the conversation between Vidagdha-śākalya, and Yājñavalkya says the Kāma is as the abode of Brahman. Further with the metaphor of sacrificial fire and ghee, Kāma compared with both sexes. The fourth Brahman of the Bṛhadāraṇyaka Upaniṣad holds the Kāma as the cause of creator of the duality of sex [6/4/2].

This paper will focus on Vedic texts like Ṛgveda, the Atharvaveda, Śatapatha Brāhmaṇa, Chāndogyopaniṣad, and Kᾱmagayatri in Bṛhadāraṇyaka and other Upaniṣads; it will also relay on Kāmagitā in the Mahābhārata and the Arthaśāstra of Kauṭilya, which had become the model of the text of Kᾱmasutra. The paper will widen the scope for further discussions to make a clear perception of Kāma.

422 17th World Sanskrit Conference, 2018 Law and Society

Rejecting Reform and Defending One’s Dharma: The Dharmatattvanirṇaya of Vāsudevaśāstrī Abhyaṅkar (1863-1942) Borayin Larios Heidelberg University (Heidelberg, Germany)

During the social reform movements of this time, the notion of dharma in relation to the changing social reality brought about by the colonial encounter became a central trope for those, either trying to reform or those trying to defend conservative Brahmanical values and practices. This paper focuses on a text entitled the “Settlement on the Principles of Dharma” or Dharmatattvanirṇaya penned by Vāsudevaśāstrī Abhyaṅkar (1863-1942) towards the end of his life. The famous Sanskrit Paṇḍit received the honorific title of Mahāmahopādhāya by the Imperial Government in 1921 for his mastery of traditional Brahmanical lore and in particular of Sanskrit grammar. However, few are familiar with him as a conservative voice of Brahmanical orthodoxy during the social reform movement of Maharashtra in the late 19th Century and early 20th century. Vāsudevaśāstrī Abhyaṅkar composed this text as a defense of the traditional Brahmanical views against those of social reformers who were gaining momentum and ultimately were one of the forces that influenced the social life of Maharashtra and Indian modernity at large. The Dharmatattvanirṇaya presents judgments or authoritative opinions about religious and legal disputes pertaining to the Brahmin community. The topics discussed in this work are precisely those which were hotly debated at that time and caused great social anxiety: casteism, widow remarriage, child marriage, female education, etc. While there was no explicit textual response to this book by the Hindu reformers of his time, their attack on particular issues of Brahmanical practices is implicit in their writings and in their reformist work. The analysis of this modern Dharmaśāstra text will shed light on the processes of negotiation between the orthodoxy and reform of modern Hinduism in Maharashtra.

423 Law and Society 17th World Sanskrit Conference, 2018

The Staff and the Law: Chronology and Intertextuality in Early Arthaśāstra and Dharmaśāstra Mark McClish Northwestern University

The period between Second Urbanization and the rise of the Guptas saw the emergence of the closely related traditions of statecraft (nīti/arthaśāstra) and law (dharmaśāstra). The textual history of these two traditions is closely intertwined. The are typically put in the following chronological order Arthaśāstra (4th c. BCE with later additions), Āpastamba (3rd c. BCE), Gautama (early 2nd c. BCE); Baudhāyana (late 2nd c. BCE); Vasiṣṭha (1st c. BCE); Manu (2nd c. CE) (Kangle 1965: 59ff.; Olivelle 2010: 57). To my knowledge, there has been no general study of the chronology of the Dharmasūtras since Olivelle’s (2000) introduction to his translation of the Dharmasūtras, and it has been much longer since the chronology of the nīti and dharmaśāstra texts has been mutually explored. A few new factors call for a reevaluation. To begin with, it is now clear that all of these treatises are what Witzel (2009) has called ‘moving targets’, texts produced over a long period of time, with compositional histories probably spanning centuries. Second, and following on this, my research (McClish 2009; forthcoming) suggests that the Arthaśāstra was composed in two major phases: an original composition (probably called the Daṇḍanīti) (ca. 1st c. BCE) and a redaction (ca. 3rd c. CE). Finally, we have an enhanced appreciation of the intertextuality prevailing between these texts. I have already shown that the Manusmṛti drew heavily from the Arthaśāstra before its redaction (McClish 2102; 2014) and believe it is possible now to demonstrate that the Gautama Dharmasūtra underwent an expansion for which the Daṇḍanīti may also have been a source. This paper will propose a new relative chronology for the nīti and dharmaśāstra traditions, drawing attention to the currents of influence that have passed between them.

424 17th World Sanskrit Conference, 2018 Law and Society

मनुसृतौ शूदाणां सामा जिकी सि ि त ः Tek Chand Meena University of Delhi (Delhi, India) सृ तिगनाः काल विशेषस सामाि जकाचारववहाराणां पतिपादकाः वि द न । े मनुसृता पि ततालीन–सामाजाय नि य म नि ा ररध ण ं वि हि त म । ् मनुसृतौ शूदसन रभविषये अनेकाः अवधारणाः वि द न । े के च न मनुसृतौ पकेपाः (पृ.१३) मनने, अने पतिपादयनि यत् कस चित रगविशेषेन सलाभाय शूदाणां कृ त े नि य म ा ः वि हि त ा ः इति। अपरे शूदशासकाः अतन शकियुकाः आसन् एतेषां हासाय मनुसृतौ शूद विरद नियमाः कृ त ा ः इति मनने। (पृ.१०२) मनुसृतौ शूदस वि ष य े यत् पतिपादितं तस नि ष क त य ा अधयनम् अपे कितं वरतते। शोधपतसास गनाधार वरतमान पच लित वजजीवन–पाचभारती–गनमाला मनुसृ तिः (चौखमा सं सृ त पति षान, दि ल ी सं सरणं २०११) वरतते। मनुसृतौ वरण–ववसा यद पि लोक विवृद रथ पतिपादिता| (मनु. १.३१) तथा पि तत शूदाणां या सामाि जकी सि ि त ः तत पुरतः सषीभव ति तया सृतेरसाः लोक विवृ दिकामना सनेहपदं पापोित। मनुसतौृ शूदाणा ं सामािजकी ंिसितम ् अवगनं ु तेषा ं तत पितपािदतािन ववसायसंसारादीिन अधोऽवलोकनीया नि – (क) शूदाणां करमववसायादीनि सि ि त ः – मनुसृतनुसारं शूदाणां सा तिरिकव रणतयशुशूषारपम् एकमेव करम पभुणा नि ा रध रि त म । ् (ततैव १.९१) एतदेव तेषां तपसेना पि नैशेयसध रमतेन च तताङीकृतम्। (ततैव १०.३३४) अपरत सिृ तः एषा एव सेवा ं शविृ ततने | ( ततवै ४.६) कथयित। वसतःु एतेनैव मनुसिृ तदषा शूदाणा ं सामािजकी दरु विसितः सषीभव ति। यदि शूदः दि ज ा त ी न ा ं सेवां करतुमशको भवेत् तसै शि ल क म र भि ः जी विकोपा रजनं मनुसृता अनुमनते। (ततैव १०.९९) परम ् एतदिप न शूदाणा ं सेवातो मुिक ं िनिशनोित यतः एतैरिप िशलािदकमिर भः वसतःु िदजनानः एव शूशषू न।े (ततैव १०.१००) यद पि पतीयते यत् एषा सेवा वृ तिविहीना मनुसमता ना सि यतः राजा तद रथ पात हिकवृ तिविधानं तत वि हि त म । ् (ततैव ७.१२५) न के व लं एतावदेव अपितु यदि सेवावृ तिपरो जनः दी रघका लिकावकाशाय गच ति तदा पि तस वृ तिहा नि रन मनुना अनुमनते। (ततैव ८.२१६) परम ततैव सृतौ एवं पतिपादितं तत् कसिनपि वसु नि तस अधिकार एव नैवासीत्। ते तस दासाः तेषां यति मपि तततामिनः एव। (ततैव ८.४१६–१७) इतं शोधपतेऽ सिन् मनुसृतनुसारं शूदाणां धा मिक–राजनै तिक–नैया यिक–आथि क– सि त ी न ा ं पतिपादनं करिषते।

425 Law and Society 17th World Sanskrit Conference, 2018

Kauṭilya’s Taxation Model and Its Relevance to the Present Global Economic Scenario Ranjeet Kumar Mishra University of Delhi (Delhi, India)

Kauṭilya’s Arthaśāstra is the oldest and the first comprehensive work on economic model of a state that balances the twin objectives of economic viability and social responsibility. It is a remarkable contribution to the Indian intellectual tradition and is now as relevant as it was in ancient India. The Arthaśāstra is an ancient Indian treatise on statecraft, economic policy, and military strategy, written in Sanskrit by Kauṭilya alias Viṣnugupta or Cāṇakya, the mentor of Emperor Candragupta Maurya (the 4th Century BCE).

Detailed explanations on the theoretical and practical ways of running an organized administration and economy of the State can be found in it. Though the book is a very detailed study of almost all aspect of economics and dharma, throwing light on every aspect that is beyond the scope of the present study. Present work focuses only on the classification of taxes and the concept of accountability of the tax collector, as proposed by Kauṭilya, and makes an attempt to highlight their relevance in the contemporary scenario. Taxes were classified in to several classes/categories such as Land Revenue, Irrigation Tax, Excise & Custom Duties, Road Tax and Escort Charges, Sales Tax, Income Tax and Tax Rebate system. Even reference to the recent Goods & Services Tax (GST) can be located in the Arthaśāstra. In our opinion, the study of the Arthaśātra, in light of the present global economic challenges, can help the world community to find alternate solutions that may help us to develop a more robust economic model.

The paper deals with these subjects and highlights how relevant these issues are in the era of modern economy and contemporary global challenges.

426 17th World Sanskrit Conference, 2018 Law and Society

HER Story, HIS Voice: Exclusion, Marginalization and Gendering of Women in Pañcatantra Ananya Mitra Basanti Devi College (Kolkata, WB, India)

This paper aims to examine the exclusion, marginalization and gendering of women characters through textual and narrative analysis, portrayed in the Pañcatantra from a feminist perspective. Historically, feminist perspectives have emerged as primary analytical tools that explicitly acknowledge and unearth the experiences of women. Mikkola (2008) proposed that feminists have not only conceived ‘woman’ in relation to biological sex, but also in relation to gender which is predominantly determined by social and cultural factors. According to Brodbeck and Black (2007), in the context of Sanskrit narrative literature, ’gender’ and ‘gender roles’ should be conceived as a central and contested issue of enquiry. However, in India, research in Sanskrit narrative literature addressing gender as an object of investigation is still in its nascent stage. Particularly in the interpretation of the Pañcatantra, this research methodology has never been implemented till date. Through the characterization of women, this paper investigates their disapproved social position in context of the Pañcatantra, where the women are “secondary” and epitomize the negative traits of human desire. Analyzing the Pañcatantra’s representation of women, both in human and non-human forms, I find that the precarious position of the existence of women as a social entity in a thoroughly patriarchal society is exploited. Moreover, the narrative excludes women by disregarding their presence in experiences and living compared to their male counterparts. Apart from this categorical elimination, women were also marginalized, which is a form of social exclusion. Drawing significant examples from Pañcatantra, this paper thus illustrates the social construction of gender through text, leading to the inference that in context of spatio-temporal dimension of the narrative, not only were the voices of women silenced but they were also denied social status and identity, reinstating their gender role.

427 Law and Society 17th World Sanskrit Conference, 2018

Revisiting the Āśrama System: A New Hypothesis of Its Origin Patrick Olivelle University of Texas at Austin (Austin, TX, USA)

In my 1993 book on the āśrama system, I advanced a hypothesis with regard to the theologians who invented the system. I took them to be “liberal” thinkers who looked favorably upon the emerging ascetic institutions and wanted to find a theological structure for including them within the mainstream Brahmanical dharma. The newly discovered history of the crucial term gṛhastha permits us to go further than my earlier hypothesis. Given that gṛhastha is a brand new term within the Brahmanical literature, making its first appearance in the Dharmasūtras, and given the term’s close association with wandering ascetics (pravrajita) in the Aśokan inscriptions and other literature composed in Middle Indic languages, my paper will present a new hypothesis for the emergence of the āśrama system, and, at the same time, for the creation of a new genre of literature, the Dharmaśāstra.

428 17th World Sanskrit Conference, 2018 Law and Society

Ahilyā Kāmadhenu: A Rare Manuscript on Dharmaśāstra Vijay Vishwanath Rajopadhyay Daly College (Indore, MP, India)

The Ms. Ahilyā Kāmadhenu, which is now in my possession, forms the basis of the edition under preparation. The Ms. seems to be the personal copy of Ahilya Bai Holkar (1725-1795), a ruler of Maheshwar (Madhya Pradesh) as it is evident from the inscription on the wooden cover of the Vratavatsa chapter. The Ms. was compiled by Khushaliram Bhatta during the period of Ahilya Bai. The contents of the Ms. is Dharmaśāstra. The Ahilyā Kāmadhenu is divided into eight “vatsas” (chapters): (1) Vrata (2) Dāna (3) Saṃskāra (4) Gotrapravaranirṇaya (5) Śrāddhanirṇaya (6) Gṛhavastuvidhāna (7) Jalāśaya namotsaṅga (8) Devapratiṣṭha.

While nibandhas (digests) are ordinarily found divided into twelve chapters, Khushaliram wrote the Kāmadhenu in eight vatsas. But no writer, whether his work is divided in prakāśas, kautubhas, ratnākaras or mayūkhas, can afford to ignore the section on nīti or vyavahāra. Khushaliram is a glaring exception to the convention: why did he ignore nīti even within his 8 chapters? The reason is not far to seek. Most nibandha writers functioned as ministers of peace and war of feudatory chiefs. They had royal authority to compile digest for the convenience of their masters. These digests on law and policy were approved and utilized for the practical purposes in their respective states. Khushaliram was not confident that any code on nīti and vyavahāra that he were to write would be approved. Rather, Khushaliram was merely a reciter of purāṇas, and was not authorized by Ahilya Bai to compile codes. It was therefore justified on his part to compile codes only on those subjects which needed no sanction of authority from his patron. The main emphasis in this paper is given to the Vratavatsa mentioned in the Ms., and its social impact from 17th century to the present day in India.

429 Law and Society 17th World Sanskrit Conference, 2018

Tantrayukti: Indian Theory of Discourse, Constitution and Analysis, with Reference to Kauṭilya’s Arthaśāstra Deepak Sahu Jawaharlal Nehru University (Delhi, India)

The Kauṭilīyārthaśātra is an ancient Indian work on polity and statecraft. There are total 15 Adhikaraṇas, 150 chapters, 180 Prakaraṇas, and six thousand stanzas (Śloka) in the Kauṭilīyārthaśātra. A total of 32 Tantrayuktis have been explained in the 15th Adhikaraṇa of Arthaśāstra. These Tantrayuktis have been taken from the philosophical system such as Nyāya, Sāṅkhya, Lokayata by Kauṭilya. The meaning of the word Tantrayukti is “Tantram arthśāstram tadāśrita yuktyobhidho yat iti sutrārthah”. The word Tantrayukti has two constituents normally ‘Tantra’ and ‘Yukti’. The former means a science or a scientific treatise and the word Yukti means ‘a means or a device’, and thus the constituents together are: a means or device of composing a scientific treatise.

Before the Kauṭilya’s Arthaśāstra, these Tantrauktis are also found in Carakasaṃhitā, Suśrutasaṃhitā, and Viṣṇudharmottarapurāṇa. Bhaṭṭāra Harichandra has described 40 Tantrayuktis, Caraka proposed 36 Tantrayuktis and Suśruta and Viṣṇudharmottarapurāṇa has discussed about 32 Tantrayuktis. The relevance of Tantrayuktis of Kauṭilya in today’s world is that by using these Tantrayuktis anyone can study and understand the ancient Sanskrit manuscripts easily and any new researcher can produce its research work easily. There is a corpus of study and research work on Arthaśāstra but only W. K. Lele has worked on Tantrayuktis. However, there are no works on the Anuprayogas (applications) of Tantryuktis till now. My proposed research work plans to study the analytical and comparative study of 15th Adhikaraṇa of Arthśāstra. My study will try to look at various aspects of the use of Tantrayuktis. It will focus on how the proposed study on Tantrayuktis will help to understand the ancient Sanskrit manuscripts. The main purpose of this study is to provide a simpler and easier way of Kauṭilya’s work in form of Anuprayoga.

430 17th World Sanskrit Conference, 2018 Law and Society

Does Institutionalization Cool the Fire of Ascetic Discipline? A Case Study Examining an Indian Buddhist Legal Code for Evidence of Begging in the Monastic Context Nicholas Witkowski University of Tokyo (Tokyo, Japan)

Over the past few decades, scholars of Indian Buddhism, such as Gregory Schopen, have attempted to overturn the notion that the activities of Buddhist renouncers were genuinely ascetic. Schopen’s argument is that pretensions to ascetic practice in the Indian Buddhist scriptures were essentially rhetorical in nature, and that these narratives belied an institutional reality, in which so-called renouncers lived in relative luxury, abiding in monasteries that were architecturally splendid, which sported manicured gardens and beautiful murals, and, perhaps, most pointedly, which were filled with food brought by loyal lay patrons.

In this paper, I will focus on just one of the questions Schopen’s analysis raises: did Buddhist renouncers wholly abandon the iconic ascetic practice of daily begging for one’s food (piṇḍapāta) once they had been integrated into the mature monastic institution? I will turn to a recently published Buddhist law code (vinaya) largely unfamiliar to Indology, the Sanskrit version of the Abhisamācārikā Dharmāḥ (of the Mahāsāṃghika-Lokottaravādin school), to examine the question of whether the ascetic practice of begging for one’s food remained in force in this monastic context. In contrast to the rhetorical style of sutra literature, the Abhisamācārikā Dharmāḥ law code is incomparable for the frankness and specificity with which it treats the daily life of Indian Buddhist renouncers. In this presentation, applying a method I call a literary anthropology, I hope to clarify what it meant to observe the ascetic precept of begging for one’s food, and the extent to which integration into the monastic institution tempered the ascetic enthusiasms of these Buddhist renouncers. The claim that the institutionalization of the renouncer leads to an abandonment of ascetic enthusiasms has implications not only for Indian Buddhist Studies, but for our conceptions of the ancient Indian ascetic, across a range of religious contexts.

431 Yoga and Āyurveda 17th World Sanskrit Conference, 2018

22. योगायुवेदौ - Yoga and Āyurveda

On a List of Sixty-Four Yoga Powers in Śaiva Purāṇic Literature Christèle Barois University of Vienna (Vienna, Austria)

A list sixty-four supernatural powers, referred to as catuṣṣaṣtiguṇa-s and aiśvarya, is found in three different chapters on Śaivayoga from Purāṇic literature: the Vāyavīyasaṃhitā II.29, the Liṅgapurāṇa I.9, and the Māheśvarakhaṇḍa (Skandapurāṇa) I.2.55. In the Vāyavīyasaṃhitā, as in the Liṅgapurāṇa this list is situated after the definitions of ten antarāyas, obstacles, and six upasargas, (negative) effects that indicate closeness to success. In all three chapters, the sixty-four guṇas are similarly classified by series of eight “qualities”, every level including the qualities of the preceding ones (as in certain Sāṃkhya expositions). Many of these sixty-four yogic powers are mentioned in other texts, starting with the Yogabhāṣya, but never in these texts are they organized in a systematic way as they are here. This paper introduces the yogic process concerned with the acquisition of these powers, and examines how the “sixty-four guṇa-s” are referred to in Śaiva literature.

432 17th World Sanskrit Conference, 2018 Yoga and Āyurveda

The Haṭhābhyāsapaddhati: A Manual on the Practice of Haṭhayoga Jason Birch SOAS University of London (London, England)

This paper will examine an eighteenth-century Sanskrit yoga text called the Haṭhābhyāsapaddhati. Although this work covers the usual topics of a premodern Haṭhayoga text, it is the only known text that describes complex sequences of dynamic postures (āsana), which are said to result in bodily strength (śārīradārḍhya). Unlike earlier yoga texts, many of these āsanas require repetitive movement and some of them are done with props, such as ropes and a wall. It is likely that this tradition of Haṭhayoga was influenced by premodern traditions of asceticism and martial arts.

This paper will focus on the one known manuscript of this work, as well as its title, author, region, date and trans-sectarian features. It will also look briefly at the content of the sections that follow āsana, namely, the ṣaṭkarma, prāṇāyāma and ten mudrās. For example, there is unprecedented information on the types of hut (maṭha), suitable food and the practice of vajroli mudrā, which is extraordinary for outlining the use of probes (śalāka), tubes (nalikā) and herbal decoctions that are sucked into the bladder to heal various illnesses. The paper will conclude by considering the question of the text’s intended audience and its place in the broader history of medieval yoga.

433 Yoga and Āyurveda 17th World Sanskrit Conference, 2018

“A Church by Daylight”: Reviewing the Position of Yoga in Early Indian Doxography Karl-Stéphan Bouthillette Ludwig Maximilian University of Munich (Munich, Germany)

For the historian of ideas, an invaluable source of information about the state of Indian philosophy, in its various stages of development, lies in the testimonies provided by the many philosophical compendia, often called doxographies, composed by various competing schools. Little studied, for they have generally been accused of lacking in historical perspective and doctrinal accuracy, these texts nevertheless allow one to get a sense of the main points of contention attributed to different competing groups by their opponents, and the rhetorical, if not philosophical, strategies to counter their soteriological claims. Indeed, though dialectical in nature, and thus assessing and professing different viewpoints, these compositions attest of a shared understanding of what may disqualify a given doctrinal vessel regarding the ultimate purpose of religious life. In a paper dedicated to the beginning of doxography in India, Olle Qvarnström argued that one common denominator of such writings is to be found in their “lack of criticism directed towards yoga”. This paper will verify his assessment, particularly in the earliest Sanskrit doxography available, the Madhyamakahṛdayakārikā of Bhāviveka, arguing that, depending on how one understands ‘yoga’, it’s criticism can indeed be found in early doxographies, but maybe not where it is expected. The information provided by such an early witness, once acknowledged, sheds valuable light on early reactions to an emerging religious phenomenon. With all due respect to the fastidious work of research, it is no novelty that the expert’s knowledge may misguide him, by times, in trusting in the obvious, as in the Shakespearian ability to see a church by daylight. Acknowledging the shoulders of giants on which they stand, new generations do well to investigate what lies in the shadow these sacred cathedrals casted.

434 17th World Sanskrit Conference, 2018 Yoga and Āyurveda

The Yogārṇava: An Unpublished Compendium on Yoga S.V.B.K.V. Gupta EFEO Pondicherry, SOAS University of London (Pondicherry, TN, India)

The Yogārṇava (“Ocean of Yoga”) is an unpublished compendium on yoga, consisting of eight chapters and approximately “seven fifty” ślokas. It is reported in Madras University’s New Catalogus Catalogorum, which lists nearly a dozen manuscripts in south-Indian libraries, with the exception of two (not consulted by me) at Sampūrṇānanda Library, Varanasi. This suggests that the Yogārṇava is a south-Indian work, but the exact date, region and name of the author remains a mystery (at this stage). The situation is not helped by the fact that this work is not mentioned at all, let alone discussed, by any modern scholar of yoga. My talk will provide a brief overview of the content of this fascinating compendium. The third chapter presents a system of Aṣṭāṅgayoga, which is almost identical to that of two texts, which are related to one another, the Vasiṣṭhasaṃhitā and the Yogayājñavalkya. I shall argue that the author of the Yogārṇava borrowed from the Yogayājñavalkya, which means that he/she lived after the fourteenth century. Nonetheless, other sources were used for the Yogārṇava, as its chapters cover many diverse topics, such as the five upaniṣadic kośas and the development of the fetus. Also, the usual metaphysics of yoga, such as Nāḍīs and Cakras, are described at length, suggesting the use of earlier Tantras as a source, rather than the early Haṭhayoga texts, which cover these topics in less detail. I will conclude the talk by comparing the Yogārṇava with other compendiums on yoga, which have been dated between the 16th and 18th centuries. These include Śivānanda’s Yogacintāmaṇi, Bhavadevamiśra’s Yuktabhavadeva, Sundaradeva’s Haṭhatattvakaumudī and so forth. Working from two manuscripts of the Yogārṇava, I hope to present my preliminary efforts at creating a critical edition of this work.

435 Yoga and Āyurveda 17th World Sanskrit Conference, 2018

Reflections on the Distinctive Nature of the Four Stages of Cognitive Samādhis in Patañjali’s Yoga Sūtra Vinayachandra Banavathy Kalyanasundaram Veda Vijnana Shodha Samsthanam

In the Yoga Sūtra text, Patañjali categorizes two types of Samādhis – Saṃprajñāta and Asaṃprajñāta. Both being higher states of consciousness (HSC), the former is associated with the presence of object of cognition (and hence can be designated as Cognitive Samādhi), while the latter transcends such a condition. The Saṃprajñāta Samādhi is said to have four distinct levels within it’s fold based on the ālambana (support) with which the mind identifies itself. They are viz. Vitarka, Vicāra, Ᾱnanda and Asmitā. The main purpose of this paper is to explore these stages of Cognitive Samādhis wherein the practitioner moves from gross to subtle forms of identification with Prakṛti. Vyāsa in his commentary mentions that the Vitarka stage includes all of the subsequent forms highlighting the fact that a lower degree of identification involves higher forms of identifications as well. In addition to Yoga Sūtras, the paper will also document the descriptions provided by Vyāsa, Vijñāa Bhikṣu, and Vācaspati Miśra to have a comprehensive understanding of each of these stages of Cognitive Samādhis and their subtle distinctions, which is at the heart of Patañjali’s schema in realizing one’s highest state of uncompromising identity as a Puruṣa. The exploration of these stages is extremely important on two counts – first, there exists a growing interest on this issue on account of popularity of Yoga and secondly a critical glance at available literature on Yoga reveals that there is a great deal of confusion.

436 17th World Sanskrit Conference, 2018 Yoga and Āyurveda

The Paradigm of Medical Activity in Āyurveda as It Is in Caraka Saṃhitā Nataliya Kanaeva National Research University Higher School of Economics (Moscow, Russia)

In his book, Asceticism and Healing in Ancient India (1998), K.G. Zysk wrote about strong influence of Buddhists on the paradigm of āyurveda. I argue that we can identify in āyurvedic texts one more very important determinant of the paradigm of medical activity - the paradigm of Sanskrit learning (or scholarship). I use as arguments some cognitive principles and concepts of the methods contained in Caraka Saṃhitā: an inclusion of the extensive methodological passages, mythologem of permanent Veda, the methods of definition and classifications, and such concepts as 36 tantrayukti - ways of presenting doctrine to the disciples, and pramāṇas - tools for reliable knowledge. They all demonstrate the influence of cognitive principles produced in the non-Buddhist vedico-brahmanical culture, in brahmanical schools of ritualists, grammarians and philosophers.

437 Yoga and Āyurveda 17th World Sanskrit Conference, 2018

Svādhīna Sañjīvanam: A 20th Century Work on Yoga K. S. Kannan Infinity Foundation India (Chennai, TN, India)

Svādhīna Sañjīvanam is a mid-20th century work on Yoga/Tantra designed for inner spiritual growth. Consisting of a little less than 200 verses in chaste Sanskrit (inclusive of a few corroborative verses culled from upaniṣadic/allied literature) and a prefatory prose passage running to no more than half a dozen pages, the work sets forth a somewhat novel theory-cum-practice schema designed to help spiritual aspirants. The author Raghavendracharya, as well as the work, have remained obscure as the work was printed only in Kannada script. The philosophy that the work embodies was “earned with inordinate efforts,” asserts the author, vouchsafing at the same time that it has stood in good stead in his own personal life, and exuding confidence that it will help others in the attainment of a sound health such as is conducive to the attainment of their supreme dharma. The author seems to have absorbed key precepts of the practice of Yoga, as also certain principal tenets of Dvaita and Advaita philosophies. He has carefully and skilfully steered clear of worthless controversies by choosing to dwell on little else than quintessential issues, as too, making an apt choice of words, and pressing into service a style easily reminiscent of a Madhva or a Vidyāraṇya. Setting forth an effortless practice of a “wordless” mantra, he delineates the logical process of the natural coalescence of the ways of karman, bhakti, and jñāna. Dependent upon no external appurtenances or observations of strict regulations that most rites insist upon, the method he posits is one fit alike for practice by all—immaterial of time and place, or age and gender. The paper studies this little known work against some standard texts/ approaches in Yoga and Tantra.

438 17th World Sanskrit Conference, 2018 Yoga and Āyurveda

The Gorakṣayogaśāstra: An Early Text of Haṭhayoga Nils Jacob Liersch Heidelberg University (Heidelberg, Germany)

The Gorakṣayogaśāstra (GYŚ) is a rarely studied Sanskrit text preserved by a single manuscript in Nevārī script. The manuscript can be dated to the beginning of the 15th century on grounds of its paleographic features. The terminus a quo of the text is the Amṛtasiddhi (AS), from which it borrows several verses and paraphrases its contents extensively. Therefore, the GYŚ was probably composed sometime between the twelfth and fifteenth century. The GYŚ is an important work on yoga because it borrows some of the bindu-oriented teachings from the AS which was a Buddhist Tantra, and adapts them for a Śaiva audience. According to the colophon, a second hand attributed the text to Gorakṣa, the great yogin and legendary founder of the Nāth Sampradāya, while clear evidence for its original title remains unknown. The GYŚ seems to represent a second formative stage in the development of haṭhayoga, bearing in mind that its author does not explicitly use this name. Like the Amaraughaprabodha, which also borrows from the AS (Bouy 1994), the GYŚ formulated a condensed system of practice in 65 verses, with relatively little theory and sectarian doctrine in comparison to its main source, the AS. The contents of the GYŚ show close affinities to śaiva tantric thought and practices. Thus the GYŚ reveals an interesting transition from the formative phase of haṭhayoga traditions to the more trans-sectarian works that culminated in the Haṭhapradīpikā. The historical importance of the GYŚ is affirmed (in Bengal at least) by the fact that the Prāṇatoṣinītantra quotes extensively from it. This talk will present the most important findings of my current research on the GYŚ, which involves the preparation of a diplomatic and critical edition and will focus on what the GYŚ borrowed from the AS to show how it synthesised this material with metaphysics and practices from other traditions of yoga.

439 Yoga and Āyurveda 17th World Sanskrit Conference, 2018

Bandhas in Sanskrit Texts on Haṭhayoga SOAS University of London (London, England)

Of the many different techniques that characterise the haṭha method of yoga practice, it is the bandhas or locks that are most commonly taught. Bandhas are physical means of controlling the body’s vital energies, most commonly the breath, but also bindu (semen) and Kuṇḍalinī. They involve practices such as contracting the perineal region, pressing the chin on the chest, and drawing the abdomen inwards. Oblique references to some such techniques are found in sources predating the haṭha corpus, such as the Bhāgavatapurāṇa, Śaiva tantric works, and tantric exegesis, but they are first clearly taught in the Amṛtasiddhi, an unpublished c. 11th-century tantric Buddhist work which is the source of many of the practices and principles taught in subsequent haṭha texts. The Amṛtasiddhi teaches a detailed and complex yogic physiology on which act its three primary techniques. These are called mahāmudrā, mahābandha and mahāvedha, and they force the breath to enter the central channel and rise upwards, taking bindu with it. Some subsequent haṭha texts teach some or all these practices, others teach some or all of a different triad of locks, mūlabandha, uḍḍiyānabandha and jālandharabandha, and some works, such as the Dattātreyayogaśāstra, Śivasaṃhitā and Haṭhapradīpikā, striving for comprehensiveness, teach all six despite considerable overlaps in their methods of practice.

This paper will present an overview of the teachings on the bandhas in the haṭha corpus, briefly summarising their methods and aims, and, by analysing the distribution of teachings on these practices and the texts in which they are taught, draw conclusions about the sectarian lineages of haṭha practice (noting in particular the importance of tantric Buddhism and Kaula Śaivism), and the change over time in style of texts of the haṭha corpus from sectarian works teaching specific and coherent methods of practice to more comprehensive and syncretic ecumenical works.

440 17th World Sanskrit Conference, 2018 Yoga and Āyurveda

Yoga Fundamentalism: Drawing Tacit Threads Together Patrick McCartney Kyoto University

From within the global wellness industry, this critical/suspicious explication focuses on drawing together the tacit social, political and theological parameters involved in creating unlikely alliances between global yogis and Hindu supremacists. The commodification of yoga rarefies utopian- inspired, yoga-related memes to widen symbolic valency. This leads to porous boundaries across the heterotopic spaces within Yogaland’s social imaginary consumption-scape. It also allows for seemingly incommensurable worlds to merge, which can potentially lead to unsuspecting global yogis, who turn to yoga through the anti-modern impulse to learn more about the wellness benefits of yoga-inspired lifestyles, to become unwitting supporters of Hindutva. While not suggesting a conspiratorial cabal, in a seemingly independent, yet commensalic way, this occurs through shared reliance on the Sanskrit episteme and the Romantic-Orientalist-colonial-imagined, utopian-inspired narratives that are promoted by the global yoga industry, the Indian government’s ministries of tourism, health and yoga, and the Hindutva Parivar, which promote either a “yogic” or “Vedic way of life” as superior to all other lifestyles. Yet, we do not seem to consider the implications related to how global yogis, who take their leisure/lifestyle seriously, imbibe dogmatically theological propositions related to the history and authenticity of yoga, which has real world implications for marginalized groups in India. Therefore, who, or what, is a yoga fundamentalist? And, why should we care?

441 Yoga and Āyurveda 17th World Sanskrit Conference, 2018

Fragments of Medical Texts From Buddhist Manuscript Finds Gudrun Melzer Ludwig Maximilian University of Munich (Munich, Germany)

Collections of early Buddhist manuscripts from various places (Central Asia, Gilgit, Afghanistan) also include traces of medical or related texts. Most of these fragments have not yet received much attention. They belong to different periods (up to the eighth century) and are often difficult to identify. In the presentation, several of these fragments will be introduced along with their problems and their contexts.

442 17th World Sanskrit Conference, 2018 Yoga and Āyurveda

Can Kings Be Yogis? Readings From the Mokṣadharmaparvan Valters Negribs University of Oxford (Oxford, England)

Kings, by virtue of being householders, would normally be excluded from the realm of yoga. The renouncer/householder dichotomy is considered to be one of the key organising principles in ancient Indian religions. However, this opposition is blurred in the Sanskrit epics, especially in the Mokṣadharmaparvan of the Mahābhārata which contains extensive, if unsystematic, discussions of ascetic ideas and practices. While most known classical yoga literature was written by and for the use of full-time religious specialists, the epics appear to cater to a Kṣatriya perspective. Kings are the main protagonists of the epics and, arguably, royal courts were the proper place of their transmission.

In this paper I will present a close reading of select passages from the Mokṣadharmaparvan of the Mahābhārata to investigate (a) how ascetic teachings and practices are made relevant for kings, and (b) how ascetic and royal values are negotiated. This will be achieved by focusing not just on the teachings themselves but also on their narrative context. I will demonstrate that among recurring themes in this material are the following ideas: (1) ascetic practices can remedy the suffering experienced by a king, (2) ascetic practices can help a king to obtain proper resolve and concentration which is necessary to exercise sovereign power at critical moments, and (3) kings and ascetics share the same values and ideals such as freedom, bliss, and self-control. Such an enquiry opens up new ways for thinking about the history of yoga and its development in relation to Kṣatriya interests and values.

443 Yoga and Āyurveda 17th World Sanskrit Conference, 2018

Pratipakṣabhāvanā: Yoga as the Path of Cultivation of the Counterstates Karen O’Brien-Kop SOAS University of London (London, England)

This paper explores how the discourse of the Pātañjalayogaśāstra overlaps with that of three interlinked books of the Buddhist Yogācārabhūmiśāstra. I consider how the texts share a view of yoga discipline as a systematized set of counterstates (pratipakṣa) to “obstacles”. By comparing selected passages and drawing on conceptual metaphor theory, I argue that the binary model of states/ counterstates is central to the interlocking soteriologies of Pātañjala yoga and Buddhist yogācāra in the 4th century CE. This paradigm of practice is constructed using four distinct root metaphors that scaffold the conceptualization of how to remove the kleśas (afflictions). The generative contexts for these metaphors are: antidote, path, vision, and purification. As a conceptual paradigm, pratipakṣabhāvanā is part of the broader image-schema of bhāvanā (cultivation).

444 17th World Sanskrit Conference, 2018 Yoga and Āyurveda

Prognostication by Breath in Yoga: Analysis of the Vasiṣṭhasaṃhitā (Yogakāṇḍa, Chapter 7) Lubomír Ondračka Charles University (Prague, Czech Republic)

Vasiṣṭhasaṃhitā (Yogakāṇḍa) is an early yoga text, written approximately in the 13th century. It consists of eight chapters and has more than five hundred verses in which various haṭhayogic techniques are described. It is a rather conservative, smārta-oriented work, without explicit tantric elements. Vasiṣṭhasaṃhitā is a very important source of evidence for our understanding of early haṭhayoga. So far, however, it has been overlooked what its main topic actually is: about 40 percent of the text deal with death. The greater part of the fifth chapter describes various ways of breathing leading to the recognition of the moment of death, the sixth chapter offers means for overcoming death, the seventh chapter deals again with the prediction of the moment of death based on observing the breath, and the final eighth chapter describes various signs of death.

In my presentation, I will first analyse the seventh chapter of the Vasiṣṭhasaṃhitā. In this analysis I will concentrate on its connections between breath, astronomy and death. I will dispute the editor’s claim that this chapter deals only with astrology and astronomy and thus has “the least connection with the subject matter of yoga”. I will argue for the opposite view, namely, that the teaching of this chapter is extremely relevant for yoga practice. Then I will discuss the contents of the seventh chapter in a wider context of Indian religious literature. I will identify possible sources and parallel passages in other Sanskrit texts. I hope to demonstrate that prognostication by breath has been an important subject in Indian religious practice since early times.

445 Yoga and Āyurveda 17th World Sanskrit Conference, 2018

Yoga, Vedānta and South India Gerald Penn University of Toronto (Toronto, ON, Canada)

The modern dilemma concerning the relation between Vedānta and the philosophy espoused in Patañjali’s Yogasūtras (PYS) is often cast in terms of legitimacy, both of the historical importance of the PYS, and of particular modern schools of instruction. It is also ruthlessly dichotomized: treatises either accept their irreconcilability or subversively blend the two. But there is a third, intermediate option: “subordination,” in which a theological school accepts the philosophical stance of the PYS as non-commensurate with Vedānta, and yet pedagogically useful as an approximation of it. Interestingly, this option is historically attested. The Aparokṣānubhūtidīpikā of Vidyāraṇya (14th c.), the former pontiff of the Sringeri Maṭh, concedes that both haṭha yoga and Patañjali’s aṣṭāṅga yoga are useful for those having difficulty in advancing through the 15-limbed practice of aparokṣānubhūti (verse 143), but nevertheless asserts that the latter is exceptional as the doorway to realizing the unity of the self with Brahman (144).

Even more interesting is that this view lives on today in Sringeri, through the existence of a tradition of PYS study and oral recitation, along with the same pedagogical subordination of its contents to advaita Vedānta. Although there is no attested claim of transmission dating back to Śrī Vidyāraṇya, the PYS does emerge elsewhere in the development of southern advaitic thought. This evidence further elucidates the role of South India in the evolution of the PYS as an important liturgical tradition that preserves an understanding of yoga philosophy. White (2014) pursues the genesis of T. Krishnamacharya’s earliest teachings into a handful of primarily Vedāntic South Indian philosophical sources, but simply refuses to accept that oral recitation of the PYS by Krishnamacharya’s students is anything other than a spontaneous innovation. In fact, an earlier oral tradition did exist, and Krishnamacharya’s students likely borrowed this, too, from a South Indian source.

446 17th World Sanskrit Conference, 2018 Yoga and Āyurveda

Reconciling Yogic Difference: Śivayoga as the Unification of Ritual Worship and Haṭhayoga in the Śivayogapradīpikā Seth Powell Harvard University (Cambridge, MA, USA)

This paper introduces a lesser-known Sanskrit yoga treatise, the Śivayogapradīpikā of Cennasadāśivayogī (ca. 15th century), and considers its discursive strategies for reconciling yogic difference. A Vīraśaiva work from south India, the “Lamp on Śiva’s Yoga” synthesizes the standard tetrad of medieval yoga systems–Mantrayoga, Layayoga, Haṭhayoga, and Rājayoga–by introducing a fifth approach for devotees of Śiva, namely Śivayoga. Here, the techniques and progressive practice of Aṣṭāṅga and Haṭhayoga are integrated under the totalizing rubric of pūjā and bhakti, wherein the traditional aṅgas of yoga are reinterpreted as forms of ritual worship and devotion to the god Śiva. Despite the prevalent bhakti critique of Haṭha and Nātha forms of psycho-physiological evident in the early Vīraśaiva devotional literature, I argue that the rising stock of Haṭhayoga in late- medieval India gave impetus for its integration and acceptance within Vīraśaiva systems of religious praxis.

Focusing on Sanskrit passages from the Aṣṭāṅgayoga section of chapters two through four, I will demonstrate how the Śivayogapradīpikā conceives of the traditional eight auxiliaries of yoga as external (bahir) and internal (antar) aspects of ritual worship to Śiva (śivapūjā), dwelling within the heart of Śivayogins. I make the case that the eightfold (aṣṭāṅga) structure provides the yogic blueprint or template through which our author sought to integrate the various techniques of yoga and Śaivism within a model of ritual worship and devotion. Given the highly syncretic nature of this text, the Śivayogapradīpikā offers a compelling and hitherto unstudied case of the production of yogic knowledge in the late-medieval period; it reveals an attempt at the reconciliation of yogic difference and alterity through the unification of Śaiva ritual worship and devotion together with the techniques of Haṭhayoga and Rājayoga, culminating and championed in Śivayoga.

447 Yoga and Āyurveda 17th World Sanskrit Conference, 2018

Kāyakalpaprekṣā: Appropriation of Āyurvedic Elements in Prekṣā Meditation Samina Pratibha Pragya SOAS University of London (London, England)

This paper is an attempt to trace the appropriation of the popular Āyurvedic remedy kāyakalpa in the Jaina practice of prekṣā dhyāna (perception meditation). Prekṣā-dhyāna is a modern form of that was developed in the last quarter of the 20th century by Ācārya Mahāprajña (1920– 2010). Mahāprajña’s construction of the prekṣā-dhyāna system integrates a number of distinct elements, among them Āyurvedic concepts. The term kāyakalpa is a combination of two terms: ‘kāya,’ which means body and ‘kalpa,’ has a variety of meanings such as transformation, rejuvenation, treatment and cure. In ancient Āyurvedic tradition it is known as treatment of ageing (jarā-cikitsā) as well named as “in a hut” (kuṭīpraveśika). I argue that the practice of perception with regard to physical rejuvenation (kāyakalpa-prekṣā) is an “adaptive reuse” of Āyurvedic elements. I demonstrate what was Mahāprajña’s intention behind the formation of kāyakalpa-prekṣā and how he incorporated two features of ancient Āyurveda: purification (śodhana) and nourishment (poṣaṇa).

Mahāprajña states that “kaya-kalpa is a specific practice of health restoration and revitalisation of the body parts” (Mahāprajña, 1988: 194). Kāyakalpa-prekṣā is accomplished in two steps: the first step is relaxation of the body with self-awareness (kāyotsarga), while at the same time expanding the flow of vital energy (prāṇa-śakti) in the body as a mode of its purification (śodhana). The second step is the visualization of colours at specific psychic centres (caitanya-kendra) in the body while engaging in the practice of auto-suggestion for good health, through mental recitation and ‘installation’ or placement (nyāsa) of the arham mantra in various parts of the body while maintaining a resolve of ensuring one’s good health (poṣaṇa). I suggest that the medicalization of meditation in this way is an attempt to develop a new somatic science and a secular presentation of prekṣā meditation.

448 17th World Sanskrit Conference, 2018 Yoga and Āyurveda

The Kathmandu Laṅkāvatāra Manuscript: A Buddhist Medical Text? Madhusudan Rimal University of Alberta (Edmonton, AB, Canada)

This paper will investigate an unpublished medical Sanskrit manuscript that survives in Nepal. MS Kathmandu NAK 1647 is a palm-leaf manuscript written in an early Nepalese script, possibly datable to 908 CE. It was first described by Haraprasad Shastri in his 1905 catalogue of the Kathmandu Durbar collections, and further remarks were added by C. Bendall. The manuscript contains, I think, an ayurvedic treatise titled Laṅkāvatāra that is framed within a Buddhist worldview. This paper reports on preliminary research into this manuscript and the work that it contains, and seeks to locate the work within the wider history of ayurvedic literature and the history of ayurvedic medicine in the Buddhist milieu.

449 Yoga and Āyurveda 17th World Sanskrit Conference, 2018

आतैकत विजानमेव योगः, वेदः एव योगस मूलगनः, ऋषयः एव यो गिनः Mahabaleshwara Shivarama Veda Vijnana Shodha Samsthanam (Bangalore, KA, India) योगः इति पृथग रश न ं नासीत्। वै दिका दिषु सावेषु सं पदायेषु योगः अनुसूतः। पतञ लिमहषेः परं योगः पृथग रश न ं बभूव। यथा वेदानप तिपादं “बह” अनुभवस वि ष य ः अतः तजाने अनुभवः अपि पमाणं तथैव योगः अपि। जनानामनुभवानुसारं योगे अनेके पकाराः आवि षृताः। परनु आत निकफलावाप रथ वै दिकयोग एव आशयणीयः। “नानः पना वि द त े अयनाय” (तै तिरीयारणकम् - ३-३९)। बहसूते भगवता बादरायणेन सूतं गथितं यत् “एतेन योगः पतुकः” इति , अतः वेदानुसा रिभिः योगः न आदरणीयः इति के च न मनने। अनुषानपके तु योगद रशनम् आदरणीयमेव। शमा दिविधानम् उप निषतु युतृतं तदेव पकारानरैः योगद रशने ऽपि कृ त म ।् शङरभगवतादैः उकं यत् “येनतंशेन न वि र ध त े तेनेषमेव साङ्खयोगसृतोः सावकाशत मिति ” (बहसूतभाषम-२-१-२)। वेदोकः योगः आतपधानः। पातञलयोगद रशने योगः मनःपधानः। पातञलयोगः का पिलसाङ्खद रशनपभा वितः। अतः पुरषनानातम् सतनस पधानस कारणतम् इता दि उपपादय ति। वै दिकद रशनम् आतैकत सिदानं, चेतनबहकारणवादम् उपपादय ति। पातञलयोगः चि त व ृ ति नि र ो ध र प ः । वेदोकयोगः आतैकत विजानरपः। “ततारणं साङ्खयोगा भिपनम्” ( शे.उ.३-१३), “साङ्खयोगौ पृथगालाः पवद नि न पणिताः” इता दिषु जान-धाना, रथ करमयोगारथ वा साङ्खयोगशबौ पयुकौ। अत के च न साङ्खयोगद रशनयोः भेदः नदषवः इति वाखा नि। योगस निरपणम् उप निषतु पचुरतया उपलभते—उदाहरणा रथ कठवलां यचेदाङनसी पाजः तदचेजान आत नि। (कठोप निषत्- ३-१३) इता दि। “अधातयोगः” इति शबः एव कठोप निष दि पयुकः। ऋषयः एव यो गिनः मनदषारः ऋषयः यो गिनः। योगः न जीवने भवेत्। ऋषीणां जीवनमेव योगः। सरवदा ते सि त प ज द श ा य ा म ेव वि र ा ज न । े ते यदा ऋतंभरा पजादशायामासन् तदैव तैः मनाः दषाः। “ऋतंभरा तत पजा” इति च योगसूतम् । गीतायां दि त ी य ा ध ा य े “पजहा ति यदा कामान् सरवान् पा रथ मानोगतान्” ( भगवदीता २-५५) इतादी नि या नि सि त प ज स लकणा नि उका नि ता नि तेषु नि त ं पतिफलितानि। योगः कमरसु कौशलम ् (भगवदीता २-५०), तं िवदात ् दःखु संयोगिवयोगं योगसंिजतम ् (भगवदीता ६-२३) समतं योग उचते (भगवदीता २-४८) इति एता नि लकणा नि ऋषिषु अनितानि। ऋषिः इति शबः “ऋषी” गतौ इति धातुना नि ष न ः । ऋष नि जान नि इति ऋषयः। सरव गत रथकाः जाना रथकाः। भागवते ऽपि — अनागतमतीतं च वरतमानमतीनियम् । वि प क ृ ष ं वव हितं समक् पश नि यो गिनः (भागवतम्- १०-६१). कि न ु योगस पयोजनं न तावदेव। आतजानप रयवसायी योगः। आकरगनाः— (१) उप निषदः (२) भगवदीता (३) भागवतम् ( ४) बहसूता णि (५) बहसूतशाङरभाषम्.

450 17th World Sanskrit Conference, 2018 Yoga and Āyurveda

The Haṭhābhyāsapaddhati in Relation to Mark Singleton SOAS University of London (London, England)

The Haṭhābhyāsapaddhati (HAP) is an 18th-19th Sanskrit century text attributed to one Kapālakuraṇṭaka. It describes several aspects of haṭhayoga practice, but is mainly given over to a description of 112 yoga āsanas, which are said to prepare the yogin for the practice of the ṣaṭkarmas (cleansing techniques). Only one manuscript of this text, from Pune, is known. With the exception of a small number of common āsanas, most of the postures in the HAP are not found in other Indian yoga texts. Furthermore, the āsanas themselves are often dynamic movements, in contrast to the usually stationary positions described in other haṭhayogic texts. Moreover, it is clear that some (if not all) of the HAP’s postures are intended to be practised in sequence. The postures are divided into six groups: uttāna (supine), nyubja (prone), sthāna (stationary), utthāna (standing), rajju (ropes) and postures which pierce the sun and moon (sūryacandrabhedana). The text’s descriptions make it clear that the postures within each group are intended to be practised sequentially. This makes the text unique in the textual history of haṭhayoga, where postural sequences are not described, and unique within Sanskrit Studies as a whole. The text has a special significance for the study of contemporary, globalised postural yoga, because of the teaching of the ‘father of modern postural yoga’, T. Krishnamacharya, who was probably familiar with a heavily redacted version of this text (contained in the mid-nineteenth century Śrītattvanidhi from Mysore), and may in fact have known another manuscript of the HAP itself, which he referred to as the Yoga Kuraṇṭi. In this paper, I will examine the HAP in this light, analysing its importance for our broader understanding of haṭhayoga’s development in pre-modern and modern times.

451 Yoga and Āyurveda 17th World Sanskrit Conference, 2018

Beyond the Body: Yoga and Advaita Vedānta in the Aparokṣānubhūti Zoë Slatoff Lancaster University (Lancaster, England)

The Aparokṣānubhūti incorporates the dualist yoga practice and philosophy into a non-dual Vedānta. It is one of the earliest texts to mention rājayoga, teaching a unique fifteen-part path to self-knowledge, with samādhi as its final step. Yoga is presented as a purificatory practice, which helps to develop the discernment (viveka) required for the ultimate Advaita realization of the equality of ātman and Brahman. This synthesis is echoed in the Yogavāsiṣṭha, the Jīvanmuktiviveka and later Yoga Upaniṣads.

Although attributed to the prolific 8th century Śaṅkarācarya, the Aparokṣānubhūti was more likely written closer to the 14th century, the date of its commentary by Vidyāraṇya, in response to the growing popularity of haṭhayoga, which is mentioned as a lesser means. Śaṅkara did incorporate Pātañjala yoga methodology into his philosophy, but his opposition to the dualistic systems of Yoga/ Sāmkhya was clear. Vidyāraṇya, however, whose style and intention resembled in this text, fit duality neatly into non-duality, and helped to make Advaita householder friendly, as opposed to Śaṅkara’s renunciant emphasis.

In later syncretic texts, such as the Haṭhapradīpikā, the metaphysics of Vedāntic and Śaiva non- dualism could be seamlessly combined, because of the focus on physicality and lack of attention to philosophical details. This paved the way for modern yoga, in terms of the teachings of Yoga, Sāṃkhya and Vedānta have become inextricably intertwined. The Aparokṣānubhūti teaches how to find freedom through “direct realization of the Self”. Despite the contemporary obsession with physical form, this text can serve as a reminder that the body is just a vehicle to get beyond the body.

svātmānaṃ śṛṇu mūrkha tvaṃ śrutyā yuktyā ca puruṣam | dehātītaṃ sadākāraṃ sudurdarśaṃ bhavādṛśaiḥ ||

Listen you fool! Learn about your own Self, by means of sacred knowledge and reasoning. Beyond the body, the form of true existence: so difficult to be seen by someone like you. Aparokṣānubhūti, 30

452 17th World Sanskrit Conference, 2018 Yoga and Āyurveda

Reviewing the Haṭhayogic Content in the Sarvasiddhāntasaṅgraha Section on Patañjali Laura von Ostrowski Ludwig Maximilian University of Munich (Munich, Germany)

The Sarvasiddhāntasaṅgraha (SSS), a doxographical text attributed by tradition to Śaṅkarācārya, can be dated between the 10th and the 14th century CE. Thus far, its patañjalipakṣa has been neglected by modern yoga research, yet it can contribute to ongoing discussions, for example to clarify whether the conjunction of the Yogasūtra of Patañjali and physical practices called (Haṭha-)Yoga is a modern or a traditional phenomenon. Even if this part of the text might be a late addition to the SSS, in the overview of the doctrine of Patañjali we find an early attempt to enrich the aṣṭāṅga-section of the Yogasūtra with tantric body concepts and āsanas only known from Haṭhayoga literature (as well as āsanas mentioned in the Vyāsabhāṣya). By analyzing the enlisted body postures and the tantric concepts described and by comparing them to the Haṭhayoga “canon,” I will reflect on the dating of the aṣṭāṅga quotations and on their relevance for the ongoing discussion on how early encounters of Patañjali’s system with haṭhayogic practices can be evaluated in regard to comparable (pre-)modern attempts.

453 Yoga and Āyurveda 17th World Sanskrit Conference, 2018

On Medicine and Alchemy in the Kalyāṇakāraka Dagmar Wujastyk University of Alberta (Edmonton, AB, Canada)

The Kalyāṇakāraka, a medical treatise probably composed in the ninth century by the Digambara Jain monk Ugrāditya, has a number of unusual features. The most prominent of these is the absence of meat and alcohol from its formulae. The treatise is also the earliest medical work to detail procedures for processing mercury, using specific technical terms that show an advanced stage of alchemical thought. If the dating of the Kalyāṇakāraka to the ninth century is correct, this work may predate the earliest alchemical works known to us, pointing to a very early connection between medicine and alchemy.

In my presentation, I will introduce the most salient features of the alchemical processes described in the Kalyāṇakāraka and will discuss how they are integrated into the medical framework of the treatise. I will also explore how this compares with the integration of medical elements into early alchemical literature.

454 17th World Sanskrit Conference, 2018 Yoga and Āyurveda

What is “Vimāna” in the Compendium of Caraka? Dominik Wujastyk University of Alberta (Edmonton, AB, Canada)

One of the foundation texts of classical Indian medicine is The Compendium of Caraka, composed by at least three authors over several centuries during the period 350 BCE - 450 CE. The work is divided into eight major books. These books have titles that are not always easy to translate. Some contemporary translators have no qualms about equating these titles with disciplinary boundaries in Modern Establishment Medicine, such as Anatomy, Pharmacology, Psychiatry, E.N.T., etc. This strategy is aimed at showcasing the modernity and contemporary relevance of these ancient texts, but it obscures more subtle and more interesting explorations of the ways in which the ancients understood the world differently from ourselves.

One of the eight books of the Compendium is called the Division about Vimāna. This is one of those problem terms that has troubled all thoughtful translators. It is commonly connected with the idea of measurement. But, that does not appropriately describe the content of this book of the Compendium, which includes chapters on an unusually wide range of topics, from savours, parts of the stomach, special ways of recognizing diseases, tubes in the body, epidemics, rules of formal debate and the initiation of a medical student.

My presentation will offer a new interpretation of the meaning of the word vimāna in the context of the Compendium, based on a philological examination of the term and on the contents of the book. I will offer an answer to the question, ”What did the authors of The Compendium of Caraka think this chapter was about?”

455 Sanskrit and the Panjab 17th World Sanskrit Conference, 2018

23. सं सृ तं पञाबपदेशश - Sanskrit and the Panjab

सातनतोतरपंजाबपदेशस सं सृ तकावावदानम् Sushma Alankar D.A.V. College (Chandigarh, India) सतनतायाः पू रव पञाबपदेशस लाहौरनगरं दि त ी य ा काशी मनते स। भारत विभाजनेन सं सृ तस अपूरणीया कति रजाता। पुनरिप सतनताया अननरं भारतीयपञाबपदेशे संसृतिवदषु ा ं तपशयरया संसृतवाक् पितिषतं पदमापािद। पञाबपदेशस कविभि रयादशं कावं नि र म ा यि , तन समी कितं नूतनैः सं सृ ते तिहासकारै रि ति धि य ा अत शोधपते आधु निककवीनां ततावानां च िववरणं पसोषते। अिसन ् पदेशे नैके कवयः सारसती ंसुषमामानचरुः। तत शामदेवपाराशर-चारदेवशािस- शिवपसादभारदाज-जगदीशझा-जगदामशा सि -सतवतशा सि -सुद रशनश रम-सयंपकाशश रम-शशिधरश रम-देवदतभि ट- नि ग म ब ो ध त ी थ - र जगदीशपसादसेमवाल-अलंकारवीरेनकुमार-पभृतीनां कवीनां नामा नि सुतरां चकास ति संसृतकावाकाशे। इमे महाकवयः महाकावा नि , खणकावा नि , चरितकावानि , शतककावा नि च पाणेषत। क चि च आधु निकशैलीम पि अनुव रतने इमे कवयः। क चि त ् छनोमुकाः क चि च अनुवादक विता अपि सं सृ तवाकौन रय वरदय नि। अनेके कवयः आधु निकान् वि ष य ा न ा शि त ा पि कावा नि पणीतवनः। अतेदमवधेयं यदेतेषां वागुपासकानां चरचा सं सृ ते तिहासगनेषु नोपलभते। तत नूतनै रितिहासकारैः कतिपयानां पञाबसंसृतकवीनां समासेनोलेखो ऽवशमका रि। असिन् शोधपते तेषां समेषा ं पञाबकवीना ं िववरणं पसोषते येषा ं िवसारोऽनत सुदलु रभः। पञाबपदेशस संसृतकवीना ं कावलोके कि म व द ा न मि त ेव ा स पतसोदेश मिति ।।

456 17th World Sanskrit Conference, 2018 Sanskrit and the Panjab

पं जाबपदेशस वेदाधयनेऽवदानम् Virendra Kumar Alankar Panjab University (Chandigarh, India)

संसृतवाकरणकते े वेदाधयने च पञाबस वततर े िकिञद ् िविशषं महतम।् भारतिवभाजनात ् पूवरमसाधयनस पमुखं के नं लाहौरनगरमासीत्। समूणेऽ पि पञनदपदेशेऽनेका नि गुरकुला नि वि वि ध ा श सं सृ तसं सा आसन्। एकोनिवशं शताबदामृिषदयाननस पभावाद ् गुरकुलेषु पािणनीयपरमरया संसृतवाकरणाधयनाधापनमारभत, वेदाना,ं वेदाङानां, यजानामुप निषदां चाधयनाधापनावारबौ । शीमता वि श शे र ा न न स र स त ी स ा मि न ा वेदानुसनानशाला सा पिता लाहौरनगरे, ततैव डी.ए.वी. सं साऽ पि सप रिसरे पं . भगवदत निदेशेनानुसनानका रयमारबम् । सतनताऽननरं वि श शे र ा न न स ं स ा न ं होशारपुरे पं . वि श ब न ुन ा पुनः सा पितम्, पं . भगवदतश न के व लं पथमं वै दिकसा हिते तिहासमेव हिनीभाषायां पसुतवान्, अपितु नि र क स हिनीभाषम पि पाणैषीत्। दयानन दिशा वेदानां पथमं हिनीभाषम पि पञाबपदेश एवाऽभूत्। अत वै दिकगनानां पामा णिकं समादनं शुदं पकाशनं चाभूत्। पञाब विश विदालयचणीगढे दयाननवै दिकाधयनपीठं सा पितम्। अत अनेके वि द ा सं ः शोधचाताश अनुसनानमका रषुः, आचा रयरामनाथवेदालंकारः नैकान् मौ लिकगनान् पणीतवान्। पञाब विश विदालयस आचा रयः डॉ. रामगोपालः ऋगेदस आंगभाषं, हि न द ा ं वै दिकवाकरणं , महतपू रण कलसूतानुसनानं च कृ त व ा न ्। पं . बहदति जजासुः, यु धि िरमीमांसकःष , पं . आरयमुनिः, सामी दरशनाननसरसती, हंसराजः, पं . आतारामः, आचा रयरामदेवः, इन विदावाचस तिः, चनम णिविदालंकारः, वेदाननती रथः, बुददेव विदालंकारः, सामी वि द ा न न स र स त ी , अने चा पि अनेके वि द ा सं ः वेदाधयने पशसं का रय कृ त व न ः । पञाब विश विदालयस पू रवाचा रयः पं . गुरदत विदाथी वेदानां पकाणप णित आसीत्। अयं वि ज ा न द ष ा वै दिकं शोधका रय पसुतवान्। असिन् शोधपते तेषां वेदजुषां िवदषु ा ं िववरणिमषते येषा ं चचा र अवाचर ीनेितहासकारैरपेिकता। अत तेषाम ् पञनदीयवेदिवदा ं सापना जायेत, येषामवदानं समग् न जाना ति वै दिकं जगत्, इतेवास पतसोदेशम् ।।

457 Sanskrit and the Panjab 17th World Sanskrit Conference, 2018

पञाबीभाषायाः प रिरकणे परिसंव रधने च सं सृ तसावदानम् Pushpinder Joshi Punjabi University (Patiala, PB, India) कसा पि देशस पदेशस राजस वा सरवविधविकासाय भाषाया अतीव महतं वरतते यतो हि भाषयैव देशः पोन तिमापोती ति। अत विवेचनीयम सि यत् पञाबराजस अदते का भाषा पयुजमाना वि द त । े अनया भाषया साकं सं सृ तस कीदशः समनो लकते। कथं संसृतावदानेन पञाबीभाषायाः परिरकणं परिसंव रधनञ समवेत्। असिनेव सनभे यदत इतिहासं परिशीलयामशेत् दषिपथमायाति यत् पुरा पञाबपदेशस नाम सप सिनुरासीत् तदानीम् पदेशसास भाषा नूनं सं सृ तमासीत् परं च यदा अस नाम ‘पञाबः’ अभवत् तदा अस भाषाऽ पि ‘पञाबी’ सं जाते ति। इतनेनैव सं सिदं जायते यत् पञाबीसंसृतयोरनयोः भाषयोः ईदगहनतमसमनोऽ सि यस पमाणताददा पि असामेव भाषायां वै दिकसंसृतस कतिपयधनीनां पयोगाः सं लकने। पञाबपदेशः “वेदभू मिः” इति नामापुचते। अततानां भारतीयानां वैदे शिकानां च सुदढं मतम सि यत् वेदानां रचना तु असामेव भूमौ बभूव। वेदानां रचना विषयक मिदमनतमं पमाणं लभते यत् अततानां जनानां नामसु “इनः” इित शबः अगे पृषे मध े वा पयजु ते यथा नरेनः, इनमोहनः, पीतेनिसहं ः, इतादयः। असावेव “इनः” ऋगेदस पधानदेवतारपेण खा तिमापवा निति। सं सृ तस उपस रगपतयावयसमासा इतादयः पञाबीभाषायां सकीयं रपं पद रशयनि वि द दि रि द ं पमाणीकृतम सि यत् पञाबीभाषायाः मूलसंरचनायां सं सृ तमेव वि द त े असैव सि दि ह ते ः ु के च न पञाबीशबा अत पसयू न े येषा ं मूलम ् ससृते िवराजते यथा इदा,ं वै यद्, वै पल वै रक, कृप ् एवं पापन।े इतमदािप पञाबीभाषायाः शबानां सं सृ तस धातूनां साहायेन नूतनपञाबीशबानां नि रमाणमतनसरलरीता भवितुमरहति। अनेन माधमेन पञाबीभाषायाः रकणम पि समवम सि। यथा- आङ्गभाषायाः ‘वरल-सैटर-कनोकेशन-कोआरडीनेटर-सू ल - इतेषां पञाबीभाषायामनु दिताः शबाः कमेणैवं सनि – “ਵਰਲਡ-ਸ&ਟਰ-ਕਨਵ*ਕ+ਸ਼ਨ-ਕ*-ਆਰਡੀਨ+ਟਰ- ਸਕ/ਲ”। परं च सं सृ तस जानमवधा रयते चेत् एषां शबानां पञाबीभाषायामनु दिताः शबाः “ ਵਿਸ਼ਵ-ਕ1ਦਰ- ਦੀ ਖਿਆਂਤ- ਸਂਯ*ਜਕ- ਵਿ ਦਿ ਆ ਲਿ ਆ ” भविषनि। पायः द षिगोचरीभव ति यत् पञाबी भाषायां बाहलेन लि प ा न र ण ं कृ त ा आङ्गशबानामेव पयोगः कि य त । े अतानेन पञाबीभाषायाः शबवृ दिसु जायते परनु कावसौन रयदषा, भाषा विजानदषा, ऐतिहासिकदषा च हा नि रनूनमीकते। यथा पञाबीभाषायां “ पि ण ” शब मिमं गामशबस साने पयुंके। शबसास ऐितहािसकं महतं तु संसृते िनिहतमिस। अतः अत वकं ु शकुमः यत ् संसृताधयनेनैव पञाबीभाषायाः पिरसंवधनर ं भिवतुमहिर त। शोधपतसासानतममुदेशिमदमिप वततर े यत ् राजेऽिसन ् बह्वः ईदकाणुिलपयः पापन े येषाम रथावगमनं सं सृ तजानं वि न ा न शकम सि। एषां पाणु लिपीनामधयनेन पदेशसास सांसृ तिकमाधा तिकं च गौरवमवशमेव वधि षते। शोधपतेऽ सिन् पञाबीभाषासा हितवाकरणशासद रशनशासाधात विषयकशासाणामधयनाय कथं सं सृ तस उपयो गितम सि इत सिन् वि ष य े वि स र ेण विवेच यिषते इति।

458 17th World Sanskrit Conference, 2018 Sanskrit and the Panjab

The Glorious Past of Sanskrit Language: Studying the Contribution of Educational Institutions in Punjab Mohammad Idris Lourey Punjabi University (Patiala, PB, India)

The tradition of education and establishment of educational institutions like temples, schools, and universities was deep-rooted in the society and culture of Punjab. In spite long time invasions and civil wars, Punjab preserved and added educational institutions since times immoral. In the ancient times, the educational institutions like those located at Taxila, Lahore, Jalandhar, Hoshiarpur, Kashmir, Kurukshetra, Chinapati, Bijnour, Kanouj, and Banaras were famous centres of Sanskrit language up to early medieval times. Most of them were located in the North-Western region of the Indian subcontinent particularly in the Punjab region. The contribution of temples, schools, and other educational institutions has been remarkable for the promotion of Sanskrit language in this region. In this light, the present paper explores the development of the Sanskrit language and the contribution of many learning centres of Punjab from ancient to the modern times. It is evident that a considerable portion of the temple property was originally intended for educational purposes. Thus, the contribution of temples in the development of Sanskrit language in this region was noteworthy.

This paper presents an overview of the educational system in ancient Punjab, elaborating its religious and the social significance. Besides this, this paper also elaborates on the contribution of the Sanskrit learning centres in Punjab from the ancient, medieval to the modern times. To name a few, the Vishveshvaranand Vishwa Bandhu Institute of Sanskrit and Indological Studies, Hoshiarpur and Sanskrit College, Nabha, played an exceptionally important role in the promotion of Sanskrit language in the recent past. The emphasis of this paper is primarily on the question as to what role the learning centres had played to deepen the core of the educational, social ,and cultural life of the Punjab in general, and the development of Sanskrit language in particular.

459 Sanskrit and Indo-Persian Culture 17th World Sanskrit Conference, 2018

24. सं सृ तं पारसीवाङयं च - Sanskrit and Indo-Persian Culture

Āsurī Metres: In the Light of Vedic and Avestan Traditions Meenakshi University of Delhi (Delhi, India)

Iran and India are geographically unlike, but a lot of foundational similarity between both cultures can be apprehended through the comparative study of Vedic and Avestan literature. Both are related to the Indo-Iranian branch of the Indo-European family. Preliminary research works, which were based upon early philological methods, show that the Avesta is analogous to the Ṛgvedic era. But when this fact is perceived in totality we detect that the Avestan society was developed in the later Vedic era. This hypothesis may be proved by the study of Vedic and Avestan metres, which are called āsurī metres by scholars.

The oldest part of the Avesta is the Gāthās. The Gāthās are to be chanted in rhythmic tone like the Vedas which are composed in measured syllables. According to Avestan scholars, gāthic metres resemble the gāyatrī and triṣṭup metres of the Vedas. Gāthic metres are contemplated as āsurī metres by Martin Haug. According to Haug, āsurī metres were firstly used in the Yajurveda, wherefore these gāthās are of the Yajurvedic period. Geldner, Kanga and Taraporewala have observed that there is a similarity between the gāyatrī and anuṣṭup of Vedic and ahunavaiti gāthās. But through micro analysis we find that like Vedic, gāthic mantras have no definite number of syllables.

In Vedic literature we also find the use of āsurī metres. In the Ṛgveda Saṃhitā, āsurī metres are found in 7 places but in the Yajurveda and Atharvaveda āsurī metres are available in bulk. My purpose in this paper is to investigate the similarities and dissimilarities through a comparative study of the both traditions.

460 17th World Sanskrit Conference, 2018 Sanskrit and Indo-Persian Culture

Additional Annotations on Indic/Kashmiri Non-Muslim Cultures, Traditions, and Knowledge in the Persian Translation of the Rājataraṅgiṇīs Satoshi Ogura Tokyo University of Foreign Studies (Tokyo, Japan)

In 1589, when the third Mughal emperor Akbar (r. 1556–1605) reached Srinagar, the capital of Kashmir, for the first time, a manuscript of the Rājataraṅgiṇīs, –the Rājataraṅgiṇī of Kalhaṇa, Jonarāja, Śrīvara, and Śuka–, was dedicated to him. Out of interest for its contents, Akbar ordered its translation into Persian. A Muslim intellectual named Mullā Šāh Muḥammad Šāhābādī completed the translation within a couple of months. Šāhābādī’s Persian translation has survived in the three manuscripts in Kolkata and London, and as to the part of Kalhaṇa, a critical edition by Ṣābir Āfāqī was published in 1974 in Rawalpindi. A comparative study on the Rājataraṅgiṇīs and Šāhābādī’s Persian translation reveals that he faithfully rendered each Sanskrit stanza into Persian sentences through sophisticated translation strategies. Besides the traceable renderings, Šāhābādī often makes additional annotations on Indic/Kashmiri non-Muslim cultures and traditions including festivals, holy places, and knowledge, which are untraceable in the original Rājataraṅgiṇīs. Such additional annotations suggest that Šāhābādī was able to other Sanskrit sources than the Rājataraṅgiṇīs some of which are not extant today. In addition, his annotations provide an interesting case of how a Muslim intellectual of the Mughal period understood the contemporary non-Muslim culture and traditions. This paper explores the additional annotations in the Persian translations of the Rājataraṅgiṇīs. In particular, I focus on the following topics: 1) what kind of topics did Šāhābādī make additional annotations? Can we find any inclination or deflection in the narration?; 2) was the reason of making annotations to promote understanding to Muslim readers; alternatively, did he have other purpose?; 3) how did he explain non-Muslim cultures, traditions, and knowledge in the annotations? Do his annotations include Kashmiri local peculiarity in explaining them?

461 Sanskrit and Indo-Persian Culture 17th World Sanskrit Conference, 2018

Philosophical Dialogue Through Translation – Brahmavidyā in Islamic Terminology Kazuyo Sakaki Hokkaido Musashi Women’s Junior College (Sapporo, Japan)

In different cultural and intellectual milieus beyond their cultures of origin, how would philosophical dialogues be conducted? In the intercultural intellectual history of India, much attention has been given to analogous elements in Sufism and Vedānta through the centuries. However, we should find textual evidences with regard to what extent Sufis can be regarded as understanding the doctrine of Vedānta at least in essence. Persian translation of the shorter version of the Yogavāsiṣṭha (Laghuyogavāsiṣṭha) and Nātha Yogic texts reveal how the translators reproduced the monistic doctrines in their own language. Focusing on the concept of Ultimate Reality as understood by one Sufi Sharīf who translated the Yogavāsiṣṭhasāra and several Nātha Yogic treatises into Persian, we examine how the concept of Ultimate Reality taught in the original texts was translated into Islamic terminology and how the translator successfully achieved philosophical dialogue through his translation.

462 17th World Sanskrit Conference, 2018 Special Panels

Special Panels

463 Special Panels 17th World Sanskrit Conference, 2018

SP 1: Ādikāvyāni: Regional Kāvya Rāmāyaṇas in Early Medieval South and Southeast Asia

Vālmīki’s Children: Adulation, Imitation and Ethical Critique in Poets of the Rāmakathā Robert P. Goldman University of California, Berkeley (Berkeley, CA, USA)

In the fourth act of Bhavabhūti’s Uttararāmacaritam, there an amusing exchange between Kausalyā, and her unrecognized grandson Lava. Noticing the child’s resemblance to both Rāma and Sītā, Kausalyā questions him about his parents.

Kausalyā: “Then whose son are you?” Lava: “Of the blessed Vālmīki!” Kausalyā: “Oh! Son! Don’t say such a thing!”

The Vālmīkirāmāyaṇa and the major literary works that derive from it tell us very little about the life and background of the Ādikavi. They make no mention of a wife or children. Yet Vālmīki did produce generations of literary offspring, forming a poetic dynasty inspired by his Ādikāvya. These spiritual descendants, the kavis of the Sanskrit literary pantheon who wrote on the Rāmāyaṇa theme and the poets of regional Rāmakathās were—like children—alternately dutiful and rebellious toward the Ādikavi. This presentation will examine examples of how some prominent post-epic poets negotiated and critiqued ethical issues in the Vālmīkirāmāyaṇa.

464 17th World Sanskrit Conference, 2018 Special Panels

Revamping the Rākṣasas: The Rākṣasas of Bhavabhūti’s Mahāvīracarita Sally J. Sutherland Goldman University of California, Berkeley (Berkeley, CA, USA)

It can be argued that Bhavabhūti’s Mahāvīracarita renegotiates a number of incidents in the Rāmakathā through the imaginary of the rākṣasas, particularly, Malyavān, Śūrpaṇakhā, and Rāvaṇa. Perhaps most intriguing are the opening two acts of the play where the seemingly minor episode of Rāma Dāśarathi’s confrontation with Paraśurāma, known from Vālmīki’s epic becomes the focal point of the action. No longer a sectarian or avataric contest, it is now conceptualized and instigated by Malyavān on behalf of Rāvaṇa. An arguably similar type of narrative renegotiation occurs with the collapsing of the characters of Śūrpaṇakhā and Mantharā. Bhavabhūti has Śūrpaṇakhā enter into the body of Mantharā, thus once again realigning the episode firmly within the world of the rākṣasas. A third such narrative shift occurs in the Vālivadha episode. Vālmīki’s epic situates this story in the simian kingdom of Kiṣkindhā and understands it as a fraternal struggle over love and kingship. It is only in the Uttarakāṇḍa that we are given a hint of any relationship between Rāvaṇa and Vālin [VR 7.34]. Bhavabhūti, however, depicts Rāvaṇa and Vālin as in collusion. Rāvaṇa thus employs Vālin to kill Rāma. As the play develops Trijaṭā and Mandodarī, too, have their roles expanded and refocused.

In each of these cases, Bhavabhūti reframes the narrative through an imagined demonic perspective. But what is Bhavabhūti’s understanding of such a perspective and is it possible to extrapolate from his creation potential motives and rationales for such a narrative shift? Does this realignment of the kathā aid us in understanding the attitudes, needs, and concerns of either author or audience? It is on these questions that this paper will focus.

465 Special Panels 17th World Sanskrit Conference, 2018

Commentary and Text-Building in the Old Javanese Uttarakāṇḍa Thomas Hunter University of British Columbia (Vancouver, BC, Canada)

In addition to the nine books of the Mahābhārata translated into prose form in the Old Javanese (OJ) tradition, we find a similar treatment of the Uttarakāṇḍa of the Rāmāyaṇa. In this paper I look at the question of ‘translation,’ making the claim that we should think in terms of a tradition of commentary originally developed for texts of the theological literature in OJ and of the way that pratīka references to verses or phrases in the Sanskrit original are used to structure the Old Javanese narrative. I look especially at the “tale of Nimi” in the OJ Uttarakāṇḍa as an example of an episode excluded from the critical edition (Mehta et al: 1960-75) that is given a thorough treatment in the OJ Uttarakāṇḍa . While the date of the OJ Uttarakāṇḍa in the late 10th century cannot give definitive proof that the tale of Nimi was an original part of the Sanskrit Uttarakāṇḍa, and not a later interpolation, the careful reading of the original that is evident in the Old Javanese translation into a prose forms suggests transmission by way of preceptors learned in the Sanskrit tradition who made use of a commentary or commentaries in their efforts to give a Javanese form to the Sanskrit original. This paper is aimed at elucidating the relationship of the OJ Uttarakāṇḍa to its Sanskrit original by assuming that the Parwa literature, like the theological literature in Old Javanese, represents a pedagogical tradition and a tradition of “bilingual commentary” based on Sanskrit models of the commentary.

466 17th World Sanskrit Conference, 2018 Special Panels

The Grammar of Poetry and the Poetics of Grammar: The Bhaṭṭikāvya in Early Medieval India Jesse Knutson University of Hawai’i (Manoa, HI, USA)

The uniqueness of Bhaṭṭi’s mahākāvya is implied by the fact that it is so often referred to by the title Bhaṭṭikāvya, after the author’s name (a.k.a. Rāvaṇavadha). It simultaneously tells the story of the Rāmāyaṇa in a beautiful kāvya register and illustrates Pāṇinian grammar; it even includes a short textbook on alaṅkāra. This paper explores the form, content, and interaction between form and content in the work in its regional, courtly context.

The Rāmāyaṇa is a story of mutually implicated temporal and divine order, while Pāṇinian grammar is a story of order per se, perhaps even the order of orders. Bhaṭṭi often uses grammar as itself an aesthetic factor, which is at the very least undertheorized in Sanskrit literary theory. The poem as a whole becomes iconic of order, just as grammatical structures become keenly iconic of what they are describing. Grammatical arrangement is used to reflect on the story of the Rāmāyaṇa in a unique fashion, often speeding up action and suggesting reciprocity between heroes and villains. The story is remarkably standard in its telling; making subtle omissions and modifications especially significant, such as when Sītā responds to her husband’s repudiation without intense emotion (as in Vālmīki), immediately defending herself with an appeal to the order of dharma.

Bhaṭṭi’s patron the often sub-imperial court of the Maitrakas of Valabhī (likely II or III) emerged as feudatories of the Guptas, later again uniting (in alliance) with an imperial state- formation: emperor Harṣa. This kingdom thus had a unique investment in order atop order; all of its Sanskrit inscriptions maintain the standardized elegance of Gupta epigraphy. The interaction of form and content in Bhaṭṭi thus alludes to further interaction with material context; providing a unique outlook on early medieval Indian history.

467 Special Panels 17th World Sanskrit Conference, 2018

SP 2: After the Critical Edition: What Next For Mahābhārata Studies?

The Text-Historical Method Reconsidered: Lessons From the Bhagavadgītā Vishwa Adluri Hunter College (New York, NY, USA)

The Bhagavadgītā, which occurs in the Bhīṣmaparvan of the Mahābhārata, is an ideal test case to study the application of the historical-critical method (historische-kritische Methode) to the Mahābhārata. The majority of studies claim that the Bhagavadgītā was a later addition to an earlier, heroic warrior epic (see Adluri and Bagchee 2014), with some arguing that it underwent a similar process of expansion as the Mahābhārata itself. The layers identified in the Bhagavadgītā have been considered a facsimile in miniature of the epic’s growth process (Ježić 1986) and it has been called a paradigmatic example of the Mahābhārata’s “Brahmanization” (Von Simson 1968/69, Brockington 1997). A close reading of the Bhagavadgītā in relation to its immediately preceding and succeeding units in the Bhīṣmaparvan therefore offers important clues to the Mahābhārata’s composition and history. This presentation will discuss the problems with Textschichtung or Schichtenanalyse (Malinar 2007) and with the notion that these reductive methods permit a reconstruction of the Bhagavadgītā’s Textgeschichte (see Adluri and Bagchee 2016).

468 17th World Sanskrit Conference, 2018 Special Panels

Working With the Mahābhārata Critical Edition Joydeep Bagchee Ludwig Maximilian University of Munich (Munich, Germany)

This presentation provides a guide to the Mahābhārata critical edition. In the first part, I discuss Sukthankar’s editorial principles in the Prolegomena and the particulars of the manuscript tradition. I also provide an overview of the different volumes. In the second, I examine commonplace misunderstandings about the edition: (1) that it reconstructs the “normative redaction” of the Mahābhārata; (2) that it provides a mere “working instrument” rather than a readable text; (3) that “higher criticism” permits us to reconstruct an earlier stage of the tradition; (4) that extensive contamination makes it impossible to draw up a stemma; and (5) that the editors classified the manuscripts “by script” (i.e., the so-called Schriftartprämisse). I will show how scholars invested in a heroic oral epic used circular and tendentious arguments to undermine the critical edition, and conclude by defending the complete edition, i.e., constituted text and critical apparatus as the basis for all future work on the Mahābhārata.

469 Special Panels 17th World Sanskrit Conference, 2018

Prayojana and Phala: The Mahābhārata’s Reception Through Its Commentaries Jahnavi Bidnur Indic Academy (Pune, MH, India)

The Mahābhārata proclaims itself a dharmaśāstra and a mokṣaśāstra (1.57.33). In this presentation, I present extracts from two commentaries—Devabodha’s 10th c. commentary Jñānadīpikā and Nīlakaṇṭha’s 17th c. commentary Bhāratabhāvadīpa—to illustrate the traditional reception of the Mahābhārata as a dharmaśāstra text for bālānāṃ sukhabodhāya, i.e., for the ease of new learners of the dharmaśāstra. In contrast to the Western reception of the text (Adluri and Bagchee 2014), I show how the Sanskrit śāstra tradition developed sophisticated conventions like maṅgala, anubandhacatuṣṭaya (adhikārin, prayojana, viṣaya, and sambandha), and phalaśruti to conceptualize the unity of the text. Anubandhacatuṣṭaya confers integrity and inter–referentiality to text; maṅgala, prayojana, and phala confer equivalence on the text. The Mahābhārata commentaries contain passages corresponding to anubandhacatuṣṭaya in the first paravn (Ādiparvan) and a phalaśruti in its final parvan (Svargārohaṇaparvan). Basing myself on the critically constituted text and commentaries, I argue that the Mahābhārata’s prayojana (purpose) is the interpretation of dharma.

470 17th World Sanskrit Conference, 2018 Special Panels

SP 3: Binding Liberation: A Roundtable around the idea of Liberation in Sanskrit Culture

Denying Saṃsāra in the First Place Kengo Harimoto Mahidol University (Salaya, Thailand)

Several prominent Indian philosophers’ teachings may be interpreted as denying saṃsāra. The examples I will choose here are Yājñavalkya of the Bṛhadāraṇyaka-Upaniṣad, Nāgārjuna and his Mūlamadhyamakakārikās, and Śaṅkara in his commentary on the Brahmasūtra, Bṛhadāraṇyaka- Upaniṣad and some other works ascribed to him. I will cite passages that may be taken to teach their non-belief in reincarnation. Apparently, I am not the only person who thinks some philosophers offered instant liberation by denying the reality of saṃsāra. I will cite those who criticize some teachings as denying saṃsāra. According to these critics, denying the reality of saṃsāra is undesirable. Authors such as Maṇḍana Miśra criticised some teachings as endangering the whole apparatus of path to liberation. Interestingly, Maṇdana criticised Dharmakīrti’s philosophy, too, as rendering the whole teachings of liberation meaningless. Although subjective, Yājñavalkya, Nāgārjuna, Dharmakīrti and Śaṅkara are some of the most fascinating philosophers in whose teachings generations and generations of people, scholars or otherwise, have had interests, in India and beyond. I find it interesting that philosophers who could have taught unreality of saṃsāra after all remain to be most influential of Indian philosophers.

471 Special Panels 17th World Sanskrit Conference, 2018

Jayanta on Kumārila’s View of Liberation Kei Kataoka Kyushu University (Fukuoka, Japan)

In his Nyāyamañjarī, Bhaṭṭa Jayanta expounds liberation when commenting on Nyāyasūtra 1.1.22: “tadatyantavimokṣo ’pavargaḥ.” The main structure of this long section can be divided into five subsections: 1. Sūtravyākhyānam; 2. Mokṣasvarūpam; 3. Mokṣopāyaḥ; 4. Tattvajñānam; 5. Upasaṃhāraḥ. Each of seven schools (Naiyāyika, Brahmādvaita, Śabdādvaita, Vijñānādvaita, Sāṃkhya, Jaina, Yoga) which all accept tattvajñāna as a means for liberation is discussed in section 4. Kumārila’s view, on the other hand, is discussed in section 3, immediately after refuting a pessimistic view that liberation is totally impossible for human beings. In this paper I would like to focus on Jayanta’s description of Kumārila’s view of liberation and evaluate Jayanta’s attitude to Kumārila.

472 17th World Sanskrit Conference, 2018 Special Panels

SP 4: Introducing Bhāgavata Purāṇa Commentaries: Vaiṣṇava and Advaita Perspectives on the Opening Verse from the 13th to 19th Centuries

Pratyakṣa: The “Manifest Form” in Swaminarayan Interpretations of Bhāgavata Purāṇa 1.1.1 Arun Brahmbhatt St. Lawrence University (New York, NY, USA)

Sahajānand Svāmī (1781-1830), the founder and revered deity of the Swaminarayan sampradāya, included the Bhāgavata Purāṇa among eight Sanskrit texts he considered authoritative. Frequent citations from the Bhāgavata Purāṇa are found in Sahajānand Svāmī’s oral teachings as collected in the Gujarati Vacanāmṛt, as well as in the tradition’s subsequent Sanskrit commentarial literature. Though his immediate disciples composed commentaries on select portions of the Bhāgavata Purāṇa, the first full commentary on the text is attributed to Ācārya Bhagavatprasād (1838-1879), the grandson of Sahajānand Svāmī’s younger brother. This commentary, the Bhaktamanorañjanī, also bears an introductory section attributed to Bhagavatprasād’s son, Ācārya Vihārīlāl (1852-1899).

In this paper, I explore the exegetical strategies by which the later Sanskrit commentators encode Sahajānand Svāmī’s unique interpretation of the first verse of the Bhāgavata Purāṇa. Within the Gujarati Vacanāmṛt, Sahajānand Svāmī’s interpretation is focused on the latter half of the verse, including a reading of the word “dhāmnā” that emphasizes the ever-present manifest form of God on earth. This is critical to the sampradāya’s teaching that Sahajānand Svāmī himself is the manifestation of parabrahman. The commentators embed this teaching within a broader context of traditional interpretations of this verse, arguing for continuity as opposed to radical innovation. As such, these commentaries are part of a project of recasting Sahajānand Svāmī as the central referent of authoritative Vaiṣṇava texts like the Bhāgavata Purāṇa, thereby authorizing Sahajānand Svāmī as a viable object of bhakti.

473 Special Panels 17th World Sanskrit Conference, 2018

Tradition Beyond Sub-Commentaries: Influence and Innovation in Baladeva Vidyābhūṣaṇa’s Commentary on Bhāgavata Purāṇa 1.1.1 David Buchta Brown University (Providence, RI, USA)

The 18th-century Gauḍīya Vaiṣṇava Vedānta theologian, Baladeva Vidyābhūṣaṇa, composed a commentary on the Bhāgavata Purāṇa in which the influence of at least three sources can be demonstrated: Madhva’s Dvaita Vedānta, Śrīdhara Svāmin’s commentary on the Bhāgavata, and especially Baladeva’s own Gauḍīya Vaiṣṇava tradition. Baladeva’s commentary, however, does not take the form of a sub-commentary on the works of any of his predecessors and he does not consistently follow their wording or glosses. Rather, as a well-read intellectual, he draws from a variety of sources, including other works from these predecessors, to craft an original interpretation of the text. Thus, his commentary provides a helpful model for the rich forms that “tradition” can take in Sanskrit intellectual culture. This paper examines Baladeva’s commentary on the Bhāgavata Purāṇa’s theologically dense opening verse, identifying sources and sifting through the various strands of influence on Baladeva’s exegesis while highlighting his departures from his predecessors. It thus contributes to the creation of a more nuanced intellectual biography of this early modern Vaiṣṇava theologian, countering earlier, oversimplified claims of his indebtedness to Madhva’s tradition. The paper argues that Baladeva held a consistent theology that was distinctively Gauḍīya Vaiṣṇava in many ways. Yet, Baladeva maintained a style that was outward-facing and exoteric. The paper suggests that Baladeva, who played a central role in defending the Gauḍīya Vaiṣṇava tradition in Jai Singh’s court, sought to engage an audience outside of that tradition and thus avoided the often indulgent and esoteric line of interpretation of his predecessors in the Gauḍīya Vaiṣṇava tradition.

474 17th World Sanskrit Conference, 2018 Special Panels

Making a Vaiṣṇava Theologian: Vaṃśīdharaśarma’s Reading of Śrīdharasvāmin’s Bhāvārthadīpikā Jonathan Edelmann University of Florida (Gainesville, FL, USA)

Śrīdhara Svāmin is widely known as the Advaita theologian who wrote commentaries on the Bhagavadgītā, Viṣṇupurāṇa, and Bhāgavatapurāṇa in the late 14th or early 15th century. His commentary on Bhāgavata 1.1.1 engages many of the key terms in Advaita theology (mithyā, adhyāsa, svarūpalakṣaṇa, avabhāsa, etc.), yet the entirety of his theology is interpreted variously in Sanskrit, Hindī, and European scholarship. Over time the Gauḍīya Vaiṣṇava tradition and the larger Vaiṣṇava world in North India made a pointed attempt to bring Śrīdhara Svāmin into the fold of orthodox Vaiṣṇava theology. The goal of this paper is to first review how Śrīdhara Svāmin was characterized by Jīva Gosvāmin and Nābhādās, as well as 20th century secondary literature, and second to examine of Vaṃśīdhara’s (a late 19th century Sanskrit author inspired by the Gauḍīya Vaiṣṇava tradition) attempt to methodically read Śrīdhara Svāmin as articulating an orthodox Vaiṣṇava theology.

475 Special Panels 17th World Sanskrit Conference, 2018

“Bait for the Advaitins”: The Enigmatic Theology of Śrīdhara Svāmī in his Commentary on the Bhāgavata Purāṇa Ravi M. Gupta Utah State University (Logan, UT, USA)

In a 1994 article, Daniel Sheridan laments the fact that Śrīdhara Svāmī’s 14th century commentary has become virtually synonymous with the Bhāgavata Purāṇa. This conflation, he argues, does a disservice to Śrīdhara, for by assuming his reading as natural, we ignore his genius in offering an interpretation of the Purāṇa that eclipsed most others before him and dominated the subsequent commentarial tradition. Thus, Sheridan issues a clarion call for further study of Śrīdhara Svāmī and his Bhāvārtha- dīpikā commentary on the Bhāgavata Purāṇa. Despite Śrīdhara’s inestimable influence on Vaiṣṇava traditions from the 15th century onward, he remains an enigma for both theologians and scholars of Vaiṣṇavism. Śrīdhara is generally regarded as a saṃnyāsī within Śaṃkara’s Advaita tradition, and yet his predilection for bhakti has made him a torchbearer for Vaiṣṇava commentators. In the late 15th century, the Caitanya Vaiṣṇava thinker Jīva Gosvāmī acknowledges Śrīdhara’s enigmatic theology by suggesting that “the pure Vaiṣṇava Śrīdhara Svāmī” sometimes included non-dualist views in his commentary in order to entice Advaitins to appreciate the greatness of the personal Deity. Śrīdhara himself does not help the matter when he states that he wrote his commentary in order to purify his sampradāya. Setting aside questions of intent and formal affiliation, this paper will attempt to answer Sheridan’s call by examining Śrīdhara’s theological standpoint. While a full study of Śrīdhara’s theology is much beyond the scope of this paper, we will make a beginning on that project by examining his commentary on some passages in the Bhāgavata that are particularly susceptible to an Advaita interpretation, as well as some that are rich from a Vaiṣṇava standpoint. These passages, including the Bhāgavata’s opening verse, will serve as a kind of litmus test for Śrīdhara’s theology, and they will help counter earlier, simplistic assessments of his theology.

476 17th World Sanskrit Conference, 2018 Special Panels

The Three Interpretations of the Word Dhīmahi: Madhusūdana Sarasvatī’s Commentary on Bhāgavata Purāṇa 1.1.1. Tomohiro Manabe Japan Society for the Promotion of Science (Tokyo, Japan)

Madhusūdana Sarasvatī, an Advaita Vādin, in 16th century, wrote the Paramahaṃsapriyā, a commentary on Bhāgavata Purāṇa 1.1.1-3. In his commentary, Madhusūdana explained Bhāgavata Purāṇa 1.1.1 from three points of view namely, the Advaita School (Aupaniṣada), the Sātvata School (or Paurāṇika), and the Bhakti School (or Ālaṅkārika). In each standpoint, he interpreted the word dhīmahi in the first verse in different senses. He interpreted dhīmahi in the sense of the contemplation (nididhyāsana) in the standpoint of the Advaita School. In contrast, he interpreted it in the sense of the veneration (upāsana) from the perspective of the Sātvata School. Although not explicitly stated, from the viewpoint of the Bhakti School, he is perhaps considered to interpret dhīmahi in the sense of devotion (bhakti). In this presentation, I first clarify that the three interpretations of dhīmahi correspond to the three interpretations of the words satyaṃ paraṃ in Bhāgavata Purāṇa 1.1.1. Then, I argue that dhīmahi in the standpoint of the Bhakti School is interpreted in the sense of devotion by cross-examining Madhusūdana’s other works, the Bhaktirasāyana, the Bhagavadgītāgūḍhārthadīpikā and so forth.

477 Special Panels 17th World Sanskrit Conference, 2018

Rejecting Absolute Monism: The Commentaries of Madhva and Vijayadhvaja on Bhāgavatapurāṇa 1.1.1. Kiyokazu Okita Sophia University (Tokyo, Japan)

It is said that Madhvācārya (1238-1317) came to reject Advaita Vedānta and established his teaching of dualism after reading the Bhāgavata Purāṇa (Glasenapp 1992: 22; Elkman 1986: 111–112). He emphasized the importance of the Bhāgavata calling it ‘the fifth Veda (Mesquita 2000: 131)’, and wrote a commentary called the Bhāgavatatātparyanirṇaya on selected verses in the text. Besides, his commentary predates that of Śrīdhara (14th century), making it the oldest of its kind available to us. Thus Madhva’s Bhāgavata commentary occupies a crucial place in the history of Dvaita Vedānta as well as in the development of the Bhāgavata tradition.

In this paper, I examine Madhva’s commentary on the first verse of the Bhāgavata, focusing on the following three points. First, I compare his exegesis of the verse with those of other major commentators such as Śrīdhara and Madhusūdhana, with an intention to understand how he refutes Advaitic ideas. I will also compare Madhva’s Bhāgavata commentary with his other works such as the Viṣṇutattvavinirṇaya. Second, I examine a passage from the Tantrabhāgavata Madhva cites in his commentary, and address the issue of untraceable citation which has been raised by Roque Mesquita (2000, 2008). Third, I discuss a citation which Madhva attributes to the Gāruḍa Purāṇa, and its influence on the early Gauḍīya Vaiṣṇava authors such as Jīva Gosvāmī (16th century). This citation extols the Bhāgavata as the essence of the Brahmasūtra-s, the Mahābhārata, the Gāyatrī mantra, and the Veda-s. Based on the same verse, Jīva argued the supremacy of the Bhāgavata over any other texts including the Veda-s. Since Madhva’s commentary is terse and in many places he does not spell out his ideas fully I also use the commentary of Vijayadhvaja (1410-1450), the Dvaita commentator per excellence on the Bhāgavata, in order to supplement my analysis of Madhva.

478 17th World Sanskrit Conference, 2018 Special Panels

SP 5: Key Debates about Theology and Society in later Viśiṣṭādvaita-Vedānta and Madhva Vedānta

Polemics and Social Hierarchies: Viśiṣṭādvaita and Dvaita Perspectives on the Apaśūdrādhikaraṇa Ajay Rao University of Toronto (Toronto, ON, Canada) Valerie Stoker (Wright State University)

Viśiṣṭādvaitins and Dvaitins competed and collaborated in both philosophical discourse and the social worlds of late Vijayanagara, as examined by Valerie Stoker in the context of the growth of maṭhas at the Tirupati- complex. This paper traces the nexus between polemics and the social in the development of positions on the Apaśūdrādhikaraṇa, Brahma Sūtra 1.3.34-38 (which deals with the prohibition of Vedic study for śūdras). Analysis of this sūtra is found in a number of Dvaita and Viśiṣṭādvaita works, most notably Vyāsatīrtha’s sixteenth-century Tātparyacandrikā, where the author trenchantly critiques Rāmānuja’s treatment in the Vedāntadīpa. Vyāsatīrtha argues, contra Rāmānuja, that śudras retain a capacity for knowledge of brahman through their access to smṛtis, despite their ineligibility for Vedic learning. Our paper examines a number of related questions. When did Dvaitins and Viśiṣṭādvaitins first take notice of each other’s positions on this adhikaraṇa? What are the patterns of citation and engagement, and how do these shift in intensity with Vyāsatīrtha? Does Vyāsatīrtha’s critique engender a response the Viśiṣṭādvaita side? And, more generally, how do we understand the advocacy of śūdra knowledge in orthodox brahmanical discourse?

479 Special Panels 17th World Sanskrit Conference, 2018

Do Knowledge (jñāna), Effort (prayatna) and Will (icchā) Need a Body? Veṅkaṭanātha on God (Īśvara) at the Time of Creation (sṛṣṭikāle) Marcus Schmücker Austrian Academy of Sciences (Vienna, Austria)

Focusing on a central topic, which can be compared with arguments of the Mādhva Vedānta, especially Vyāsatīrtha’s refutation of the Naiyāyika’s position concerning the inference of God, I will deal, on the side of Viśiṣṭādvaita Vedānta, with Veṅkaṭanātha’s refutation (esp. the third chapter in the Nyāya-siddhāñjana) of Udayana’s view that God’s existence can be proved by inference (anumāna). Highlighting Veṅkaṭanātha’s own view that the manifestation of the universe is not unique, but caused by a succession of willing acts (icchāsantāna) belonging to God, who desires to create, I outline the background to his theory partly of God’s knowledge (jñāna), effort (prayatna) and will (icchā), partly of God’s relation to the adṛṣṭa of the individual souls, and finally why he accepts (like his pre- decessors) a body (śarīra) which is inseparable from God’s self and attributive knowledge (dharmabhūtajñāna). Contrasting these keypoints with Udayana’s own theory of the eternity of knowledge, effort and will, as well as his refusal to accept that God has a body and its relation to the adṛṣṭa, correspondences to, but also deviation from, Vyāsatīrtha’s arguments against his own opponent will be demonstrated.

480 17th World Sanskrit Conference, 2018 Special Panels

Inference and God in Mādhva Vedānta: Vyāsatīrtha on Gaṅgeśa Michael Williams Austrian Academy of Sciences (Vienna, Austria)

Vyāsatīrtha (1460–1539) was the most influential philosopher of the Mādhva school in the sixteenth century. He wrote detailed critiques of Advaita, Viśiṣṭādvaita and Navya-Nyāya philosophy which helped propel the Mādhvas into the centre of the Indian philosophical world. Vyāsatīrtha and the Viśiṣṭādvaitin philosopher Veṅkaṭhanātha were both concerned with showing that the formal inferences to establish the existence of God advanced by Naiyāyikas such as Udayana and Gaṅgeśa were fatally flawed. Although Vyāsatīrtha and Veṅkaṭhanātha were devotees of the God Viṣṇu- Nārāyṇa, they argued that in itself inference is inconclusive, and that only scripture can really reveal God’s existence and nature. In this paper, I will present a philosophical analysis based on my translations of some salient parts of Vyāsatīrtha’s critique of Gaṅgeśa’s arguments in the siddhānta of the Īśvaravāda of the Tarkatāṇḍava. In particular, I will examine how Vyāsatīrtha undermines Gaṅgeśa’s claim that human fabrications such as pots provide the perceptual basis (dṛṣṭānta) for inferring the existence of God.

Like Veṅkaṭhanātha, Vyāsatīrtha argues that this analogy fails because the facts we observe about human fabricators are incompatible with the concept of a divine creator. Vyāsatīrtha rethinks the old argument pressed against the Naiyāyikas that God must, like human creators, have a body to create the world. He shows considerable originality in developing the argument as the charge that the inference suffers from the flaw of requiring an “additional condition” (upādhi), in this case “being produced by a body that does not operate by means of the unseen” (adṛṣṭa-advāraka-śarīra- janyatvam). In this context, I will examine Vyāsatīrtha’s broader case that the perceptual evidence Gaṅgeśa adduces does not permit him to postulate the existence of eternal knowledge and desire, and that God necessarily requires a body to possess these attributes at all.

481 Special Panels 17th World Sanskrit Conference, 2018

SP 6: Literary Commentaries and the Intellectual Life of South Asia

“Galitavayasām Ikṣvākūnām Idaṃ Hi Kulavratam” the Commentators’ Interpretations of the Passages Describing the Renunciation of Kings in the Raghuvaṃśa Csaba Dezső Eötvös Loránd University (Budapest, Hungary)

At the end of their life, after transferring the kingdom to their grown-up sons, several kings of the Sūryavaṃśa chose to retire from the world and devoted their last years to asceticism and meditation. The verses of the Raghuvaṃśa describing these renouncer kings show considerable variation mainly along the lines of which āśrama (stage of life) the king enters at the end of his life. In many cases the commentators discuss these variants and argue pros and cons the availability of saṃnyāsa for people of royal status, thus participating in a larger medieval debate observable in texts on dharmaśāstra. Particularly interesting is the case of Raghu, the verses about whose final years are rich in details and have been transmitted with significant variants, giving scope to the commentators to support or reject these pāṭhāntaras. The paper takes into consideration of former studies on the subject by Tsuchida, Olivelle and Goodall, and most importantly discusses both published and unpublished commentaries on the Raghuvaṃśa (to the latter category belong Vallabhadeva, Śrīnātha and Vaidyaśrīgarbha), examining what authorities they quote to give support to their views on this dharmaśāstric issue, as well as the efforts they make to present the Raghuvaṃśa as being both internally consistent and in harmony with the teachings on dharma they consider valid. The results presented in the paper are partly the outcome of the ongoing editorial work on the Raghupañcikā of Vallabhadeva, started by Dominic Goodall and Harunaga Isaacson, joined recently by Csaba Kiss and myself.

482 17th World Sanskrit Conference, 2018 Special Panels

Nastikanindā in Kuṭiyāṭṭam Stage Manuals Pambungal Kunjunny Dharmarajan Sree Sankaracharya University of Sanskrit (Kalady, KL, India)

Kuṭiyāṭṭam is the oldest living tradition of Sanskrit theatre in India. It is sustained as a temple art in Kerala, enacted by a hereditary community known as the Cakyars. Kerala preserved many stage manuals called Kramadīpikās and Āṭṭaprakāras in Sanskrit and Malayalam. Kramadīpikās explain the procedures to be adopted for the staging of the plays and deal with songs, dance-steps, ragas and stage directions. Āṭṭaprakāras indicate the acting methods, transforming the meaning of the passages to be enacted into a connected continuously moving story.

The Kramadīpikā of the Bhagavadajjuka, a product of the thirteenth century, is one of the earliest manuals available to us. A study of it reveals the process of evolution of Sanskrit theatre to Kuṭiyāṭṭam. The simple farce which would normally have taken around three hours for its presentation was extended to thirty six nights adding many upākhyānas hardly having any thematic relation or relevance to the original text of Bodhāyana. In all probability they were invented by the resourceful Cakyars.

The additions were necessitated also by the pressure of socio-economic compulsions. After the decline of the centralized Cera Dynasty, Kerala witnessed to the emergence of small power structures involving feudal land lords and chieftains. Temples were the cultural centres where people gathered to witness the temple arts. Kuṭiyāṭṭam performers made use of their art to serve the social needs and they prepared the prolonged stage manuals elaborating the essential customs and rituals of Indian feudal system to be maintained in the life style of the people. Thus they included and interpreted the house hold duties to be observed through four āśramas, philosophical discourses and other streams to maintain cāturvarṇya society. As a part of this process, nāstikanindā occupied a prominent place in the Akapporuḷ explanations of the Kramadīpikā.

483 Special Panels 17th World Sanskrit Conference, 2018

Stage Philology: Between Theory and Practice in Nāṭaka-commentaries from Kerala Elisa Ganser and Daniele Cuneo University of Zurich (Zürich, Switzerland), Université Sorbonne Nouvelle - Paris 3

The present paper aims to uncover the relationship between textual authority and performative practices in the pre-modern period. Our concerted analysis will be based on four texts stemming from Kerala: two anonymous commentaries on nāṭakas, which are especially informative about living practices and their being grounded in the prescriptive texts, i.e. the Abhijñānaśakuntalacarcā (on Kālidāsa’s Abhijñānaśāskuntalā) and the Cūḍāmaṇivyākhyā (on Śaktibhadra’s Āścāryacūḍāmaṇi), one nāṭaka commentary by Śivarāma, i.e. the Vimarśinī (on Harṣa’s Nāgānanda), as well as the Naṭāṅkuśa, a short śāstric text criticizing the practice of living actors with reference to normative standards based on the text of Bharata’s Nāṭyaśāstra. The specific focus on three nāṭaka-commentaries and the semi- commentarial text of the Naṭāṅkuśa falls within the explicit project of the Special Panel “Literary Commentaries and the Intellectual Life of South Asia”, not only insofar as “literary commentaries are crucial for understanding the relation between theoretical prescriptions and compositional/ performative practices” but also by dint of our specific aim of attempting to identify the various prescriptive texts that were perused by commentators from among the “host of knowledge systems (nāṭyaśāstra, alaṃkāraśāstra, vyākaraṇa, mīmāṃsā, etc.) […] employed, combined and creatively re- functionalized in literary commentaries.”

484 17th World Sanskrit Conference, 2018 Special Panels

Untangling the Mahākāvya: Jonarāja’s Commentaries of Bhāravi’s Kirātārjunīya and Maṅkha’s Śrīkaṇṭhacarita. Chiara Livio Sapienza University of Rome (Rome, Italy)

Jonarāja, pandit at the court of Zayin-al-‘Ābidīn (Kashmir, XV CE), was not only renowned for the second History of the Kings of Kashmir (dvitīya-Rājataraṅgiṇī), but also for being the commentator of three mahākāvyas, namely the Kirātārjunīya of Bhāravi (VII CE), the Śrīkaṇṭhacarita of Maṅkha (1140/1144 CE) and the Pṛtvīrājavijaya of Janaka (1192 CE). While an extensive parallel between Jonarāja’s introductory verses to the first two poems has already been drawn (Obrock 2015, pp. 76-80), a further in-depth analysis of them remains necessary: if on the one hand Jonarāja “attempts to break down these poems in simple language” (Obrock 2015, p. 76) for the sake of the new reigning elite, thus provided with a more intelligible reading of the mahākāvyas, on the other hand, his way of glossing is not simplistic, but “hervorragende” (Slaje 2015, p. 33), considering the complexity of both Bhāravi’s and Maṅkha’s style.

In order to evaluate the strategies and modes of expression adopted by the commentator in his ṭīkās, I propose a study of Jonarāja’s commentaries to the Kirātārjunīya and the Śrīkaṇṭhacarita as follows: firstly, I will select a series of lines concerning the common theme of “heroes and yaśas” in both of the poems, while analysing Jonarāja’s related ṭīkās separately. Secondly, I will point out similarities and differences in Jonarāja’s own approach to the aforementioned verses by cross-referencing these two commentaries. Thirdly, by comparing his Kirātārjunīya-ṭīkā to Mallinātha’s one, the best-known Ghaṇṭāpatha, I will examine to what extent commentaries on perfectly corresponding lines can produce such a different literary output. In conclusion, given the aim of Jonarāja’s compositions, namely writing in the most unambiguous way for a patron and a court not acquainted with the Hindu tradition, I will investigate how the author himself, a Kashmiri Brahman, perceives these two earlier mahākāvyas in his understanding of the cultural values whose harbinger he intended to be.

485 Special Panels 17th World Sanskrit Conference, 2018

Commentaries in the South Indian Sanskrit Theatre Tradition Kūṭiyāṭṭam: A Medieval Integration Project? Heike Oberlin University of Tübingen (Tübingen, Germany)

Kūṭiyāṭṭam is the only form of Sanskrit theatre in the entire region of South Asia with an unbroken tradition (> 1000 years). Part of its complex structure are stage manuals including elaborate commentaries of various kinds. Developed by and for an educated audience in Kerala, kūṭiyāṭṭam plays with several languages (Sanskrit, Prakrits, Malayalam, Manipravalam) and brings the Indian commentary tradition to life on stage by translating the texts involved into hand gestures and stylized mime or directly into Malayalam. In plays without a chester, a vidūṣaka, interpretations of the so- called nāṭaka, the play proper, are staged word by word through hand gestures following a Malayalam text. In addition, commentaries provide background and side information in long inserted flash- backs, nirvvahaṇam. Interestingly the dialogues in Sanskrit or Prakrit are also translated into the same complex gesture language which has an agglutinating structure like Malayalam. The vidūṣaka characters play a special role in performances in the kūṭiyāṭṭam style: They speak in the vernacular of Kerala, Malayalam, and act as translators and commentators. Their explanations include not only references to myths or purāṇic stories by making use of additional Sanskrit verses from different sources, they also comprise anecdotes mirroring the scene of the play, linking it to the medieval Kerala society. Thus, the richness of a kūṭiyāṭṭam performance lies in the different kinds of commentaries included. They make up the staging of a single act as a holistic event lasting up to 41 days by building a bridge between the world of the Sanskrit plays, the mythology and culture presented there, and the extreme south west of the subcontinent, its language and customs.

486 17th World Sanskrit Conference, 2018 Special Panels

Nāṭaka as Śāstra: Over (and Under) Interpreting Bhavabhūti Luther Obrock University of Toronto (Toronto, ON, Canada)

Scholars tend to see the history of rasa in the South Asian dramatic tradition as written by theorists, especially as laid down in Bharata Muni’s Nāṭyaśāstra and interpreted through the Kashmiri aesthetic tradition, especially Abhinavagupta’s commentaries. The later commentaries on drama then apply the terminology and expectations of these theoretical texts to the dramas themselves, removing any voice on rasa from playwrights and poets. Indeed, isolated verses from canonical dramas such as Bhavabhūti’s highly learned Uttararāmacarita are often quoted to support the opinions of theorists, but the work as a while itself is never read as itself reflecting and shaping on theoretical tradition. In this talk, I argue that dramatists in poets had their own ideas and interventions in the history of rasa. Using the Uttararāmacarita as an example, I show that Bhavabhūti shaped his own theory of rasa, and that our understanding of Sanskrit theory and drama can be vastly enriched by placing playwrights in conversations with śāstrins.

487 Special Panels 17th World Sanskrit Conference, 2018

Commentary as Metalinguistic Communication Deven Patel University of Pennsylvania (Philadelphia, PA, USA)

The Sanskrit commentary – on foundational philosophical texts, on classic literary works, or on works of important theologies tied to various teachers or doctrines – functions on multiple levels of communication. While the useful knowledge this “secondary” genre explicitly provides has been the major focus of most studies, through short examples, this paper aims to introduce the commentary as a source of implicit information that is often tied to its rhetorical strategies, its organizational structures, and its peculiar linguistic usages.

488 17th World Sanskrit Conference, 2018 Special Panels

SP 7: Mīmāṃsā Beyond the Yāgaśālā

Viśiṣṭādvaita Vedānta Application of Mīmāṃsā Hermeneutics in Doctrinal Validation Manasicha Akepiyapornchai Cornell University (Ithaca, NY, USA)

This study investigates how Mīmāṃsā hermeneutics was used for doctrinal validation in the Viśiṣṭādvaita Vedānta school between the time of Rāmānuja, the founder of the school, and Vedāntadeśika, the most famous teacher after Rāmānuja. Vedāntadeśika’s application of Mīmāṃsā plays a crucial role in the development of the soteriological doctrine of self-surrender (prapatti), arguably a spiritual practice at the time of Rāmānuja. His application formalized prapatti, at first intended to be an alternative to bhaktiyoga, into a sacrificial ritual, locating it in Mīmāṃsā context. In this paper, I would like to illuminate this topic by focusing on pre-Vedāntadeśika application of Mīmāṃsā principles to answer the questions: Why did Vedāntadeśika decide to use Mīmāṃsā hermeneutics in systematizing prapatti? And was his decision original or not? Specifically, I will explore discussions on Mīmāṃsā hermeneutics and prapatti in the works of Viśiṣṭādvaita Vedānta teachers, namely Vātsya Varadaguru, Nārāyaṇarya, and Meghanādārisūri.

489 Special Panels 17th World Sanskrit Conference, 2018

The Logic of Grammatical Compounds: What’s At Stake Over Samāsas in Gaṅgeśa’s Theory of Language? Patrick T. Cummins Cornell University (Ithaca, NY, USA)

Gaṅgeśopādhyāya’s Tattvacintāmaṇi (c. 1320AD) inaugurated a revolution in Indian philosophy, yet its Śabdakhaṇḍa (“The Section on Language”) has never been subjected to intellectual-historical study.

Gaṅgeśa builds a comprehensive system on language where Nyāya formerly had a cluster of positions — to dethrone the language philosophy systems of (no-longer-extant) post-Śālikānātha Prābhākara Mīmāṃsā. Gaṅgeśa weaves together core positions of his Nyāya predecessors (Udayanācārya, etc.), fleshes out Mīmāṃsā-inflected hybrid positions on a range of topics underdeveloped by earlier Nyāya (e.g., pravṛtti [“motivational behavior”]), repurposes & tweaks positions from non-Prābhākara scriptural hermeneutics (Maṇḍanamiśra, etc.) to support Nyāya’s rational theology, and so on. Here, I explore this bigger intellectual-historical narrative through the thread of the “Debate on Compounds” (samāsavāda). Gaṅgeśa makes a lengthy, complex argument against secondary figurative usage (lakṣaṇā) of a state of unity (sāhityāvasthā) in copulative compounds (dvandvas). While Gaṅgeśa articulates his interlocutor’s position, he does not spell out its implications. A germ of this Prābhākara position is traceable to 6.1.4 in Prabhākara’s Bṛhatī (mid-late 7th cent. AD) and Śālikānātha’s commentary thereupon, the Ṛjuvimalā (c. 800AD??). The text of Gaṅgeśa’s Prābhākara interlocutor is lost, but a fully developed position is preserved in the subsequent Mīmāṃsānayaviveka of Bhavanātha (DATE?) on 6.1. I chart the debate’s intellectual history, explaining the deeper implications of these two contrastive positions on samāsas for:

1. A Prābhākara theory of niyoga (“ritual obligation”).

2. Gaṅgeśa’s anti-aruṇādhikaraṇa theory of sentential hierarchy wherein nominals construe with each other non-differentially (abhedānvaya).

While compounds appear, at first flush, a matter of mere grammatical triviality, they tied in deeply to key arguments for deontology in Prābhākara Mīmāṃsā — arguments Gaṅgeśa needed to confront.

490 17th World Sanskrit Conference, 2018 Special Panels

Quality and Qualification in 16th Century Vedānta and Its Mīmāṃsā Roots Lawrence McCrea Cornell University (Ithaca, NY, USA)

Vedānta in all its major varieties had long been closely concerned with the nature and ontological implications of linguistic qualifier/qualificand relations, as the syntactic relation between adjectives and their accompanying nouns both mirrors and conveys the relation between the supreme soul or brahman and the (real or imagined) attributes ascribed to it in assorted scriptural passages. Theological controversies between Nondualist, Qualified Nondualist, and Dualist Vedāntins therefore often turned precisely on the contest between rival theories of adjectival signification.

This paper will examine one central thread of this intra-Vedāntic controversy. It begins with the 11th century Qualified Nondulist Rāmānuja who, although conforming to Mīmāṃsā language theory in most respects, found it necessary to challenge them directly on the question of adjectival syntax. Against the Mīmāṃsā claim that all nominals, both nouns and adjectives, are syntactically connected directly with the verb, and only subsequently and implicitly associated with one another, Rāmānuja, anxious to defend the view that the Upaniṣads describe the supreme soul as directly qualified by its attributes, argues that nouns and adjectives construe directly with one another through a relation of “coreferentiality” (sāmānādhikaraṇya).

The great 16th century South Indian polymath Appayya Dīkṣita formulates a detailed analysis and response to Rāmānuja’s attack on the Mīmāṃsakas’ adjectival theory, purportedly from the perspective of the Śaiva Vedānta of Śrīkaṇṭha, in his extensive commentary on Śrīkaṇṭha, the Śivārkamaṇidīpikā, and more thoroughly in his Pūrvottaramīmāṃsāvādanakṣatramālā (“A String of Constellations of Essays on Earlier and Later Mīmāṃsā”). Adopting his preferred mode of innovation through critical historical scholarship, Appayya incorporates elements of Rāmānuja’s sāmānādhikaraṇya theory, but also criticizes him, and ultimately arrives at an altogether new position that departs both from Mīmāṃsā and prior Vedānta orthodoxies, insisting that nouns and adjectives construe directly both with one another and with the verb of the sentence.

491 Special Panels 17th World Sanskrit Conference, 2018

Pragmatics and Literary Interpretation Andrew Ollett Harvard University (Cambridge, MA, USA)

The analysis of how contextual factors shape the meaning of a statement, which is called pragmatics today, was an important part of Mīmāṃsā’s approach to interpretation. Although the underlying concepts were appropriated by theorists of literature, this approach itself does not seem to have been widely used in literary interpretation. I’ll discuss two complementary exceptions. The first is an anonymous commentary on the Āścaryacūḍāmaṇi, which often applies concepts from Mīmāṃsā to elicit a contextual meaning that goes slightly beyond the literal meaning of a statement. In this part of the paper, I try to recover the basic techniques of this “interpretive pragmatics.” The second is a programmatic statement of Dhanika’s, from his lost Kāvyanirṇaya (later tenth century), that Ānandavardhana’s “suggestion” can always be reduced to the purport of a statement (tātparya), which Mīmāṃsā would determine on the basis of contextual cues. Dhanika claims that this analysis can be applied to the same Prakrit verse (“wander freely, gentle monk”) that Ānandavardhana had adduced in favor of his theory. In the surviving fragments, he doesn’t explain how this analysis might proceed. I take Dhanika’s silence as an invitation to reconstruct this analysis, relying partly on the “interpretive pragmatics” at work in the Āścaryacūḍāmaṇi commentary, and partly on more general tools from Mīmāṃsā itself.

492 17th World Sanskrit Conference, 2018 Special Panels

SP 8: Research on the Gārgīyajyotiṣa - Composition and Transmission

The Formation of the Indradhvaja Ceremony, With Reference to Gārgīyajyotiṣa 45 Marko Geslani Emory University (Atlanta, GA, USA)

The festival of Indra’s banner (indradhvaja) marks the beginning of the autumnal, pre-war rituals in the classical Hindu calendar. While it appears in the late-Vedic Kauśikasūtra, as well as in the Mahābhārata, the form of the ritual festival was standardized by the early-medieval period, in a number of purāṇic accounts. The earliest datable model for these accounts is in the sixth-century Bṛhatsaṃhitā (ch. 42). Varāhamihira’s ritual prescription establishes the Indradhvaja as a royal divinatory event, meant to indicate the chances for the king’s success in the impending military campaigns. Both in its placement within the ritual sequence of the Bṛhatsaṃhitā, and in its thematic and conventional links with rituals of warfare (yātrā) and consecration (abhiṣeka), Varāhamihira presents the Indradhvaja as an exemplar of a larger system of ritual techniques in the service of the royal office.

In this paper, I investigate the formation of Varāhamihira’s formative account of this ritual, through a comparison with the Gārgīyajyotiṣa (ch. 45), a major source for this and many other topics in the Bṛhatsaṃhitā. Garga’s version of the Indradhvaja corresponds with the quotations of Varāhmihira’s commentator, Bhaṭṭotpala, and is likely our earliest account of the classical form of the ritual. While the text confirms many aspects of Varāhamihira’s account, key differences highlight the increasing systematicity of Jyotiḥśāstric modes of ritual in Varāhamihira’s time. Establishing the ritual’s structure, I focus especially on the techniques of bali, homa, and non-Vedic mantras as major points of comparison. By examining one of Varāhamihira’s direct sources, this exercise provides a rare glimpse into the innovative process of ritual design by a single author, and shows how important conventions of public Hindu ritual were established during this formative period of jyotiṣa.

493 Special Panels 17th World Sanskrit Conference, 2018

The Place of Utpāta-Lakṣaṇa (Chapter 39 of Gārgīyajyotiṣa) in Divination Literature Koji Kumagai International College for Postgraduate Buddhist Studies (Tokyo, Japan)

Descriptions of omens and auspiciousness appear in various works, for example Atharvavedapariśiṣṭha, Brhatsḁ ṃhitā, or Purāṇas. In particular, there is a similarity between these works, in matter and style, when it comes to Garga’s theory. In Brhatsḁ ṃhitā, chapter 45, Varāhamihira wrote, “I will tell of the things that Garga avowed as omens (utpāta-) of Atri,” and cited several of Garga’s verses directly. There is a suggestion that there is a common discourse of Garga. A group of manuscripts exists entitled Gārgīyajyotiṣa or Gargasaṃhitā. It is possible that the contents of these manuscripts make up the original source of the quoted material. Despite this, very few studies have been conducted on the text and the complete text is yet to be published. It is essential that an investigation be conducted into identifying the text in which these descriptions were originally offered. In this paper, I focused on the definition of omens and auspiciousness of natural phenomena – Utpāta-lakṣaṇa (the characteristic of omens and auspiciousness) – in chapter 39 of the manuscripts of Garga’s writing. This chapter is significant because it can be regarded as the parallel of chapters such as Brhatsḁ ṃhitā chapter 45 and the other texts mentioned above; it also lists a variety of omens along with their causes, and outlines the rites that were believed to control their effects. The definition and the differences of definition were confirmed for each of the texts mentioned above, additionally Adbhutasāgara and Adbhutadarpaṇa that belong to the Nibandhasūtras. This confirmation enabled to deduce the place Garga’s chapter 39 in divination literature. According to these differences of definition, it is difficulty to specify the period of Garga’s chapter 39, it leads us to say that the MSS of this chapter might be closer to the original theory than the other related-omen collection texts.

494 17th World Sanskrit Conference, 2018 Special Panels

Planetary Science and Time-Reckoning in the Gārgīyajyotiṣa Bill M. Mak Kyoto University (Kyoto, Japan)

Among the 64 aṅgas of the large recension of the Gārgīyajyotiṣa (also known as the Gargasaṃhitā), celestial omens and planetary science (including astrology and narrative) occupy the greatest portion of the text. Some of these materials were widely cited later on, in works such as Varāhamihira’s Bṛhatsaṃhitā as well as Buddhist works such as the Śārdūlakarṇāvadāna and Amoghavajra’s Xiuyao Jing, and were considered some of the oldest sources of early, pre-siddhāntic Indian astral science. In this paper, I shall highlight some of the unique characteristics of the unedited chapters of the work where such materials are found, with focus on the planetary science and the methods of time- reckoning. In addition, I shall evaluate Pingree’s claims of the Babylonian origin of these materials, which Pingree translated but did not actually publish at the time of the publication in the 1980s.

495 Special Panels 17th World Sanskrit Conference, 2018

SP 9: Śaiva Philosophy

“I Speak Therefore (I Know That) I Am”: The Pratyabhijñā on Language and Self- Consciousness Marco Ferrante University of Oxford (Oxford, England)

The Śaiva philosophical school known as Pratyabhijñā (10th-11th c. CE) put forth a strong form of ontological non-dualism in which, at the highest level of awareness, all reality is identified with the self, more precisely with a universal consciousness that corresponds to the supreme manifestation of Śiva. This non-dualistic attitude is also at work on the epistemological level, since these Śaiva philosophers believed that there is no actual difference between a cognitive event, its subject and its content, all being manifestations of a unitary and all-embracing consciousness. In addition to that, they also claimed that all knowledge is conceptual and therefore language informed. These considerations point to two inevitable and rather bold conclusions: i) cognitions are more or less pronounced forms of self-cognition and ii) self-cognition is a language-driven phenomenon. In other words, in cognizing something one is always necessarily cognizing oneself, and this self-cognition always implies a linguistic factor. Therefore, in order to be (or, at least, in order to be conscious of one’s own existence) one must be able to speak. The paper examines the implications of these two statements, it evaluates their plausibility and analyzes how the Pratyabhijñā’s philosophers defended their validity. Furthermore, it will also consider the influence earlier thinkers might have had on the development of the Pratyabhijñā’s arguments, by discussing in particular the case of the 5th c. grammarian Bhartṛhari.

496 17th World Sanskrit Conference, 2018 Special Panels

Implicit Anthropologies in Pre-Philosophical Śaivism University of Oxford, National University of (Oxford, England)

While there are overt philosophies of the person in both dualistic and non-dualistic Śaivism that developed their doctrines explicitly in relation to each other and to non-Śaiva traditions, especially Buddhism, many Śaiva texts exemplify what might be called a pre-philosophical discourse. Such works contain philosophical ideas but do not present systematic arguments (that is the job of later commentators) and are often regarded as divine revelation (namely the tantras but other genres of literature might be included here such as paddhatis). This paper will examine divergent, implicit anthropologies within pre-philosophical literature including an implicit idealism, atomic views of a self, and cosmological views in which person is constrained within a hierarchical cosmos. These ideas will be examined with particular reference to the Netra-tantra, a text that contains distinct anthropologies articulated in three chapters on gross (sthūla-), subtle (sūkṣma-) and supreme (para-) meditations (dhyānam). The main source of my reflection will be the Nepalese recension of the text although I will draw in parallel passages from other sources. My conclusion will lend support to the view that pre-philosophical Śaiva literature such as the Netra-tantra articulates views of the self that functioned in different social contexts. Kṣemarāja’s commentary on the Kashmir recension demonstrates an attempt to impose a univocity on the text.

497 Special Panels 17th World Sanskrit Conference, 2018

How Does Speech Condition Us? The Viewpoint of the Śaiva Spanda System Michaël Meyer EPHE, Université Paris Diderot (Paris, France)

I propose to address the concept of the word in nondualistic Kashmiri Śaivism. The subject has received increasing attention in Śaivite studies, and, to a lesser extent, in those that tackle the grammatical tradition (vyākaraṇa), since the end of the sixties. So far, research has focused on the Śivadṛṣṭi of Somānanda (fl. c. 900–950) and on posterior texts which draw upon it, especially those of Abhinavagupta (fl. c. 975–1025).

By contrast, I wish to bring to light the viewpoint of the Spanda system, which emerged at least half a century before the composition of the Śivadṛṣṭi. I would like to discuss one of its important postulates: the idea that speech conditions the pure conscious subject by giving rise to dualistic cognitions (pratyaya) that enslave him. This idea is most clearly expounded in the final stanzas (45 ff.) of the Spandakārikā (9th century).

I intend to show that these stanzas might draw upon two types of linguistic speculations. On one side, the ideas of the grammarian Bhartṛhari (c. 450–510)—especially the one that cognitions (pratyaya) are necessarily intertwined with the word (Vākyapadīya 1.131ab). On the other, Śaivite speculations and ritual practices that involve the Sanskrit alphabet and that are set forth, in particular, in two Śaivite Scriptures of the Left-Hand Path (vāmamārga): the Mālinīvijayottaratantra and the Siddhayogeśvarīmatatantra.

498 17th World Sanskrit Conference, 2018 Special Panels

Philosophy and Critical Editing: On the Textual Variants and Necessary Emendations of the Śāstric Passages of the Śivadṛṣṭi and Śivadṛṣṭivṛtti John Nemec University of Virginia (Charlottesville, VA, USA)

The purpose of this presentation is to query Somānanda’s understanding of the unity of Śiva by examining selected passages of his Śivadṛṣṭi (ŚD), along with the relevant extant passages of Utpaladeva’s commentary thereon, the Śivadṛṣṭivṛtti (ŚDVṛ) or Padasaṃgati. Through a close reading of selected passages drawn from the fourth chapter, I shall illustrate the ways in which critical editing comprises an indispensable dimension of the study of this, and by extension virtually any, philosophical work; for, I suggest—perhaps unsurprisingly—that a proper interpretation of the text sometimes demands textual emendation. Drawing on variant readings of nine manuscripts, as well the published edition of the ŚD prepared by Pandit Madhusudan Kaul Shāstri (Kashmir Series of Texts and Studies, vol. 54, 1934), I examine two classes of textual interventions: those that sharpen and clarify the philosophical meaning of the text and those that correct what can only be erroneous interpretations of the same. To do so, I observe: (1) instances of the application of double-sandhi and (2) instances where sandhi is broken in possible but semantically problematic ways; (3) the unwanted inclusion or exclusion of the alpha privative (a-/an-) by dittography or otherwise; (4) the creation of doctrinal confusion by metathesis (in this instance the replacement of śiva with viśva); (5) and the introduction of imprecision by a scribe’s silent replacement of “cause” (kāraṇa) with “effect” (kārya), this by reason of a demonstrable misidentification of the lemmata. Ultimately, I argue that just as textual criticism is an indispensable tool for the study of the philosophical arguments of the ŚD and ŚDVṛ, so too a thorough understanding of the works’ philosophical concerns is indispensable to successful engagement with the text-critical work: establishing the text and interpreting it are mutually imbricated and dependent endeavors — for neither can be accomplished without the other.

499 Special Panels 17th World Sanskrit Conference, 2018

What Does Language See? Somānanda’s Dispute With Bhartṛhari on the Power of Language Ma’ayan Nidbach The Hebrew University of Jerusalem (Jerusalem, Israel)

This paper deals with the harsh criticism of Somānanda (ca. 900-950 CE) on Bhartṛhari’s philosophy, mostly on Bhartṛhari’s term Paśyantī (The Seeing Language). In his treatise, the Vākyapadīya (VP), which presents a unique blend of grammar and philosophy, Bhartṛhari (ca. 5th century CE) defines and names three stages or states of language: Vaikharī (The Solid), Madhyamā (The Middle), and Paśyantī (The Seeing). These terms appear first in the VP, and are considered to be Bhartṛhari’s original contribution, however, he himself never uses them or refers to them anywhere but in the verses and commentary, where they are defined (VP 1.159-1.170). Bhartṛhari’s three stages of language reappeared in a new context in the writings of the tenets of Kashmir Śaivism. Somānanda (ca. 900-950 CE), the first teacher of the Pratyabhijñā school dedicated the whole second chapter of his book, the Śivadṛṣṭi, to refute Bhartṛhari’s views on the prominence of language. His main target is the term Paśyantī, the potential stage of language, from which all forms, meaning and objects emerge. Somānanda’s arguments against the validity of this term reflects his efforts to deny its relevance to his new doctrine. This debate naturally involves many larger questions on both the history of early Pratyabhijñā thought in comparison to rival schools of its time, the general status of Bhartṛhari’s VP in Kashmir of this era, and more specifically his terminology of three stages of language, starting with Paśyantī.

500 17th World Sanskrit Conference, 2018 Special Panels

On Utpaladeva, Abhinavagupta, and Śaṅkaranandana: Remarks on a Recently Recovered Chapter of Utpaladeva’s Īśvarapratyabhijñāvivṛti Isabelle Ratié Université Sorbonne Nouvelle - Paris 3 (Paris, France)

The Īśvarapratyabhijñā treatise, composed in Kashmir in the 10th century CE by the Śaiva nondualist Utpaladeva, is arguably one of the most important works in the history of Indian philosophy. Unfortunately, however, it remains partly unavailable to date: a crucial component of this treatise, namely the Detailed Commentary (Vivṛti or Ṭīkā) in which Utpaladeva explained his own stanzas and Vṛtti, was until recently considered lost but for a small part preserved in a single, very incomplete manuscript remarkably edited and translated by Raffaele Torella. Yet our knowledge of the Vivṛti is quickly expanding: many additional fragments have recently come to light, not in the form of hitherto unknown manuscripts of the Vivṛti, but as annotations written in the margins of manuscripts of other works belonging to the Pratyabhijñā corpus. One of the newly discovered parts of Utpaladeva’s masterpiece—the lengthiest of all the Vivṛti fragments known to date, including the codex unicus studied by R. Torella—covers three chapters of the treatise. The aim of this paper is to present a few salient features of the first of these chapters (Īśvarapratyabhijñāvivṛti 2.1) and to show how the text can help us understand some of Abhinavagupta’s much debated remarks about the 10th century Kashmirian Buddhist philosopher Śaṅkaranandana.

501 Special Panels 17th World Sanskrit Conference, 2018

SP 10: Sanskrit Buddhist Manuscripts: Texts, Techniques, and Traditions

The Hole Story: Techniques for Mūlasarvāstivāda Manuscript Production Used by a Scriptorium in Gilgit Around the 8th Century CE Charles DiSimone BDRC, Ludwig Maximilian University of Munich (Cambridge, MA, USA)

The Mūlasarvāstivāda Buddhist tradition thrived in the first millennium CE and was prevalent in the areas of modern day North India, Pakistan, and Afghanistan. In the area of Gilgit, in Pakistan, there have been amazing manuscript finds in the last century starting with the famous ‘Gilgit Manuscripts’ found in the 1930s and more recently the Dīrghāgama manuscript, which came to the attention of scholars in the 1990s.

Although the two collections mentioned above are separated by the time they emerged into the light of scholarly scrutiny, there can be little doubt that the Gilgit manuscripts and the Dīrghāgama manuscript were produced in the same area and in a contemporaneous period. It is even quite possible that they were produced by the same scriptorium. We know that the scriptorium that produced the Dīrghāgama manuscript consisted of at least six individuals who worked together copying manuscripts by trading the verso and recto sides of birch bark folios. This paper will examine manuscript of the Mūlasarvāstivāda Dīrghāgama manuscript from the Gilgit area. By analyzing new discoveries in the paleographical and codicological features of the manuscript, new light will be shined upon the methods and practices used by the scriptorium that produced these texts centuries ago.

502 17th World Sanskrit Conference, 2018 Special Panels

The Avadānaśataka and the Kalpadrumāvadānamālā: Manuscript Traditions and Intertextual Questions David Fiordalis Linfield College (McMinnville, OR, USA)

Feer and Speyer placed the study of the Avadānaśataka upon a firm philological basis in the late 19th and early 20th centuries, but more manuscripts have since become available, both through the Nepal German Manuscript Preservation Project (NGMPP) and in the Schøyen collection. Greater attention has also been paid to the Chinese translation, prompting reconsiderations of the Avadānaśataka’s manuscript history. This paper begins by addressing what, if any, greater light the additional manuscripts – and most particularly NGMPP E-1554/24, the palm-leaf manuscript Mitsuyo Demoto identified as a candidate for being the direct source of Cambridge MS Add. 1611, Speyer’s main witness - shed upon the question of the manuscript history of the Avadānaśataka. While preparing their translation and edition, Feer and Speyer, respectively, also consulted certain manuscripts of the Avadānamālās, the latter publishing an edition of chapter ten of the Kalpadrumāvadānamālā, while providing portions from other chapters in the footnotes to his edition of the Avadānaśataka. Since that time, while some of the avadānamālā literature has been studied -for instance, the Ratnamālāvadāna was edited by Kanga Takahata and recently translated by Chandima Gangodawila in his 2015 dissertation. Little attention has been paid to the manuscripts of the Kalpadrumāvadānamālā. This paper thus continues by asking about the broader intertextual relationship to be discerned between the Avadānaśataka and the Kalpadrumāvadānamālā. Where does the Kalpadrumāvadānamālā fit among the witnesses to the manuscript traditions of the Avadānaśataka. What is its provenance with respect to the latter and with respect to the other Avadānamālās, which Will Tuladhar-Douglas, Alexander von Rospatt, and others have argued were composed in medieval Nepal around the 15th century and later. This paper will start to address these broader historical and intertextual questions by looking at the manuscript witnesses for the Kalpadrumāvadānamālā kept in Cambridge and Paris.

503 Special Panels 17th World Sanskrit Conference, 2018

How to Enter the Residence of Nāgas and Convert Them to the Buddha’s Teachings Gergely Hidas British Museum (London, England)

The Vajratuṇḍasamayakalparāja, a hitherto unpublished scripture of dhāraṇī literature, has recently been discovered to survive in four Nepalese manuscripts. The primary purpose of this tradition from ca. the 4th-6th centuries CE is to guarantee an ideal amount of rainfall through thaumaturgic weather control. A key element in this ritual process is the part when the spell-master (vidyādhara) officiant attempts to enter the abode of Nāgas, beings traditionally held responsible for precipitation, in order to overpower them.

504 17th World Sanskrit Conference, 2018 Special Panels

Some Recent Findings of Sanskrit Manuscripts From Tibet and Ongoing Projects: Munimatālaṃkāra, Āmnāyamañjarī, and Others Kazuo Kano Komazawa University (Tokyo, Japan)

The situation of studies of Sanskrit manuscript from Tibet has drastically changed in the last one or two decades. The number of international joint projects with Chinese scholars has been increased, and their results have given us access to very valuable materials. In this presentation, I shall try to overview recent studies of this field, relocate the value of these studies and ongoing projects, and focus on selected recent findings of Sanskrit manuscripts from Tibet including Abhayākaragupta’s Munimatālaṃkāra, Āmnāyamañjarī, and others.

505 Special Panels 17th World Sanskrit Conference, 2018

Some Remarks on the Tattvārthā Abhidharmakośaṭīkā Jowita Kramer Ludwig Maximilian University of Munich (Munich, Germany)

This paper focuses on the Tattvārthā Abhidharmakośaṭīkā, a commentary on the Abhidharmakośabhāṣya attributed to the Indian Yogācāra scholar Sthiramati (6th century). Until recently only the Tibetan translation of the text was available. A few years ago a Sanskrit manuscript of this very extensive commentary came to light in the collection of microfilm copies kept in the China Tibetology Research Center (Beijing). The investigation of the Tattvārthā not only provides new insights into Sthiramati’s understanding of Abhidharmic topics, but also into the very specific nature of late Tibetan translations (the commentary was translated into Tibetan only in the 15th/16th century), which often are less reliable than those produced in the main translation period from the 9th to 12th century and parts of which are not really understandable without the Sanskrit original. The first part of the presentation will discuss issues related to the critical edition of the Sanskrit text. The second part will be devoted to a comparison of the style and contents of the Tattvārthā with other works ascribed to Sthiramati, such as the Pañcaskandhakavibhāṣā, the Triṃśikāvijñaptibhāṣya and the *Sūtrālaṃkāravṛttibhāṣya.

506 17th World Sanskrit Conference, 2018 Special Panels

Verses in the Nidānaparivarta of the Daśabhūmikasūtra Youngjin Lee Geumgang University (Nonsan, Republic of Korea)

In the first section, or so called “nidānaparivarta,” of the Daśabhūmikasūtra, like other Mahāyānic scriptures, there is a story that gives authority to the scripture itself as the word of the Buddha (buddhavacana). This story is made up of repeated requests for Vajragarbha to explain the ten stages of Bodhisattvas in detail, which remind us of the “encouragement from Brahmā,” and his final acceptance of the requests after having been empowered by Buddhas to teach the stages. The story is featured in the fact that the highlights are sung in verses. Rahder and Kondō published Sanskrit editions of the text in 1926 and 1936, respectively, based on later Nepalese manuscripts from the 18th century onwards. Both of the editions include all the thirty three verses composed in various metres such as anuṣṭubh, āryā, rathoddhatā, indravajrā, upendravajrā, indravaṃśa and so on. We have known that there remains a Sanskrit manuscript written in the post-Gupta script in no later than the seventh century. This manuscript, which is yet to be studied and the earliest available Sanskrit material up to now, has the same number of stanzas as former editions. Even though some parts of the verses are damaged and missing, consulting the manuscript, we can correct readings of the former editions, or suggest to read differently than the former editors did.

In this paper, consulting the manuscript, I will show some examples that can correct words of former editions that are not written in metre and caused by wrong Sanskritization, such as santu to santa(s). In addition to this, I, adopting readings of the manuscript, suggest to read some verses differently. Some of these cases are supported by the Tibetan translation, Chinese translations, and/or the commentary on the Daśabhūmikasūtra, titled Daśabhūmikavyākhyāna. But in other cases, the manuscript has its unique readings that would be hardly attested in other materials. I believe these unique readings can show the understanding of the verses circulated in northern India in the seventh century. In this presentation, I am specifically going to deal with four nonmetric verses consisting of Buddhas’ giving authority to Vajragarbha for teaching the ten stages of Bodhisattvas. These verses are believed to be composed in anuṣṭubh metre, but seriously violate the rules of anuṣṭubh metre. Referring to other materials, I will hypothesize what has happened to these verses.

507 Special Panels 17th World Sanskrit Conference, 2018

On Leather Manuscript Fragments in the Schøyen Collection, Norway Kazunobu Matsuda Bukkyo University (Kyoto, Japan)

The Schøyen Collection in Norway contains about thirty Buddhist Sanskrit manuscript fragments written on leathers discovered in Bāmiyān Valley, Afghanistan, which are not written by professional scribes, but by monks themselves. These fragments are scribed in the northwestern Gupta Brāhmī script and are dated back to 5th or 6th century CE. As we have not published most of them in our publication series of the Schøyen Collection yet, I would like to introduce these fragments and analyze them. I will especially focus on a unique fragment of a commentary to a famous ‘ātmadvīpa dharmadvīpa phrase’ as a part of Buddha’s final sermon included in the Mahāparinirvāṇasūtra which describes the last three months of Buddha’s life.

508 17th World Sanskrit Conference, 2018 Special Panels

SP 11: The History and Education of Women in Vedic Literature: A Feministic and Deconstructive Study

A Feministic Deconstructive Study of the Ancient Sanskrit Literature Ashis Biswas Bamanpukur Humayun Kabir Mahavidyalaya (Bamanpukur, WB, India)

The study focuses on the literary representation of Vedic women, and through this tries to deconstruct the Vedic literary discourse which celebrates the emancipation of women. The primary aspect of the study is to highlight how the language of patriarchy manipulated the female being and established a linguistic culture through literary representation which constructed the image of women on certain ideological terms of femininity, womanhood, wifehood and motherhood to limit their movement and channel it for the gain of patriarchy. The study also tries to bring out how patriarchal language intervened into the destiny of female language and replaced it through supplement. The shift from oral Vedic tradition to written was probably marked by this intervention of the institution of patriarchy which very strategically directed and modified the female self, forming an epistemological discourse for the marginalization and subjugation of women.

509 Special Panels 17th World Sanskrit Conference, 2018

Women’s Education in the Vedic Age: A Study From a Feministic Perspective Arghadip Paul Bamanpukur Humayun Kabir Mahavidyalaya (Bamanpukur, WB, India)

This study tries to bring out how women’s voice finds its expression in Vedic verses, especially in the Ṛgveda, and what role the education of these women played as a medium of emancipation for the raising of their voice in a patriarchal society. The paper also talks about certain prevalent Vedic customs like upanayana in which women took part for initiating their life of studentship. Customs like these indicate the scope of women’s development in the intellectual life without much patriarchal intervention. The study focuses specifically on certain debates where women scholars participated with men and presented a challenge to patriarchal domination. But towards the end of the Vedic era we find that as patriarchy gradually excluded women from the academic sphere to eliminate any possibility of women raising voices, and there followed women’s gradual deterioration. Therefore, education played an important role for the emancipation of women in Vedic society.

510 17th World Sanskrit Conference, 2018 Special Panels

The Social History of the Female in Ancient Sanskrit Literature: A Feministic Study Debasree Sadhu Bamanpukur Humayun Kabir Mahavidyalaya (Bamanpukur, WB, India)

The study focuses on the empowered position of women in Vedic society, especially that of the Ṛgveda. The paper elaborates on how Vedic texts conceive the being of woman as the equal half of the Supreme Being and what status women enjoyed in the Society through their participation in various customs, rituals and other activities. In the Domestic sphere, the marriage hymns of the Ṛgveda give women full authority over the in-laws. Apart from their domestic lives they had the right to pursue their academic ambitions which can be understood from their participation in Upanayana. They also performed as teachers. But apart from pedantic scholarship they also took lessons in fine arts and the art of war. They had property rights as well as the right to have a social life. But with the intervention of patriarchy, women’s status gradually declined, and women seemed to accept this intervention for the better interest of the human race.

511 Special Panels 17th World Sanskrit Conference, 2018

SP 12: The Vedas Out Loud: New Approaches to Vedic Recitation

The “Other” Sacred Syllable: The Case of Hiṃ Finnian M. M. Gerety Yale University (New Haven, CT, USA)

Although is regarded as the preeminent sacred syllable in Sanskrit, the history of Indian religions is replete with other syllables and short mantras of great power. This paper examines the career of the “other” sacred syllable of Vedic ritual, the sound hiṃ (with its variants hiṅ and huṃ). I make the case that hiṃ, which first appears in the Ṛgveda as the lowing of a cow, is a “proto-OM,” in the sense of being the very first non-lexical sound associated with the early Vedic doctrines about a transcendent “syllable” (akṣara) that is the source of speech and the key to immortality. Subsequent ritual authorities codify “the hiṃ-sound” (hiṃkāra) as a standard feature of recitation in the śrauta liturgies, while interpretive texts take up hiṃ as a topic of esoteric speculation. The example of hiṃ reminds us that the category of “sacred syllable” in Indian religions is a domain in which different sounds, syllables, and mantras have vied for preeminence. So while OM has established its reputation as the sacred syllable par excellence, this status has been constructed over many centuries and in many different contexts, often in competition with other candidates.

512 17th World Sanskrit Conference, 2018 Special Panels

From Prātaranuvāka to the Āśvinaśastra: The Fixed Oral Tradition of the Ṛgveda Thennilapuram Mahadevan Howard University (Washington, D.C., USA)

In an earlier work, I showed that the prātaranuvāka (Early Morning Litany) of the classical Vedic ritual is the first hautram recitation that acknowledges the existence of the Ṛgveda Saṃhitā in its complete form by incorporating verses from many different maṇḍalas. I also suggested that insofar as it tests the reciter’s comprehensive mastery of the complete ṚV in the high-stakes arena of ritual performance, the prātaranuvāka attests to the agonistic purposes of fixed oral tradition. With the Ṛgvedic period of active poetic composition come to a close, we now witness the ascendancy of memorization and precision as the guiding lights of Vedic ritual in the post-Kuru era. This paper continues this investigation with reference to the āśvinaśastra (Praise to the Aśvins), a gargantuan 1000-versed recitation based on earlier iterations of the prātarānuvāka that serves to test the reciter’s mastery along similar lines. Drawing on an analysis of the historical āśvinaśastra as codified by two Ṛgvedic schools (Aitareya and Kauṣītaki), as well as video of a modern performance by a distinguished hotṛ priest in the Nambudiri tradition, I argue that the āśvinaśastra is a “limit case” of the fixity of Vedic oral tradition, representing Ṛgvedic recitation in its most complex and extreme form. This is borne out by the ethnographic testimony of Nambudiri ritualists, for whom the most pressing question of the entire sacrifice is: did the hotṛ get it right?

513 Special Panels 17th World Sanskrit Conference, 2018

New Discoveries in Vedic Exegesis and Saman Chant Puvathur Chelichery Muraleemadhavan Sree Sankaracharya University of Sanskrit (Kalady, KL, India)

The contribution of Kerala to vedic exegesis and vedic chant are immense in volume and importance. Scholars from Kerala have written several exegetical works on vedic texts. They have also preserved, intact, the oral tradition of the Vedas for centuries. Asko Parpola and Frits Staal are the two distinguished scholars who contributed a vast chunk of literature in the field of vedic literature, especially belong to Kerala. Ṣadguruśiṣya, who flourished in Kerala during the 12th century A.D, has written several commentaries on vedic texts like Sukhapradā on the Aitareya Brāhmaṇa, Mokṣapradā on Aitareya Āraṇyaka and Abhayapradā on the Āśvalāyana Śrauta Sūtra. He also wrote Vedārtha Dīpikā on the Sarvānukramaṇī of Śaunaka. A.A. Macdonell published Ṣadguruśiṣya’s commentary, a prestigious publication from Oxford. A rare copy of this manuscript, belonging to C. Kunhan Raja, was edited by him assisted by Parameswara Aithal and published by Heidelberg University, Germany.

Recently, a new manuscript of this Padyavivŗti has been discovered by me from the personal library of Paṇḍitarāja Bhaṭṭa Godavarma, which contains some new portions which have not seen by the scholars till date. Hence, the portions just now unearthed by me have great significance in the field of vedic studies. I have also come across a new and unique style of chanting Sāman at Kotuntirapilli, and it has not been identified till date. In the Vedārṇava Vandanam, the felicitation volume dedicated to Asko Parpola, edited by Petteri Koskikallio, there is a reference. Here in this paper I am trying to bring out a new portion of the unpublished vedic exegesis, namely the Sarvānukramaṇī Padyavivṛti, and also a unique system of chanting of Sāman by Kerala brahmins belonging to Palakkad, which has not traced out till date.

514 17th World Sanskrit Conference, 2018 Special Panels

SP 13: The Viṣṇu- and Śivadharma: Early medieval lay religion in a socio-religious and historical perspective

The Śivadharmaśāstra: Composition, Transmission and Revision Peter Bisschop Leiden University (Leiden, Netherlands)

The Śivadharmaśāstra constitutes the first work of the Śivadharma corpus. As with so much anonymous Sanskrit literature, identifying the circumstances of its composition presents a major challenge. Yet, in order to appreciate the text’s place and significance in the history of Śaivism and Indian religion at large, it is crucial to get a sense of the time and circumstances of its composition. For this paper, I will bring together the main body of evidences, which leads me to propose a 6th-7th century date. I will, however, also complicate the picture by drawing attention to several issues surrounding the text’s composition and transmission. The paper will integrate the results of my research of the Śāntyadhyāya (chapter 6), and also bring passages from other parts of the text as well as related sources into play. Finally, I will present the remarkable case of the Bhaviṣyapurāṇa, where the text has been systematically reworked and turned into a teaching of Sūrya worship.

515 Special Panels 17th World Sanskrit Conference, 2018

Early Śaivism and the Brahmanical Tradition Florinda De Simini University of Naples “L’Orientale” (Naples, Italy)

The works of the Śivadharma corpus have so far proven to be rather aligned with the Brahmanical tradition reflected in the early Dharmaśāstra and in the Sanskrit epics. However, the teachings that are selected for inclusion in the Śivadharma works are readapted to the Śaiva background of these texts, in a creative process that also results in the formation of original doctrines and ideas. In this talk, we will examine the elaboration of some key-notions of the Brahmanical tradition — such as yajña and yoga, mokṣa and dharma — within the Śivadharmottara, one of the earliest texts of the collection (ca. 7th century). One of the reasons that make this text especially relevant is that it was amply quoted and appropriated by later Śaiva literature ascribed to various traditions, and thus managed to have a lasting impact in the Śaiva world and beyond. Our aim will thus be not only to trace the Brahmanical roots and models of the teachings of the Śivadharmottara, but also to account for the continuity of some of them in later Śaiva and Vaiṣṇava works.

516 17th World Sanskrit Conference, 2018 Special Panels

Proliferative Strategies of the Śivadharma(śāstra) and Its Impact on the Spread of Śaivism in Post 6-7th Century South and Southeast Asia Nirajan Kafle Leiden University (Leiden, Netherlands)

The sixth to seventh century CE marks a transition point in the religious history of South Asia: the propagators of the two major branches of Hindusim—Vaiṣṇavism and Śaivism—were in the process of revising their doctrines and practices by making them palatable for their respective audiences. They did so in composing new bodies of text. These novel compositions were remarkable in so far as that they drew upon earlier sources and recontextualized these in accord with their own doctrinal stance. The Viṣṇudharma and the Śivadharma (śāstra) are exemplary and early representatives of this innovative mode of textual production, constituting the authoritative scriptures for their respective lay communities. The Vaiṣṇavas and Śaivas are commonly depicted as having been engaged in competition of fluctuating intensity throughout most of the religious history of South Asia. It is therefore all the more remarkable that, by contrast, neither the Viṣṇudharma nor the Śivadharma (śāstra) display any instance of polemical denunciation against the views propagated in the opposing system—even though the core agenda to attract newcomers was nearly identical; as was the target audience envisaged. The Śivadharma(śāstra), in presenting less radical religious views than those voiced in the Viṣṇudharma, were seeking reconciliation with other religious traditions. It is because of the Śivadharma(śāstra)’s accommodative approach to religious discrepancies, I will argue, that its teachings were more favourably received than those of the Viṣṇudharma, which partly explains why the former gradually spread throughout not only South Asia, but beyond.

517 Special Panels 17th World Sanskrit Conference, 2018

What Did the Authors of the Śivadharma and the Viṣṇudharma Mean by the Word Dharma? Timothy Lubin Washington and Lee University (Lexington, VA, USA)

During the tail end of the Gupta era, or soon thereafter, a new type of texts appeared that called their doctrine Śivadharma or Viṣṇudharma, and called themselves śāstra (VDh 105) or even dharmaśāstra (ŚDh 12.102). Both of these works self-consciously appropriate numerous doctrinal and normative elements from Dharmaśāstra proper, as it was canonized in the Dharmasūtras and in the Mānava- Dharmaśāstra, and adapt them to a theology and social ethos shaped by particular religious movements (Paśupata, and Bhāgavata and/or Pāñcarātra, respectively). Both situate themselves among other śāstras as well. This paper will use internal evidence from these texts as well as contextual evidence from other texts and inscriptions to argue that the authors of these works framed them in these terms in order to win over Smārta Brahmins, raise the prestige of the religious ideals, attract patronage from kings and other elites, and thereby to institutionalize their religions on a broader basis. In doing so, they only emphasize certain concepts included by Dharmaśāstrins under the label dharma — especially those related to varṇa, āśrama, and ritual obligations — but these are some of the concepts most distinctive of Dharmaśāstra (as opposed to other works on dharma).

518 17th World Sanskrit Conference, 2018 Special Panels

Donating and Creating Space for Śaiva Communities in Early Medieval India: The Śivakṣetra in the Śivadharmaśāstra Nina Mirnig Austrian Academy of Sciences (Vienna, Austria)

The Śivadharmaśāstra (ca. 6th/7th century) is our earliest extant textual source that offers a blueprint for establishing a complete Śaiva socio-religious order, laying out the duties and rituals of a Śaiva laity of householders. In the underlying project to implement the Śaiva faith on a broad scale and increase the degree of institutionalization, the creation and claiming of space constitutes a key agenda. This paper focuses on references relating to this spatial aspect in the newly edited chapters of the Śivadharmaśāstra, examining this earliest extant textual layer that formulates strategies for defining a certain sacred space — the śivakṣetra — promoting it as a site of religious activities, auspicious deaths, settlements and Śaiva institutions (maṭha), a notion that will be carried on into subsequent sources and constitutes a corner stone of the Śaiva socio-religious system. It will also be shown how the Śivadharmaśāstra links up with the established system of land-donations in brahmanical writings, as well as with Śaiva epigraphical material that predates the textual sources. Further, a comparison to the contemporaneous Vaiṣṇava writings (such as the Viṣṇudharma) will be drawn up. By tracing the Śaiva propagators’ strategies of accumulating space for their Śaiva communities as envisaged in the Śivadharmaśāstra and how these related with earlier and contemporaneous practices, it will be hoped that further insights into the nature of the rise of the Śaiva religion and its increasing institutionalization at this pivotal moment in Śaiva religious history will be gained.

519 Special Panels 17th World Sanskrit Conference, 2018

The Worship of Viṣṇu’s Twelve Manifestations Through the History of Vaiṣṇava Traditions Marion Rastelli Austrian Academy of Sciences (Vienna, Austria)

To gain a comprehensive view of the nature of Vaiṣṇava lay religion as well as its historical development during the medieval period, research on individual doctrinal concepts and ritual practices is needed. The paper will present one such case study in which the concepts related to a specific set of twelve manifestations of Viṣṇu used in the ritual practice of monthly observances (vrata) are traced historically over time. This particular set of Viṣṇu manifestations seems to be a promising object of study for the following reasons:

These twelve manifestations were known as the tutelary deities of the months – possibly the Vaiṣṇava successors of the Ādityas, who held this role in Vedic times – and were later included in the Pāñcarātra pantheon as the group of the so-called vyūhāntaras. They are mentioned in sources as different in character and time of composition as the Bodhāyanagṛhyaśeṣasūtra and the Ṛgvidhāna, both linked to Vedic schools, the epic Mahābhārata, the Viṣṇudharma, and Pāñcarātra Saṃhitās. It is likely that comparative examination of these sources will provide insight into the historical development of these twelve deities.

In many Indian religious traditions, monthly observances are widespread and popular among lay people as well as professional religious officiants. It is planned to consider the possibility that such practices served as a medium for the dissemination of concepts related to them – as for example our set of twelve deities – possibly even beyond sectarian borders.

Passages relevant to our topic are found in the Viṣṇudharma and in Mahābhārata 13 and 14. These three text passages are closely related to each other, and thus, investigating them side by side should provide an understanding not only of how this relationship was formed, but may also give us insight into the historical development of the practices and concepts they describe.

520 17th World Sanskrit Conference, 2018 Special Panels

Mahāgaṇapatir Bhavet: Gaṇa-Hood as a Religious Goal in Early Śaivism Yuko Yokochi Kyoto University (Kyoto, Japan)

Pāśupatasūtra 1.38 states that he (i.e. the intiated Pāśupata practitioner) will become a great chieftain of the Gaṇas (mahāgaṇapati) of Mahādeva (Śiva) complete with these qualities (i.e. various supernatural powers mentioned in the preceding sūtras). Thus it admits the Gaṇa-hood as one of the goals of the Pāśupatas, though a lower goal than the final union with Śiva. However, the commentator Kauṇḍinya does not admit this goal by a twist of interpretation of mahāgaṇapati and the subject of the sūtra. It seems that it was difficult to accomodate the Gaṇa-hood as a goal into his theological system. When we turn our attention to a different genre of scriptures related with the Pāśupata, those that are targeted mainly on the lay devotees, however, becoming a cieftain of the Gaṇas is often alluded to as one of the religious rewards. These scriptures are Skandapurāṇa, Śivadharmaśāstra and Śivadharmottara. Above all, the Skandapurāṇa contains the vairious episodes in which Śiva grants the Gaṇa-hood to his devotees in reward to their fervent devotion. In this paper I will examine how the Gaṇa-hood as a religious goal is evaluated in all these souces and investigate the reason of difference in their evaluations. Hopefully this will shed some light on the early history of Śaivism, especially the relationship between the Pāśupata and the lay Śaiva community.

521 Special Panels 17th World Sanskrit Conference, 2018

SP 14: The Yuktidīpikā Forging a Place for Sāṅkhya in Indian Intellectual History

On Being the Orthodox Ascetics: Capturing the Moment when the Sāṅkhyas Began to Reflect Seriously on Their Conflict With Vedic Authority Hyoung Seok Ham Kyushu University (Fukuoka, Japan)

The ascetic characteristic of Sāṅkhya is epitomized in the second verse of the Sāṅkhyakārikā (SK). The Sāṅkhyas’ critical attitude toward the Veda and its accompanying sacrificial ritual represented in SK 2 stands in stark contrast with SK 4 and 5 where the authority of the Veda is implicitly acknowledged. Among the commentaries on SK 2, the Yuktidīpikā stands out for its endeavor to read the text as non- offensive to Vedic values. The Yuktidīpikā, by recording a long exchange between its anonymous author and a Vedic ritualist, allows us to glimpse how the Sāṅkhyas developed their own manner of identifying themselves as “orthodox.” In this paper, I comparatively read the extant commentaries on SK 2, and then analyze the theoretical arguments put forward in the Yuktidīpikā. By doing so, I characterize the Yuktidīpikā on SK 2 as a historical record of the 6th-8th centuries Sāṅkhyas’ struggle with Vedic ritualists. I discuss the heightened influence of the Mīmāṃsakas around the period of its composition and measure their presence in the Yuktidīpikā. Lastly, I locate the Yuktidīpikā in the context of the age-old conflicts between the ascetic and householder traditions.

522 17th World Sanskrit Conference, 2018 Special Panels

Early Roots of Propositional Perception from the Ṣaṣṭitantra to the Yuktidīpikā Edeltraud Harzer University of Texas at Austin (Austin, TX, USA)

The Yuktidīpikā reveals Sāṅkhya’s philosophical engagement. Such discussions as the distinction between non-propositional perception (sheer awareness of a color patch or a sound) and propositional perception (conceptualizing, identifying by name) were pertinent to the development of the two-level perceptual process.

I have argued elsewhere that the Ṣaṣṭitantra, an early (1–2 century CE) Sāṅkhya text, distinguished these two levels of perception. My argument was based on excerpts of the Ṣaṣṭitantra found in Jinendrabuddhi’s commentary and extrapolated by Steinkellner. In accord with Sāṅkhya’s dualism of materiality (prakṛti, which has a psychological/nonmaterial dimension) and the unintentional consciousness (puruṣa), the two entities have specific functions in the cognitive process. From the Yuktidīpikā and Buddhist sources we gain insight, for the first time, into Sāṅkhya’s propositional level of perception (adhyavasāya) illumined by puruṣa.

523 Special Panels 17th World Sanskrit Conference, 2018

Early Sāṅkhya Teachers Through the Lens of the Yuktidīpikā Noemie Verdon SNF (Bern, Switzerland)

The Yuktidīpikā, the commentary on the Sāṅkhyakārikā compiled between the sixth and the eighth centuries CE, mentions several pre-classical Sāṅkhya teachers and presents their viewpoints on fundamental philosophical concepts. The aim of this paper is to examine the positions of some of them, i.e. Vindhyavāsin, Vārṣagaṇya, Patañjali and Pañcādhikaraṇa. I specifically discuss the debates about the number of constitutive elements (tattvas, SK 22), the subtle body (SK 39) and the conditions of knowledge (bhāvas, SK 43). I analyse these teachers’ views on the above philosophical topics, so as to show how the author of the Yuktidīpikā made use of his predecessors’ opinions in his attempt to establish the authority of the Sāṅkhyakārikā. I highlight the commonalities and discrepancies between the Sāṅkhyakārikā’s positions and the standpoints of the early Sāṅkhya teachers on the one hand, and between the views of these teachers and the Sāṅkhya opponents on the other.

524 17th World Sanskrit Conference, 2018 Special Panels

The Yuktidīpikā on the Origin of the Vedas Ołena Łucyszyna University of Humanities and Economics in Lodz (Lodz, Poland)

My aim is to reconstruct the view of the Yuktidīpikā (YD), the profound classical Sāṅkhya commentary, on the origin of the Vedas. The textual analysis of the YD has led me to conclusion that its author oscillated between at least two different views. The first one is that the author-less but non-eternal Vedas evolve from prakṛti. The main evidence for this view is provided in YD 2 and 5. For the first time in classical Sāṅkhya we learn that the Vedas have no author. The second view is that Kapila is the author of the highest teaching of the Vedas. This is evidenced by the introduction to the YD and YD 2. The all-knowing Kapila, appearing at the beginning of each kalpa, creates primary linguistic convention and Sāṅkhya doctrine which is identical with the quintessence of the Vedas set forth in the Upaniṣads. The view that the Vedas have an author is confirmed by YD 6; the Sāṅkhya proponent argues that the relationship between word and its meaning is not natural but established by convention. The assumption that all words are conventional in their origin entails the conclusion that no text can arise in some other way than by conscious effort of its author who knows the convention. Also I analyze the YD passage containing the famous quotation from Yāska’s Nirukta (I, 20), cited in Bhartṛhari’s Vākyapadīya (I, 5). This passage can hardly be regarded as supporting one of the views presented above. The Nirukta is quoted in YD 51 in the context of defining attainments (siddhi). It is rather unlikely that by quoting it the YD author communicates something about the origin of the Vedas. Even if he touches on the issue, it is not clear whether the primeval Veda was perceived by the ṛṣis or composed by them.

525 Special Panels 17th World Sanskrit Conference, 2018

SP 15: vāg evedaṃ sarvam

The Place of Vāc in the Cosmic Exchange Lauren M. Bausch Dharma Realm Buddhist University (Ukiah, CA, USA)

In her recent book - Fire, Death and Philosophy, Jurewicz builds on the work of Brown when she discusses how reality is conceived in terms of Vāc (speech). In her account, the Ṛgveda portrays Vāc as extending across all the worlds, which include the manifest and the unmanifest (ṚV 10.125.7-8). Drawing on the image of a four-footed cow, three feet of Vāc are imprinted or deposited in secret, while the fourth is spoken by humans (ṚV 1.164.45). Though the devas depend on speech from the manifest realm, they also give birth to Vāc and place her everywhere (ṚV 8.100.10-11, 10.125.3). Building on the concept of Vāc in the Saṃhitās, the Brāhmaṇas and Āraṇyakas offer a clear explanation of the relationship between the manifest and unmanifest aspects of Vāc, the reciprocal function of which is central to Vedic religion and practice. Intermediate and late Vedic texts show how Vāc connects with the mind and how the devas seek Vāc and press her into service to achieve soteriological ends. This paper establishes the role of Vāc in the practice of yajña (ritual offering) and suggests how, according to the Brāhmaṇas and Āraṇyakas, the purposeful repetition of sacred utterances confers benefit conducive to liberation.

526 17th World Sanskrit Conference, 2018 Special Panels

Everything New Is Old Again: Vāc and the “Creation” of the White Yajurveda Steven Lindquist Southern Methodist University (Dallas, TX, USA)

The founding of the White Yajurveda is traditionally ascribed to the sage Yājñavalkya, who famously appears in several places in the Śatapatha Brāhmaṇa, but rises to prominence in the Bṛhadāraṇyaka Upaniṣad. It is in the genealogical list of BĀU 6.5.3 that a pedigree of the White Yajurvedic school is first given. In this list, the White Yajurveda is explicitly stated as coming from the sun (āditya), though in the list itself Yājñavalkya is not the immediate descendant of the sun; that place belongs to Vāc. Vāc is one step removed from Āditya, but still eleven places removed from Yājñavalkya. Nevertheless, BĀU 6.5.3 concludes ādityānīmānī śuklāni yajūṃṣi vājasaneyena yājñavalkyenākhyāyante (“These White Yajus (‘formulas’) from the Sun have been declared by Vājasaneya Yājñavalkya”).

This genealogy and subsequent statement, I contend, is part of the basis of the origin story of the White Yajurveda found in the Mahābhārata (12.306), a story which privileges the role of Sarasvatī/Vāc in the formation of this “new” Veda. With the exception of brief mention by Witzel (2003) and Sutton (2000), Yājñavalkya’s role in the MBh remains largely unexplored in the secondary literature as does this episode. Having broken with his teacher, Yājñavalkya appeals to the Sun (here: sūrya) and, through the intercession of the goddess entering him and purifying him through extreme heat, receives the White Yajurvedic textual tradition. This presentation analyzes this passage, along with Vedic precedents on the nature of speech, to discuss how the Mahābhārata understands the White Yajurveda as simultaneously a “new” Veda in the world, but also as an authoritative Veda without origin, in a sense, even “more Vedic” and authoritative than its already established counterparts. I further discuss Yājñavalkya’s role as both a “founder” of the tradition, but one whose active role is mitigated by his relationship to the divinity.

527 Special Panels 17th World Sanskrit Conference, 2018

Bound by Names, They Rejoiced in the Revealed: Grammar and the Revelation of Vāc in Aitareya Āraṇyaka 2.3.8 Stephanie Amelia Majcher University of Sydney (Sydney, Australia)

This paper traces the truth-claims contained in Aitareya Āraṇyaka (AitĀ) 2.3.8, a passage in which the assertion that vāc is co-extensive with brahman (cf. AitĀ 1.3.8) is demonstrated through relationships that connect multiple aspects of composition – from the immediately apparent level of verbal features to the silent structures that underpin grammatical figures. What results is a vibrant image of revelation in which ‘textual’ dynamics include, and thus define, the human subject. The many dimensions of this passage illustrate a particular, culturally inflected understanding of language and promotes an expanded conception of grammar as an active force at play within the world of the AitĀ – grammar itself informs soteriological expectations, and so brings cohesiveness to an otherwise highly diverse text.

Adapting a typology developed by La Porta and Shulman (2007: 6), I argue that grammar is manifested as a subtle evolute of vāc and principally describes the creative activity of brahman. By allowing the existence of subtle connections to be perceived beneath the level of what is available to the senses, this conception of grammar both attests to the reality of bandhus and bears upon the inner workings of self-realization. The picture of vāc that emerges from this analysis raises the question of a correspondence between the formation of the AitĀ as text and the role of language in the models of personhood presented therein – as vāc extends as far as does brahman, the silent transformations of grammar reveal the truth of cosmological connections and personal identity alike.

While this approach challenges a number of the assumptions at play in the criticism of the Āraṇyakas, this paper strives to elucidate the way that such details enrich modern methodologies by presenting a new context in which to understand the AitĀ as an instance of revelation.

528 17th World Sanskrit Conference, 2018 Special Panels

Vāc as a Socio-Political Ideal Caley Charles Smith McGill University (Montréal, QC, Canada)

This talk examines the goddess Vāc as an object of the socio-political level of Vedic rhetoric. To approach Vāc in this capacity, I will first examine performative speech acts in Vedic. Spoken assertions in ritual are depicted in texts as changing the self and the relationship that self has with the social collective. How was this aspect of Vāc understood? Vedic ritual assertions of this type could be used to order society by the revelatory truth-act that society is now ordered. The political history of a real ancient polity is, of course, inaccessible to us, but the texts represent the speech act as the critical event bringing socio-political states into being. As such Vāc is anterior to these enacted social states, and this anteriority is recapitulated in the temporal primacy attributed to speech. I will demonstrate that these particular performative and ceremonial features of Vāc are enshrined at other discourse levels, including mythological narrative, metaphysical speculation, and esoteric revelation.

529 Special Panels 17th World Sanskrit Conference, 2018

SP 16: Vedānta’s Polyglot Lives: Querying Vedānta in the Vernacular, 1650-1900

Greater Advaita Vedānta: The Case of Sundardās Michael S. Allen University of Virginia (Charlottesville, VA, USA)

To understand the complex history of Advaita Vedānta and its rise to prominence, we need to devote more attention to what might be termed “Greater Advaita Vedānta,” or Advaita Vedānta as expressed outside the standard canon of Sanskrit philosophical works. Elsewhere I have examined the works of Niścaldās, whose Hindi-language Vicār-sāgar (The Ocean of Inquiry) was once referred to by as the most influential book of its day. In this paper, I look back to one of Niścaldās’s major influences: Sundardās, a well-known Hindi poet and a direct disciple of the Rājasthānī mystic Dādū Dayāl (d. 1604). Sundardās is typically classified as a sant and not an Advaita Vedāntin; certainly, he is not included in existing surveys or histories of Vedānta. In his youth, however, he spent many years studying Sanskrit and Vedānta with pandits in Banaras, and his poems present us with a mind that found no contradiction in claiming Dādū as his master and at the same time embracing the teachings of Advaita Vedānta. I argue that not only should Sundardās be included in histories of Advaita Vedānta, he should be credited for his originality: not only did he “Vedānticize” the Dādū Panth, he “Dādū-ized” Vedānta; his project is thus comparable to those of better-known Sanskrit Vedāntins whose works synthesize Advaita and bhakti.

530 17th World Sanskrit Conference, 2018 Special Panels

Delinquency and Dereliction in the Śāstras: Linguistic Authority in Early-Modern Vedānta Polemic Jonathan Peterson University of Toronto (Toronto, ON, Canada)

The cosmopolitan-vernacular distinction is often invoked on an implied homogeneity of language groups, with assumed power-relations occurring across linguistic divides. This paper looks to an instance of socio-linguistic tension within Sanskrit itself. In particular, this paper will analyze the terms in which Smārta brahmins like the famed grammarian Bhaṭṭoji Dīkṣita and his predecessor Appayya Dīkṣita call into question the status and legitimacy of Madhva’s use of Sanskrit, and in turn, the basis of Dvaita Vedānta’s scriptural authority. By investigating the basis on which Appayya Dīkṣita and Bhaṭṭoji Dīkṣita assess and critique deviant language use, this paper will highlight the nexus between claims of scriptural authority and grammar as a technology of legitimation in early-modern Vedānta. In doing so, this paper will suggest that while Sanskrit śāstric discourse is, undoubtedly, ‘cosmopolitan’, its status as a language of power need not occlude certain fissures that run throughout its corpus.

531 Special Panels 17th World Sanskrit Conference, 2018

The Sikh Nirmala Panth and Sikh-Vedanta Engagement Puninder Singh University of Michigan (Ann Arbor, MI, USA)

According to Sikh hagiographical tradition, the Nirmala Panth was founded by the last Sikh guru Guru Gobind Singh when he sent five Sikh scholars to Varanasi in the late 17th century disguised as sadhus (being non-Brahmin, they could not otherwise learn Sanskrit) to learn the Vedantic tradition in Sanskrit in order to be able to teach this tradition at the Sikh darbar, or court. This brief sketch contains several of the elements that make the Nirmala Panth a prime, if in some ways unusual, an example of the “vernacular Vedanta” tradition. For Nirmalas, as non-Brahmins, to appropriate Sanskrit and Vedantic learning represented a transgression of the societal norms of the time. However, this very transgression and appropriation also enabled Nirmalas to create a bridge between the Sikh Panth and the world of Vedanta and to establish a new, polyglot tradition of Sikh neo- Vedantic thought in Sanskrit, Braj-Bhasha, and Panjabi. The dialogue that took place was not always a straightforward one, and in fact often encountered controversy within and outside of the Sikh community. This paper examines how works of three important Nirmala figures who spanned the era from the early 19th century through the colonial period and into the 20th century attempted to integrate Sikh and Vedantic thought. Bhai Santokh Singh (1787-1843) was the author of the massive, 14 volume Braj-Bhasha work Sri Gur Partap Suraj Granth, which chronicles the early history of the Sikh Panth through a Vedantic lens. Pandit Tara Singh Narotam (1822-1891) worked during the colonial period, producing several works interpreting the Sikh scriptures in light of Vedanta, including Japuji Sahib Steek. Finally, Mahant Singh in 1926 produced Bharat Mat Darpan, a chronicle of over 200 different religious sects throughout the subcontinent, again from the perspective of Sikh neo- Vedanta.

532 17th World Sanskrit Conference, 2018 Special Panels

Vedānta from the Bottom Up: Eknāth’s Hastāmalaka Stotra Anand Venkatkrishnan Harvard University (Cambridge, MA, USA)

The sixteenth-century Marathi poet-saint Eknāth is better known for his devotional songs (abhaṅg) and performative dialogues (bhāruḍ) than his philosophical writings. These include commentaries on and distillations of Sanskrit texts that feature a highly localized form of Advaita, or “non-dualist” Vedānta. Rather than consider these books vernacular translations of the classical traditions of Advaita, I propose to read Eknāth’s philosophical works as embedded in a local context of non-dualist thought that filters into the elite world of Sanskrit knowledge-systems. I provide examples from his Marathi commentary on the Sanskrit Hastāmalaka Stotra, a brief versified conversation on Advaita. I also look at the para-textual material bracketing the content and some of the accompanying manuscript record, in order to understand the context for circulation and transmission of this material among Eknāth’s various readers over generations.

My general attempt is to understand how ideas and practices belonging to local, vernacular networks filter into elite Sanskrit systems of knowledge, that is, not just into flexible genres like purāṇa but into disciplines, like Vedānta, that are generally viewed as impervious to the world around them. There are a few historiographical narratives in which this study is generally framed. For some, there is a seamless integrity between the worlds of elite exegesis and everyday explication, usually moving down from on high. For others, the cruelties of social exclusion that constitute caste society are reflected in the history of ideas; there is no meaningful exchange between these worlds that is not appropriative and oppressive. From my perspective, all knowledge is local, even that articulated in such cosmopolitan languages as Sanskrit. In Eknāth’s vernacular Vedānta, we find evidence for a much wider scope for the movement of ideas, one that moves not from top-down but bottom-up.

533 Special Panels 17th World Sanskrit Conference, 2018

Darśanic Scholarly Session: Akṣara-Puruṣottama- I0DJ#K:=LB, >.$H M Darśanam

The Akṣara-Puruṣottama Darśana and the Gītā Verse: “Brahmabhūtaḥ Prasannātmā…” Aksharananddas Swami New York (New York, NY, USA)

As one of the three sacred texts of the Prasthānatrayī, the Śrīmadbhagavadgītā holds authority for establishing philosophical and theological principles of the various schools of Vedānta thought. Among the various doctrinal distinctions between these schools, the understanding of the onto- theological nature of brahman, its relationship with the self, and the role of this relationship in spiritual endeavor have resulted in distinct interpretations of the Gītā. Among the verses of the Gītā, “brahmabhūtaḥ prasannātmā na śocati na kāṅkṣati samaḥ sarveṣu s bhūteṣu madbhaktiṃ labhate parām 18/54 ” presents a forum for commentators through their × × exegesis to reveal and emphasize their characteristic onto-theology. Each commentator’s elaboration on what is meant by “brahmabhūtaḥ,” “samaḥ sarveṣu bhūteṣu,” and “madbhaktiṃ labhate parām” reflects their conceptualization of brahman, its relationship with the self, and the role of this relationship in devotion (bhakti), respectively.

This work examines the exegesis of this verse offered within the Śrīmadbhagavadgītāsvāminārāyaṇabhāṣya, a contemporary commentary from the Akṣara-Puruṣottama Darśana. Substantiating its exposition of Gītā (Gī.) 18.54 with that on the state of brahman from Gī. 2.72, the identification of Brahman as Akṣara presented in Gī. 8.3, Kṛṣṇa’s classification of kṣara, Akṣara, and Puruṣa from Gī. 15.16-7, and other relevant readings from both within the Gītā and other sacred texts of the Prasthānatrayī, I will (1) present an analysis of the commentary’s philosophical and theological insights and (2) identify textual dynamics underlying its interpretive moves.

From this analysis, I will conclude that the Śrīmadbhagavadgītāsvāminārāyaṇabhāṣya’s reading of the Gītā verse: “brahmabhūtaḥ prasannātmā…” presumes a particular textual correlation that relects Bhagavān Svāminārāyaṇa’s revelation on the nature of Akṣara and Puruṣottama, their relationship with the self, and the soteriological significance of such a relationship.

534 17th World Sanskrit Conference, 2018 Special Panels

The Tradition of the Śikhara Within Mandir Architecture: Origins, Development, and Philosophy Aksharvatsaldas Swami London (London, England)

Ancient Indian architecture holds a unique position within the architectural world. This work discusses the origins, development, and darśanic significance of the śikhara within mandir architecture. It presents a study on the history of the creation of the śikhara, its relationship with the mandir-prāsāda, and the symbolism expressed within them. It offers an analysis from expositions offered in Sanskrit śilpaśāstra and vāstuśāstra texts, such as Kāmikāgama and Lakṣaṇasamuccya; Vedic texts, such as the Ṛgveda, Upaniṣads, and Āśvalāyanagṛhasūtras; and itihāsa and purāṇic works, such as the Mahābhārata, Rāmāyaṇa, and Vāyupurāṇa. This article presents a discussion of various positions regarding the origins and developments of the śikhara. Although there has been a strong correlation between architectural design and darśanic sentiments since the Ṛgveda, the advent of the mandir-prāsāda allowed for this relationship to gain a particular visual manifestation. The śikhara, which lies on top of the prāsāda, plays a significant role in its identity. Each region of India possesses its own distinctive tradition of śikhara design. Among them, the nāgara-style of the mandir prāsāda developed and gained prominence within north, west, and central India. It is well known for its vakrākāra rekhāśikhara. Despite the disagreement among scholars regarding the date of origin of the śikhara, there is a consensus among them that its various motifs have specific darśanic commitments. This work’s investigation of these commitments highlights specific associations between darśanic philosophical thought, expositions found within relevant śilpaśāstra and vāstuśāstra works, and mandir architectural works. Specifically focusing on the darśanic significance of Akṣarabrahman and Parabrahman, this article investigates the relationship between these metaphysical entities and the mandir-prāsāda and śikhara. This work demonstrates that the relationship between the prāsāda and the śikhara parallels those between Upaniṣadic revelations on Akṣarabrahman and Parabrahman. As a result, it argues that mandir architecture, the śikhara and the mandir-prāsāda in particular, reflects specific metaphysical and darśanic commitments.

535 Special Panels 17th World Sanskrit Conference, 2018

Svāminārāyaṇa’s Akṣara-Puruṣottama Darśana: Ontology, Soteriology, and Identity Bhadreshdas Swami BAPS Swaminarayan Research Institute (Delhi, India)

The Vedānta darśanic tradition is distinctive in its emphasis on philosophical and theological thought. Each darśana is established on a set of foundational principles that serve to distinguish it from others that share its intellectual domain. Among other principles these darśanas place particular emphasis on their distinctive understanding of the nature and form of brahman, the self, the world, and the relationships between them. The names of each of these schools also exhibit this emphasis by reflecting the darśana’s characteristic ontological enumerations or the metaphysical relationships between its accepted entities. This work offers a study of the Akṣara-Puruṣottama Darshan within this darśanic framework. It presents an investigation of the following two assertions: • The Akṣara-Puruṣottama Siddhānta as it has been revealed by Bhagavān Swaminarayan is a distinct darśanic doctrine • Swaminarayan’s philosophy is appropriately identified by the title: “The Akṣara-Puruṣottama Darśana.” The inquiry into the first assertion presents distinctive features of Śaṅkara’s Advaita and Rāmānuja’s Viśiṣṭādvaita Vedānta. Foundational differences in their understanding of 1) brahmajñāna: the nature and form of brahman, Parabrahman, the self, and the relationship between them; 2) sādhanā – spiritual endeavour; and 3) the state of liberation play a key role in identifying both as distinct darśanic positions. Thereafter, upon presenting foundational ontological and soteriological principles of the Akṣara-Puruṣottama Darśana and contrasting them with those of Śaṅkara and Rāmānuja, this work concludes that the ontological and soteriological differences that serve to distinguish Rāmānuja’s darśana from Śaṅkara’s also set apart the Akṣara-Puruṣottama Darśana from both Advaita and Viśiṣṭādvaita. The second half of this work investigates the dynamics underlying the identification of Svāminārāyaṇa Vedanta as the Akṣara-Puruṣottama Darśana. It elaborates on how ‘Akṣara- Puruṣottama’ succinctly expresses the foundational principle of the darśana: to become Akṣararūpa and offering upāsanā (worshipful service) or bhakti (devotion) to Parabrahman with dāsabhāva. , This title incorporates the darśana’s unique ontological position along with its understanding of brahmavidyā, spiritual endeavour (sādhanā), and liberation. This work concludes that as a result, Bhagavān Swaminarayan’s darśana is appropriately identified as the “Akṣara-Puruṣottama Darśana.”

536 17th World Sanskrit Conference, 2018 Special Panels

Deconstructing “Brahmajijñāsā” in the Brahmasūtra‐Svāminārāyaṇa‐Bhāṣya: A Study Of Grammar, Hermeneutics, and Theology Paramtattvadas Swami BAPS Swaminarayan Research Institute, Delhi (India)

The first aphorism (sūtra) of the Brahmasūtra: “Athā’to brahmajijñāsā” (1.1.1), holds the key to understanding the various darśanas of Vedānta: Advaita, Viśiṣṭādvaita, and others. These schools through their commentaries offer extensive explications on this sūtra, using it to authenticate their particular system of thought. Within this context, the Akṣara-Puruṣottama Darśana’s Brahmasūtra- Svāminārāyaṇa‐Bhāṣya provides the following unique analysis of ‘brahmajijñāsā’ – “brahmaṇorjijñāsā.” Within this analysis the commentary offers ‘brahman’ in the dual number. In doing so, it affirms that ‘brahma’ in the compound refers to two brahmans - Parabrahman and Akṣarabrahman, thus making both entities the subject of inquiry (jijñāsā).

In this paper, I examine the grammatical, hermeneutical, and theological commitments of the commentator’s argument for offering the above analysis. I first unpack the compound according to its exposition within the commentary and then elaborate on the its use of Svāminārāyaṇa’s Vacanāmṛta and readings from various Upaniṣads, such as the Muṇḍaka and Praśna, to establish its analysis. I argue that the interplay between grammar, hermeneutics, and theology provides coherency and cogency between the principles of the Akṣara-Puruṣottama Darśana and those revealed within the Brahmasūtra. This paper demonstrates the possibilities for innovative interpretations within the bounds of grammatically and hermeneutically valid methods of exegesis, providing a fresh insight into how grammar serves theology.

537