Glossary of Terms 47 Molter Street Cranston, Rhode Island 02910-1032 401-781-6100 • [email protected] • www.technic.com

SEMICONDUCTOR TERMS

Symbols BHF buffered hydrofluoric acid symbol for Angstrom BiCMOS bipolar and complimentary metal wavelength oxide combined BGA micro ball grid into a single IC m micron BIM binary intensity mask P microprocessor Bipolar two polarities; IC in which both W microwave; microwatt electrons and holes flow 100 silicon <100> facet Bit binary information digit 111 silicon <111> crystal facet BJT bipolar junction BOE buffered oxide etch A BPSG borophosphosilicate glass AC alternating current voltage BSE backscattered electron AES auger electron spectroscopy BSG borosilicate glass AFM atomic force microscopy BSR ball size ratio AI aluminum ALE atomic layer epitxy ALSiCu aluminum / silicon / copper alloy AMU atomic mass unit APCVD atmospheric pressure chemical C vapor deposition C APSM absorptive phase shift mask CAD computer-aided design Ar argon CAIBE chemical assisted ion beam etch ARC antireflective coating CAM computer-aided manufacturing ARDE aspect ratio dependent etching CBE chemical beam As arsenic CCD charge-coupled device ASTM American Society of Testing and CD critical dimension Materials CEL contrast enhancement layer ASIC application specific integrated CERDIP ceramic dual inline package circuit CF4 carbon tetrafluoride, freon-14 ATE automatic test equipment CFM contamination free Au gold manufacturing; cubic feet per minute Cl chlorine B CL cathodoluminescence B boron Class 10 cleanroom classification of 10 particles (0.5 um in diameter) per B2H6 diborane BARC bottom antireflective coating cubic foot BCC body-centered cubic crystal CMOS complimentary metal oxide semiconductor BCI3 boron trichloride BEOL back end of line CMP chemical mechanical BF / DF bright field / dark field planarization; chemical BGA ball grid array mechanical polish

COB chip on board EDS energy-dispersive spectrometer COE common oxide etch EDX energy-dispersive x-ray CPU central processing unit EEPROM electrically erasable CRT cathode ray tube programmable read-only memory CTE coefficient of thermal expansion EFO electronic flame-off Cu copper EG electronic grade C-V capacitance-voltage measurement EGA enhanced global alignment CVD chemical vapor deposition EHS environmental health and safety CZ Czochralski method of crystal EM electromigration; electromagnetic growing EMP electron microprobe C4/ controlled collapse chip Emax maximum exposure level (in a connection swing curve) Flip Chip EMI electromagnetic interference Emin minimum exposure energy (in a swing curve) D Eo exposure energy (in a swing curve) DADBS diacetoxyditertianrybutoxysilane EOT epitaxy over trench DC direct current EPROM erasable programmable read-only DCS dichlorosilance memory DE100 plasma etch gas mixture of Epi epitaxy or epitaxial layer CF /O 10% 4 2 ESCA electron spectroscopy for DESIRE diffusion enhanced silylating chemical analysis resist ESD electrostatic dishcarge DGEBF diglycidyl ether of bisphenol ESO emergency shut-off DI de-ionized water EUV extreme ultraviolet DI-LDD double-implant lightly doped eV electron volt drain

DIP dual inline package DLTS deep level transient spectroscopy DMD deformable mirror device F DOE design of experiments F fluorine DOF depth of focus FA failure analysis DR design rules FCC face centered cubic; Federal DRAM dynamic random access memory Communication Commision DSP digital signal processor FEA finite-element analysis DUF diffusion under film FEOL front end of line DUT device under test FET field effect transistor DUV deep ultraviolet FIB focused ion beam FOX field oxide regions FPD focal plane deviation; flat panel E display FPGA field-programmable gate array e- electron FPP four-point probe EBIC electron beam induced current FTIR Fourier transform infrared EBR edge bead removal spectroscopy ECR electron cyclotron resonance FZ float zone EDM electrodischarge machining

G I Line exposure at 365 nm GaAs arsenide IMP ion metal plasma Gb gigabit InP indium phosphide Ge germanium I/O input/output GHz gigahertz IPA isopropyl alcohol IR infrared G Line exposure at 436 nm ITP implantation through polysilicon GND electrical ground IV current voltage test GOI gate oxide integrity test GOX gate oxide GSMBE gas source molecular beam J epitaxy (MBE) JFET junction field effect transistor GUI graphical user interface JIT just-in-time inventory; just-in- time manufacturing

H HAZ heat affected zone K HBT heterojunction bipolar transistor K potassium HCI hot carrier injection KGD known good die HCI hydrochloric acid Kilo (K) thousand HDP high density plasma KOH potassium hydroxide HEMT high electron mobility transistor HeNe helium/neon laser HEPA high efficiency particulate L Attenuation filter L/S lines and spaces HF hydrofluoric acid LASER light amplification by stimulated Hg mercury Emission of radiation HiPOx high pressure oxidation LCD liquid crystal display HLF horizontal laminar flow LCVD laser enhanced chemical vapor H Line exposure at 405 nm deposition HMCZ horizontal magnetic-field-applied LDD lightly doped drain Czochralski method LEC liquid encapsulated Czochralski HMDS hexamethyldisilazane growth method HREM high resolution electron LED light emitting diode microscopy LEED low energy electron diffraction HRTEM high resolution transmission LFM lateral force microscopy electron microscopy LFMCZ low flux magnetic-field-applied HV high voltage; high Czochralski method Lg gate length LOCOS local oxidation of silicon I LPCVD low pressure chemical vapor IBE ion beam etch deposition IC LPE liquid phase epitaxy ICP inductive coupled plasma LRP limited reaction processing ID inside diameter LSD least significant digit ILD interlevel dielectrics LSI large scale integration

LSPE lateral solid phase epitaxy MQW multiquantium well device LTE low temperature epitaxy MSDS material safety data sheet LTO low temperature oxide MSI medium scale integration LTV local thickness variation MST manufacturing support trainer mT millitorr MTBF mean time between failure M MTF mean time to failure; modulation Mb megabit transfer function MBE molecular beam epitaxy MCM multi chip module MCZ magnetic-field-applied N Czochralski method n n-type dopant: neutron MEMS microelectromechanical system n- n-type lightly doped MERIE magnetically enhanced reactive n+ n-type heavily doped ion etch N negative MESFET metal-semiconductor field effect N2 nitrogen transistor NA numerical aperture MFC mass flow controller NAA neutron activation analysis MFM magnetic force microscopy NaOH sodium hydroxide MG metallurgical grade; mechanical NC normally closed; numerical grade control MHz megahertz nm nanometer MICs mobile ionic contaminants NMOS n-channel metal-oxide- semiconductor Micro- micro-Fourier transform infrared NO normally open FTIR spectroscopy NPN n-type/p-type/n-type transistor Mil one thousandth of an inch NTRS National Technology Roadmap MISFET metal-insulator field effect for transistor NUV near-ultraviolet MLM multilevel metal

MLR multilevel resist O mm millimeter O2 oxygen MMIC monolithic microwave integrated OAI off-axis illumination circuit OD outside diameter MMOS memory metal-oxide- OISF oxidation induced stacking faults semiconductor device OPC optical particle counter; optical proximity correction MOCVD metalorganic chemical vapor OSHA Occupational Safety and Health deposition Administration MODFET modulation-doped field effect transistor P MOS metal-oxide-semiconductor p p-type dopant MOSFET metal-oxide-semiconductor field p- p-type lightly doped effect transistor p+ p-type heavily doped MOVPE metalorganic vapor phase P postive: phosphorous expitaxy p+ proton MPU microprocessor unit Pbase base pressure

PAC photoactive compound PVA polyvinylacetate PVD physical vapor deposition PBA polybuty acrylate PWP particles per wafer per pass PBGA plastic ball grid array PC personal computer; printed circuit Q PCB printed circuit board; plug control QA quality assurance bar Qbd charge to breakdown PCM portable conformable mask QC quality control PDIP plastic dual inline package QDR quick dump rinse PE plasma etch QFP quad flat package PEB post-exposure bake Q&R quality and reliability

PECVD plasma-enhanced chemical vapor R deposition RAM random-access memory PEL permissible exposure limit R&D research and development PGA pin grid array RBS Rutherford backscattering pH density of hydrogen ions spectroscopy PH3 phosphine RCA clean cleaning solution developed by PHCVD photon-enhanced chemical vapor the RCA company deposition RF radio frequency PID proportional-integral-derivative RIBE reactive ion beam etch feedback RIE reactive ion etch PL photolithography; projection lens; RMS root mean square photoluminescence R&M repair and maintenance PM preventative maintenance ROM read-only memory PMD poly-metal interlevel dielectric RTA rapid thermal anneal PMOS p-channel metal-oxide- RTN rapid thermal nitridation semiconductor RTO rapid thermal oxidation P-N p-type/n-type diode junction RTP rapid thermal processing PNP p-type/n-type/p-type transistor RTV room temperature vulcanized POCL phosphoxychlorine Poly polycrystalline silicon S POU point of use S&R step and repeat PPB parts per billion SA self-aligned ppm parts per million SAM scanning acoustic microscopy PPMA parts per million atomic SAW surface acoustic wave PPT parts per trillion Sb antimony PTFE polytetrafluorethylene SBGA super ball grid array PR photoresist SC semiconductor PROM programmable read-only memory SC1 standard cleanup #1 (a version of PS power supply the RCA cleanup) PSG phosphosilicate glass SC2 standard cleanup #2 (a version of psi pounds per square inch the RCA cleanup) PSM phase shift mask SCALPEL scattering with angular limitation Pt platinum projection electron-beam PUPS programmable ultrasonic power lithography supply

SCCM standard cubic centimeters per STP standard temperature and pressure minute SCM scanning capacitance microscopy T SD source-drain Ta SE secondary electrons TAB tape automated bonding SEBT selective epitaxy base transistor TaSi2 tantalum silicide SEEW selective epitaxial emitter-window TARC top antireflective coating SEG selective epitaxial growth TC thermocouple SEM scanning electron microscope TCA trichloroethene SEMI Semiconductor Equipment & TCAD technolgy computer-aided design Materials International TCE trichloroethylene or SEU single event upset trichloroethene Si silicon Or thermal coefficient of SI semi-insulating expansion Si3N4 silicon nitride T/C thermocompression bonding SIA Semiconductor Industry TCP tape carrier package Association TCPTM Transformer Coupled PlasmaTM SiC silicon carbide TCS trichlorosilane SIMION simulation of ion trajectories TDDB time-dependent dielectric Silox silicon dioxide used as a breakdown protective coating TEM transmission electron microscopy SIMS secondary ion mass spectroscopy TEOS tetraethylorthosilicate SiO2 silicon dioxide TFT transistor Ti titanium SI unit international system of units TiN titanium nitride SIPOS semi-insulating polysilicon TiSi2 titanium silicide SLM standard liter per minute; single- TIR total indicator reading level metal TLV threshold limit value SOG spin-on glass TMAH tetramethyl ammonium hydroxide SOI silicon-on-insulator TMB trimethylborate SOP small outline package TMP (-ite) trimethylphosphite SOS silicon on sapphire TMP (-ate) trimethylphosphate SPC statistical process control TOC total oxidizable carbon SPICE simulated programming with TOF time of flight integrated circuit emphasis SPM scanning probe microscopy SRM site risk management TQC total quality controlTQFP thin SQC statistical quality control quad flat package SRAM static random access memory T/S thermosonic bonding SRD spin rinse drier TTL through-the-lens or transistor- SRP spreading resistance profiling transistor logic SSI small scale integration TTV total thickness variation SSOP shrink small outline package TW thermal-ware STEM scanning transmission electron microscopy U STI shallow trench isolation UHV ultra high vacuum STM scanning tunneling microscope ULPA ultra low penetration air filter

ULSI ultra large scale integration WIP wafers in process; work in process UPS ultraviolet photoelectron WLBI wafer level burn-in Spectroscopy WLR wafer level reliability U/S ultrasonic bonding WPH wafers per hour UV ultraviolet light WSI wafer scale integration UVOC ultraviolet ozone cleaning WSi2 tungsten silicide WSM wafer starts per month V Vcc voltage source X Vdd voltage source XPS x-ray photoelectron spectroscopy VLF vertical laminar flow XRD x-ray diffraction VLSI very large scale integration XRF x-ray fluorescence VMCZ vertical magnetic-field –applied XRT x-ray topography Czochralski method Xsc scattering cross-section VPE vapor phase epitaxy Vt threshold voltage Y YR yield ramp W W tungsten Z WAT wafer acceptance test Z impedance WIWNU within wafer nonuniformity